"uuid","repository link","title","author","contributor","publication year","abstract","subject topic","language","publication type","publisher","isbn","issn","patent","patent status","bibliographic note","access restriction","embargo date","faculty","department","research group","programme","project","coordinates"
"uuid:d3b842d6-3b02-4a7f-8429-fdbf6e53f6b7","http://resolver.tudelft.nl/uuid:d3b842d6-3b02-4a7f-8429-fdbf6e53f6b7","Design of a radio frequency compensation system, using the electrical characterisation of a multi-transducer acousto-optical tunable filter","Vanhamel, J. (TU Delft Space Systems Egineering); Dupont, Samuel (Université Polytechnique Hauts de France); Kastelik, Jean-Claude (Université Polytechnique Hauts de France); Dekemper, Emmanuel (Royal Belgian Institute for Space Aeronomy (BIRA-IASB))","Astolfi, Arianna (editor); Asdrudali, Francesco (editor); Shtrepi, Louena (editor)","2024","Acousto-Optical Tunable Filters (AOTFs) make use of the interaction between sound and light. To generate an ultrasound diffraction grating, a Radio-Frequency (RF) signal is applied to a piezoelectric transducer. The optical pass band can be controlled by adapting the properties of this transducer and the RF-signal. To achieve more precise control of the optical spectral bandwidth, we propose to use a multi-electrode array, consisting of five consecutive transducers. The Voltage Standing Wave Ratio (VSWR) is then used to evaluate the efficiency of the coupling between the RF-signal applied to the transducer and the acousto-optical crystal. A higher VSWR indicates that more power is being reflected back and less is being absorbed by the transducer, which in turn results in a decrease in optical performance. The aim of this paper is to develop an RF driving system capable of compensating for the VSWR behavior, linked to the individual impedance matching network of each transducer. For this, the five transducer setups were
electrically characterized. These measurements allow the development of an RF power compensation system, leading to an increase of applied power at the level of each transducer. Hence, the absorbed power at transducer level increases, resulting in improved optical diffraction efficiency.","AOTF; RF-steering; compensation; VSWR","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Space Systems Egineering","","",""
"uuid:6a549ef8-9f86-4151-8aee-448ea6ad4c30","http://resolver.tudelft.nl/uuid:6a549ef8-9f86-4151-8aee-448ea6ad4c30","The effect of working fluid and compressibility on the optimal solidity of axial turbine cascades","Tosto, F. (TU Delft Flight Performance and Propulsion); Pini, M. (TU Delft Flight Performance and Propulsion); Colonna, Piero (TU Delft Flight Performance and Propulsion)","","2024","The blade solidity, namely the blade chordtopitch ratio, largely affects the fluiddynamic performance of turbomachinery. For turbomachines operating with air or steam, the optimal value of the solidity which maximizes the efficiency is estimated with empirical correlations such as the ones proposed by Zweifel (1945) and Traupel (1966). However, if the turbomachine operates with unconventional fluids, the accuracy of these correlations becomes questionable. Examples of such working fluids are the nonideal (dense) vapors of organic compounds (e.g., hydrocarbons, siloxanes) used to operate organic Rankine cycle (ORC) power systems. This study investigates the effect of both the working fluid and the flow compressibility on the optimum pitchtochord ratio of turbine stages. A first principle model for the profile losses is developed for this purpose. Charts providing the optimal pitchtochord ratio for unconventional turbine stages are then provided. Numerical simulations of the flow over a turbine stator cascade have been conducted to validate the model results and evaluate the influence of both working fluid, flow compressibility, and solidity value on the loss breakdown. The results show that the optimal solidity of turbine cascades value significantly increases with the flow compressibility. Therefore, models providing the optimal solidity based on the estimate of passage loss only are not suited for unconventional turbines.","","en","conference paper","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:91be8675-5ef9-456e-97a2-f979498e49b0","http://resolver.tudelft.nl/uuid:91be8675-5ef9-456e-97a2-f979498e49b0","Stability of Swept Wing Boundary Layers under Non-Adiabatic Wall Conditions","Barahona Lopez, M. (TU Delft Aerodynamics); van de Weijer, A.F. (TU Delft Aerospace Engineering); Rius Vidales, A.F. (TU Delft Ship Hydromechanics and Structures); Kotsonis, M. (TU Delft Aerodynamics)","","2024","One of the most critical technological challenges embedded in the electrification of future aircraft revolves around the thermal management of batteries and fuel cells. An innovative idea involves using the aircraft’s aerodynamic surfaces to dissipate the extra heat, thereby reducing the impact that traditional thermal management systems (e.g. ram air heat exchanger) have on the overall aerodynamic efficiency of the aircraft. However, the limited experimental research addressing the influence of a heated surface on the stability and transition of the crossflow instability (CFI) hinders the assessment of the aerodynamic impact of this technology for future aircraft, where swept wings are ubiquitous. Thus, the objective of this work is to experimentally study the effect of a heated wall on the stability and final breakdown of CF vortices. To do so, experiments are conducted on a 45◦ swept flat plate wind tunnel model, where the surface temperature is increased by means of a surface-embedded electrical heater, yielding a mean wall-temperature ratio of T_w/T_infty = 1.055. Overall, the experimental (i.e. HWA) and numerical (i.e. CLST) results show that wall heating leads to significant destabilization of the stationary CFI. Interestingly, a spectral analysis of the HWA signal reveals substantial amplification of the traveling CF mode under wall-heating conditions, which in turn appears significantly more destabilized than the stationary CF mode. Additionally, inspection of the high-frequency content in the HWA measurements indicates premature breakdown of the CF vortices and advancement of the laminar-turbulent transition by 6.3% with wall heating. The results presented in this work render a first insight into the impact of a non-adiabatic wall on the development of the crossflow instability and subsequent breakdown to turbulence.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","Aerospace Engineering","","Aerodynamics","","",""
"uuid:a0e7697b-1b8d-4dba-8ed5-5beddd8c8c09","http://resolver.tudelft.nl/uuid:a0e7697b-1b8d-4dba-8ed5-5beddd8c8c09","Aeroelastic Wind Tunnel Testing of Yawed Wind Turbine Rotor with Teetering Hub","Tsatsas, I. (TU Delft Group De Breuker); Georgopoulos, P. (TU Delft Group De Breuker); Sodja, J. (TU Delft Group Sodja); De Breuker, R. (TU Delft Group De Breuker)","","2024","This paper presents an experimental investigation into the aeroelastic behavior of an innovative wind turbine design featuring a downwind two-blade rotor with a teetering hub mounted on a tower with adjustable tilt. The rotor model incorporates two sets of elastic blades—stiff and flexible—for scaling purposes, each instrumented with strain gauges and accelerometers. Ground and wind tunnel tests were conducted to analyze the aeroelastic response. Static deformation tests revealed discrepancies between measured and numerically predicted displacements. Maximum displacements near the tip exceeded numerical predictions by 14% and 31% for flexible and stiff blades respectively. Frequency differences between measured and numerically simulated elastic modes ranged from 0.5% to 18% for both blade sets, as determined by ground vibration tests. No dynamic aeroelastic instability was observed during wind tunnel tests, as rotational speed harmonics dominated the rotor’s response. The tower tilt angle was found to be the dominant parameter in static response, while the tip speed ratio in conjunction with rotational speed emerged as the most crucial parameters in the dynamic response. Overall, this research provides valuable insights into the aeroelastic behavior of yawed, two-blade wind turbine rotors with flexible blades and a teetering hub and could aid in the refinement of numerical models that incorporate elastic blades.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Group De Breuker","","",""
"uuid:2539af15-70fa-4876-9540-316a12771776","http://resolver.tudelft.nl/uuid:2539af15-70fa-4876-9540-316a12771776","Structural integration of a full-composite, double-walled, vacuum-insulated, cryo-compressed tank for the Flying V: a numerical study","Poorte, V.K. (TU Delft Group van Campen); Bergsma, O.K. (TU Delft Group Bergsma); van Campen, J.M.J.F. (TU Delft Group van Campen); Alderliesten, R.C. (TU Delft Group Alderliesten)","","2024","Hydrogen is being investigated as aviation fuel, with the objective to achieve an energy transition for the aviation sector. Effective storage solutions are crucial to mitigate the aerodynamic penalty caused by its low volumetric energy density. The focus of this study is the integration of a cryo-compressed vacuum-insulated storage vessel into the primary structure of aircraft, aiming to enhance structural efficiency. This is achieved by implementing analytical methods to analyse the thermo-mechanical loading of the inner and outer walls of the fuel tank. It is envisioned that the inner wall rather than the outer wall is more suitable to sustain additional loads. However, it is unclear how the cryogenic environment affects the stress state of the composite material. This research contributes to the development of hydrogen-powered aircraft by addressing critical aspects of hydrogen storage, thereby driving the energy transition within the aviation industry.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Group van Campen","","",""
"uuid:c683e122-0bdd-4e13-8a64-591b6cb5a618","http://resolver.tudelft.nl/uuid:c683e122-0bdd-4e13-8a64-591b6cb5a618","Low-fidelity crashworthiness assessment of unconventional aircraft: Modelling of plastic bending","Anand, S. (TU Delft Group Giovani Pereira Castro); Alderliesten, R.C. (TU Delft Group Alderliesten); Castro, Saullo G.P. (TU Delft Group Giovani Pereira Castro)","","2024","Carbon emissions from commercial aircraft are expected to reach more than twice as much as the current levels by 2050. Unconventional aircraft, such as the Flying-V, are projected to achieve more than 20% fuel savings when compared to conventional configurations. However, these unconventional aircraft configurations pose a unique set of design challenges, being one of them the crashworthiness of wing-fuselage structures, which have an oval-shaped cross section that leads to a significant reduction in space underneath the cabin floor. Evaluating the feasibility of a design early in the design phase is vital to avoid cost overruns and minimize the need for drastic design changes. For assessing crashworthiness early in the design phase, the development of low-fidelity models is an attractive as well as a viable option because these models offer both low computational cost and the capability to conduct parametric studies on the crash structure. To develop and implement such low-fidelity models, we propose to explore the analytical modeling of various energy-absorbing mechanisms, namely axial crushing, plastic bending, and joint failure. In the present study, we present the modelling of plastic bending for beam-like structural members. We also present an envisaged method applying user-defined elements to simulate plastic bending in structural members for cases where the location of plastic hinges cannot be predetermined.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Group Giovani Pereira Castro","","",""
"uuid:ade99ee1-77e8-4c8c-956b-6fe6a666e495","http://resolver.tudelft.nl/uuid:ade99ee1-77e8-4c8c-956b-6fe6a666e495","Flight Testing Reinforcement Learning based Online Adaptive Flight Control Laws on CS-25 Class Aircraft","Konatala, R. (TU Delft Control & Simulation); Milz, Daniel (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Weiser, Christian (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Looye, Gertjan H.N. (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); van Kampen, E. (TU Delft Control & Simulation)","","2024","Unforeseen failures during flight can lead to Loss of Control In-Flight, a significant cause of fatal aircraft accidents worldwide. Current offline synthesized flight control methods have limited capability to recover from failures, due to their limited adaptability. Incremental Approximate Dynamic Programming (iADP) control is a model-agnostic online adaptive control method, which integrates an online identified locally linearized incremental model, with a Reinforcement Learning (RL) based optimization technique to minimize an infinite horizon quadratic cost-to-go. A key challenge for adopting these self-learning flight control methods is validation through flight testing. This paper presents the iADP flight control law design for CS-25 class aircraft to achieve rate control. It outlines the controller evaluation strategy, controller integration, verification & validation procedures, and a discussion on flight test results. To the author’s understanding, this flight test marks the world’s first demonstration of an online RL based automatic flight control system for this aircraft category, demonstrating real-time learning and adaptation capabilities to aircraft configurations.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Control & Simulation","","",""
"uuid:bc389d8e-c007-4f84-abec-1426d4e9ecf4","http://resolver.tudelft.nl/uuid:bc389d8e-c007-4f84-abec-1426d4e9ecf4","Final Design, Manufacturing and Testing of the Clean Sky 2 Distributed Electric Propulsion Scaled Flight Demonstrator D08 DEP-SFD","Döll, Carsten (Office National d'Etudes et de Recherches Aerospatiales); Hoogreef, M.F.M. (TU Delft Flight Performance and Propulsion); Iannelli, Pierluigi (CIRA)","","2024","Within the work package radical new aircraft configuration of Cleansky2 Large Passenger Aircraft, a benefit of more than 20% in fuel consumption and CO2 emission (one of CS2 top level objectives) could be achieved by using various Distributed (hybrid) Electric Propulsion DEP architectures on different more or less radical aircraft configurations. It has therefore been identified as a disruptive technology which shall be de-risked in terms of achievable performance during wind tunnel tests and in terms of handling qualities during flight tests. The electric architecture with typical magnitudes shall also be studied in more detail. As already presented during AIAA SciTech Forum and Exhibition 2023, the D08 Distributed Electric Propulsion DEP version of the D03 Scaled Flight Demonstrator has been designed, manufactured and ground tested from 2020 to May 2023. An incident during the last ground test in May 2023 caused the total loss of this demonstrator. After its analysis, it was decided to robustify the electric architecture by improving the batteries, the wiring, the protections and the monitoring. These changes in the electric architectures lead to structural changes like the shift of the emergency parachute and bigger access hatches. The remanufacturing of the DEP-SFD2 has started in September 2023 for an exhaustive integration test campaign and taxi tests in January and February 2024. At the moment, the qualification flight tests will take place in April 2024 and the mission flight tests in May 2024.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:fc6541b6-b192-4ba2-8932-a3573b44187a","http://resolver.tudelft.nl/uuid:fc6541b6-b192-4ba2-8932-a3573b44187a","Design of a Hydrogen-Powered Crashworthy eVTOL Using Multidisciplinary Analysis and Design Optimization","Keijzer, D.M. (Student TU Delft); Simon Soria, C. (ISAE-SUPAERO); Arends, J.J. (Student TU Delft); Sarıgöl, B. (Student TU Delft); Scarano, F. (TU Delft Aerodynamics); Castro, Saullo G.P. (TU Delft Group Giovani Pereira Castro)","","2024","As of present the Urban Air Mobility market has been dominated by fully electric aircraft. However, hydrogen vehicles have remained relatively undeveloped in this segment, also because hydrogen poses additional design complexities and uncertainties concerning crashworthiness, fuel cell cooling, and low volumetric density. Nevertheless, hydrogen might yield advantages in mission performance owing to its superior gravimetric energy density and greater sustainability when compared to batteries. In this paper, the design procedure of a four-passenger long-range hydrogen eVTOL using Multidisciplinary Analysis and Design Optimization (MADO) is presented. Using MADO, the mission energy of the eVTOL was minimized while abiding by the constraints rooting from the use of hydrogen. Based on this design, the conclusion can be made that the implementation of hydrogen eVTOLs in urban air mobility is feasible whilst taking into account constraints resulting from the use of hydrogen at the preliminary design stage. This led to an aircraft which excels at longer range due to the increased scalability of hydrogen fuel, but having a weight penalty due to auxiliary equipment which hampers its performance and results in a large fuselage and maximum takeoff weight.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:904aefcb-bdb7-4a15-9f4b-9acc54659486","http://resolver.tudelft.nl/uuid:904aefcb-bdb7-4a15-9f4b-9acc54659486","Autonomous Navigation for Binary Asteroid Landing","Elffers, P.A. (Airbus Defence and Space); Caroselli, Edoardo (AirBus Defence and Space GmbH); van Kampen, E. (TU Delft Control & Simulation); Mooij, E. (TU Delft Astrodynamics & Space Missions)","","2024","This paper investigates the performance of an autonomous navigation system to navigate a spacecraft in the proximity of a binary asteroid system using optical and laser ranging measurements. The knowledge about the binary asteroid is limited to its orbital parameters and ellipsoid shape models. The accelerometer bias random walk is included in the estimation process. Over a four-hour landing maneuver starting from 6770 m altitude and ending at 550 m, the mean position estimation uncertainty is 41.6 m (3$\bm{\sigma}$). It is shown that the navigation accuracy is sensitive to the Sun phase angle, the irregularity of the asteroid shape, and the goodness of fit of the ellipsoid shape model. The paper demonstrates that the navigation system is robust to large errors in the initialization of the extended Kalman filter state. The impact of image distortion and two types of image noise on the navigation performance are investigated.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:d9d3df48-6635-414e-850a-e45904952857","http://resolver.tudelft.nl/uuid:d9d3df48-6635-414e-850a-e45904952857","Aerodynamic Design of a Flying V Aircraft in Transonic Conditions","Laar, Y.A. (TU Delft Aerodynamics); Atherstone, D.M. (TU Delft Group De Breuker); Benad, J. (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2024","The Flying V is a long-range, flying-wing aircraft where payload and fuel both reside in a V-shaped, crescent wing with large winglets that double as vertical tail planes. The objective of this study is to maximize the lift-to-drag (L/D) ratio of the Flying V in cruise conditions, i.e. CL= 0.26, M = 0.85 and to investigate its off-design performance in high-subsonic conditions. This is done by manually modifying the design parameters that describe the outer mold line of the Flying V and assessing the aerodynamic performance by means of computational fluid dynamics. A 15-million cell, third-order MUSCL, Reynolds-Averaged Navier Stokes solver with the Menter SST turbulence model is used to estimate the aerodynamic coefficients. This numerical model is validated using the experimental data of the ONERA M6 wing. A new, CATIA-based, parametrization of the Flying V is the starting point of the design. Three manual design phases improve the aerodynamic performance while satisfying all constraints. Design modifications include an increase in camber and aft-loading of the wing around 40% of the semispan and improved airfoil sections on the outboard wing generating the required lift coefficient towards an elliptical lift distribution. The twist distribution at the wing-winglet junction is optimized to reduce wave drag. This has resulted in an improvement of L/D from 20.3 from previous studies to 24.2 for the final version, while reducing the cruise angle of attack from 5.2 to 3.6 degrees. The drag divergence Mach number is estimated at 0.925.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:2ebb06e8-2c85-40e2-8630-1a3d2ce1750d","http://resolver.tudelft.nl/uuid:2ebb06e8-2c85-40e2-8630-1a3d2ce1750d","Towards Universal Parameterization: Using Variational Autoencoders to Parameterize Airfoils","Swannet, K. (TU Delft Flight Performance and Propulsion); Varriale, Carmine (TU Delft Flight Performance and Propulsion); Doan, Nguyen Anh Khoa (TU Delft Aerodynamics)","","2024","A design can only be as good as its mathematical representation. In engineering design optimization, the chosen method of parameterization can have significant impact on the outcomes. This paper introduces a novel methodology for airfoil design parameterization utilizing variational autoencoders (VAEs), a class of neural networks known for their proficiency in reducing dimensionality. However, a significant challenge with VAEs is the interpretability of the encoded latent space. This work aims to address this issue by creating a network with an interpretable latent space, yielding parameters that are understandable to humans. The effectiveness of this approach is evaluated using the comprehensive UIUC airfoil database, which offers a diverse range of airfoil shapes for analysis. We show that a VAE can successfully extract key features of airfoil geometries and parameterize them using six parameters, which show a clear correlation with airfoil properties in a way that remains understandable by the designer. Additionally, it smoothly interpolates the data points, allowing the generation of new airfoils and thus offering a practical and interpretable airfoil parameterization.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:02616c7b-ffaa-45f1-9b32-875a6a9e3061","http://resolver.tudelft.nl/uuid:02616c7b-ffaa-45f1-9b32-875a6a9e3061","Hybrid Soft Actor-Critic and Incremental Dual Heuristic Programming Reinforcement Learning for Fault-Tolerant Flight Control","Teirlinck, C. (Student TU Delft); van Kampen, E. (TU Delft Control & Simulation)","","2024","Recent advancements in fault-tolerant flight control have involved model-free offline and online Reinforcement Learning (RL) algorithms in order to provide robust and adaptive control to autonomous systems. Inspired by recent work on Incremental Dual Heuristic Programming (IDHP) and Soft Actor-Critic (SAC), this research proposes a hybrid SAC-IDHP framework aiming to combine adaptive online learning from IDHP with the high complexity generalization power of SAC in controlling a fully coupled system. The hybrid framework is implemented into the inner loop of a cascaded altitude controller for a high-fidelity, six-degree-of-freedom model of the Cessna Citation II PH-LAB research aircraft. Compared to SAC-only, the SAC-IDHP hybrid demonstrates an improvement in tracking performance of 0.74%, 5.46% and 0.82% in nMAE for nominal case, longitudinal and lateral failure cases respectively. Random online policy initialization is eliminated due to identity initialization of the hybrid policy, resulting in an argument for increased safety. Additionally, robustness to biased sensor noise, initial flight condition and random critic initialization is demonstrated.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Control & Simulation","","",""
"uuid:057a5556-2e58-44c5-acc9-5b78b96a0140","http://resolver.tudelft.nl/uuid:057a5556-2e58-44c5-acc9-5b78b96a0140","Flow analysis of hammerhead launcher geometries in the transonic regime","D'Aguanno, A. (TU Delft Aerodynamics); González Romero, A. (Student TU Delft); Schrijer, F.F.J. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics)","","2024","Hammerhead launcher configurations, characterized by a larger diameter in the payload fairing than the rest of the launch vehicle, face substantial challenges during transonic operations due to their susceptibility to flow separation and intense pressure fluctuations. This experimental study investigates the influence of the nose and boat-tail geometry on the flow around hammerhead configurations in the transonic regime (Ma=0.7-0.8) and for various angles of attack (α=0-4°). To gain a general understanding of the main flow features, such as shockwave formation, separated flow in the boat tail region, and flow reattachment, oil flow and schlieren visualizations were employed. Schlieren visualizations were also utilized to characterize the level of unsteadiness in these regions. Additionally, particle image velocimetry (PIV) was employed to quantify variations in the velocity field. The study's findings reveal an optimization of flow performance in the presence of a bi-conic nose, attributed to the creation of two-shockwave structures with relatively low intensity. This is in contrast to the ogive and conic noses, which exhibit a single, more detrimental shockwave structure (with the conic nose being the least favorable configuration). The investigation into different boat tail angles indicates that adopting low-angle boat tails (5° and 15° compared to 34°) leads to a noticeable reduction in the separated area, albeit associated with an increase in the range of oscillation of the shockwave structures.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:2d91a256-f8dd-41dc-9fcb-78c953089730","http://resolver.tudelft.nl/uuid:2d91a256-f8dd-41dc-9fcb-78c953089730","Model-Based Approach for the Simultaneous Design of Airframe Components and their Production Process Using Dynamic MDAO Workflows","Bruggeman, A.M.R.M. (TU Delft Flight Performance and Propulsion); Nikitin, M. (Student TU Delft); la Rocca, G. (TU Delft Flight Performance and Propulsion); Bergsma, O.K. (TU Delft Group Bergsma)","","2024","During the early design stages of airframe components, many possible design architectures and production methods need to be traded to find the best configuration. Evaluating different production methods can be challenging as different production methods put different requirements on the product to be designed. This paper presents a new methodology that enables the inclusion of manufacturing and assembly in the design process. By extending the architectural design space model with components of the production system, the design choices regarding production are made explicit. Through the modeling of product and production requirements and assigning them a verification method, a dynamic MDAO workflow is formulated. Within a dynamic workflow, the design variables, analysis tools, and constraints change depending on the current design vector. The methodology has been applied to the design and manufacturing of a wing rib in which two manufacturing options were traded: metal machining and composite stamp forming. The dynamic MDAO workflow successfully found the Pareto front for both manufacturing methods. The main benefit is that only one workflow needed to be formulated and executed, whereas previously a separate MDAO workflow needed to be created for each combination of product design and production method. Overall, the newly presented methodology enables the optimization and trade-off between different production methods while ensuring the design complies with the production-specific requirements.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:e8f28f08-e648-4a08-814e-f511359020a4","http://resolver.tudelft.nl/uuid:e8f28f08-e648-4a08-814e-f511359020a4","Prediction of Non-Routine Tasks Workload for Aircraft Maintenance with Supervised Learning","Li, H. (Student TU Delft); Ribeiro, M.J. (TU Delft Air Transport & Operations); Santos, Bruno F. (TU Delft Air Transport & Operations); Tseremoglou, I. (TU Delft Air Transport & Operations)","","2024","Aircraft maintenance scheduling is a focus point for airlines. Maintenance is essential to ensure the airworthiness of aircraft, but it comes at the cost of rendering them unavailable for operations. In current operations, aircraft maintenance scheduling must often be updated to include time for non-routine and non-schedule tasks. These non-routine tasks can increase costs, maintenance workload, and uncertainty of the airlines’ operations. This research introduces a supervised learning framework designed to forecast future non-routine task workloads accurately, improving the accuracy of the planned maintenance schedule. This framework consists of two random forest predictors which estimate the amount of non-routine tasks and the number of future work hours that should be allocated in advance for potential non-routine tasks. Our approach produces highly reliable predictions by leveraging a robust dataset obtained from an international airline. The results show an average of 20% improvement versus an existing on-site sampling method. Furthermore, our in-depth analysis of prediction distributions enables the identification of the underlying causes of significant prediction errors, shedding light on the unpredictabilities inherent to non-routine tasks.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Air Transport & Operations","","",""
"uuid:44386502-cdf6-4542-8419-4d31aa4527a1","http://resolver.tudelft.nl/uuid:44386502-cdf6-4542-8419-4d31aa4527a1","Fluid Ablation Interactions on a Compression Ramp at Mach 8","Başkaya, A.O. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics); Dungan, Sean (University of Maryland); Brehm, Christoph (University of Maryland)","","2024","Direct numerical simulations (DNS) are performed over 15° compression ramp undergoing ablation at Mach 8 to examine fluid-ablation interactions (FAI) on transitional high-speed boundary layers. The experiments at these conditions with a rigid wall are first numerically replicated for a laminar flow. Heating streaks are introduced by perturbing the flow. The ramp is then replaced by a low-temperature ablator in our DNS. The interaction of the streaks with the recessing ablator surface is then examined. Different approaches from two independently developed solvers are used to explore the problem. Differences in streak amplification and mass blowing magnitudes are observed between the results. We discuss the difficulties in accurately predicting ablation and present the first findings regarding its influence on the perturbation evolution and transition to turbulence for this configuration.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:fca1d38f-313a-4b87-b33e-99e612888e15","http://resolver.tudelft.nl/uuid:fca1d38f-313a-4b87-b33e-99e612888e15","Exploring the potential of variable stiffness design in reducing the life-cycle impact of composite aircraft parts","van Campen, J.M.J.F. (TU Delft Group van Campen)","","2024","In 2022 Airbus and Boeing combined delivered 1203 commercial aircraft. With an annual predicted growth of 4.3% for the coming 20 years there is an urgent need for end of life solutions that go beyond down-cycling of parts that cannot be reused. Especially carbon fibre reinforced composites are hard to recycle, and attempts to deliver recyclable short fibre reinforced thermoplastic materials see a reduction in specific properties. This is a problem because, the life cycle impact of an aircraft part is predominantly determined by its weight, which drives cumulative CO2-emissions over its lifetime. The transition to renewable energy sources by the aviation sector has the potential to change this relationship drastically. Therefore, it is necessary to begin developing methods to account for life cycle impact already at the start of the mechanical design of an aircraft part. This study proposes to apply variable stiffness laminate design to compensate for relatively lower mechanical performance of a recyclable short fibre reinforced composite laminate. This is successfully demonstrated using the example of a rectangular plate under uniaxial compression with the ATR72 as case study. The results furthermore clearly show that moving from conventional to renewable energy sources, reduces the impact of part-weight on the accumulated CO2-emissions for the life span of the aircraft very significantly, bringing recycling considerations more into focus.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Group van Campen","","",""
"uuid:efdab984-5c44-460f-9264-3eccdc2ab6f5","http://resolver.tudelft.nl/uuid:efdab984-5c44-460f-9264-3eccdc2ab6f5","Evolutionary Reinforcement Learning: A Hybrid Approach for Safety-informed Intelligent Fault-tolerant Flight Control","Gavra, V. (Student TU Delft); van Kampen, E. (TU Delft Control & Simulation)","","2024","Recent research in artificial intelligence potentially provides solutions to the challenging problem of fault-tolerant and robust flight control. The current work proposes a novel Safety-informed Evolutionary Reinforcement Learning (SERL) algorithm, which combines Deep Reinforcement Learning (DRL) and neuro-evolution to optimize a population of non-linear control policies. Using SERL, the work has trained agents to provide attitude tracking on a high-fidelity non-linear fixed-wing aircraft model. Compared to a state-of-the-art DRL solution, SERL achieves better tracking performance in nine out of ten cases, remaining robust against faults and changes in flight conditions, while providing smoother actions.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Control & Simulation","","",""
"uuid:67714513-f099-4952-bebf-955397696b19","http://resolver.tudelft.nl/uuid:67714513-f099-4952-bebf-955397696b19","A New Perspective on Battery-Electric Aviation, Part II: Conceptual Design of a 90-Seater","de Vries, R. (Elysian Aircraft); Wolleswinkel, Rob E. (Elysian Aircraft); Hoogreef, M.F.M. (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2024","Battery-electric aviation is commonly believed to be limited to small aircraft and is therefore expected have a negligible impact on the decarbonization of the aviation sector. In this paper we argue that, with the correct choice of design parameters and top-level aircraft requirements, the addressable market is actually substantial. To demonstrate this, the Class-II sizing of a battery-electric 90-seater is performed, and the environmental impact is assessed in terms of well-to-wake CO2-equivalent emissions per passenger-kilometer. The resulting 76-ton aircraft achieves a battery-powered useful range of 800 km for a pack-level energy density of 360 Wh/kg. For this range, it has an energy consumption of 167 Wh per passenger-kilometer and an environmental impact well below that of kerosene, eSAF, or hydrogen-based aircraft alternatives and comparable to land-based modes of transport. These results indicate that, to successfully reduce the climate impact of the aviation sector, battery-electric aircraft should not be designed as a niche product operating from small airfields but as commercial transport aircraft competing with fuel-based regional and narrowbody aircraft.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:df1c0818-7e27-49da-8b53-ce90f0b85806","http://resolver.tudelft.nl/uuid:df1c0818-7e27-49da-8b53-ce90f0b85806","A New Perspective on Battery-Electric Aviation, Part I: Reassessment of Achievable Range","Wolleswinkel, Rob E. (Elysian Aircraft); de Vries, R. (Elysian Aircraft); Hoogreef, M.F.M. (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2024","Thus far, battery-electric propulsion has not been considered a promising pathway to climate-neutral aviation. Given current and expected battery technology, in most literature battery-electric aircraft are only considered feasible for short ranges (< 400 km) and small payloads (< 19 pax). As a result, battery-electric aircraft development focuses on new aviation segments such as regional and urban air mobility. However, little effort has been made to develop battery-electric aircraft that can replace existing larger aircraft. This paper re-examines the assumptions that lead to the conclusion of limited applicability of battery-electric aircraft. Starting from the range equation, this paper assesses the drivers of two key parameters: the ratio between energy mass and maximum take-off mass, and the maximum lift-to-drag ratio. This assessment, based on Class-I mass and aerodynamic-efficiency estimates, shows that there is a design space where these two parameters can reach significantly higher values than often assumed in the open literature. Based on this finding, several parametric aircraft designs are evaluated, relying on Class-II mass and aerodynamics methods. These parametric studies validate the conclusion from the Class-I assessment. This implies that battery-electric passenger aircraft can play a larger role in climate-neutral aviation than was previously envisioned.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:aa08d0f4-8c42-4049-b50e-899d28f89a3e","http://resolver.tudelft.nl/uuid:aa08d0f4-8c42-4049-b50e-899d28f89a3e","Analysing the Role of the Propulsive System in the Global Civil Aviation Energy Optimisation Framework","Joksimović, Aleksandar (ISAE-SUPAERO); Carbonneau, Xavier (ISAE-SUPAERO); Brazier, F.M. (TU Delft System Engineering); Vingerhoeds, Rob (ISAE-SUPAERO)","","2024","This paper presents a simple explanatory framework to analyse the diverse strategies proposed by the civil aviation sector in attempts to reconcile its growth tendencies with its impact on natural environment. Despite framing the industry as a single entity that has a problem to solve, it is better represented as a diverse set of actors, each responsible for various constituent systems at different phases of their respective life cycles. To elucidate this multi-actor pursuit of higher-level emergent objectives, the paper firstly presents an overview of different development scenarios dedicated to guide the industry towards sustainability. Given that the constituent systems tackled in such strategies strongly depend on each other, the sustainability objective relies on reconciling all the different agendas and contributions in unison, making them collectively compatible with the dynamics of the socio-economic and the natural world. To analyse the problem coherently, a basic description of an arbitrary system as a coarse-grained closed causal network is formulated. A categorisation for the model parameters is proposed to help define the system boundary and interaction with the environment unambiguously, dispensing with the need to know details of the model that represents the system at hand. This formalism was previously derived for preliminary sizing and performance estimation of aeroplane engines; by virtue of recursive nature of the coarse-graining process, the same formalism can be used to describe systems at any arbitrary levels, which enables a small system (in the current paper the system of interest being the propulsive system) to be integrated into a multi-level causal dependence framework. Then, the typical industry evolution scenarios are re-framed on the common ground of the causal network formalism. Subsequently, the framework is extended with a simple quantitative energy-based representation to formulate a qualitative case of how the scenarios could be formulated more coherently to avoid conflicting local optimisation objectives in pursuit of common higher-level goals. Finally, the entirety of the presented elements are brought together in a proposal to conceptualise an industrial system as a life cycle which exchanges matter, energy and information with its large-scale environment. The paper argues that such framing of the problem can pave the way to simplification of the problem of conflicting high-level objectives, by enabling a coherent understanding of the mutual dependence between a system of interest and the much more complex system of systems that is the aeronautical industry.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","System Engineering","","",""
"uuid:638dab1b-30d2-4209-a0ee-1091efd21420","http://resolver.tudelft.nl/uuid:638dab1b-30d2-4209-a0ee-1091efd21420","Half a Tube&Wing: Function-to-Form Mapping Approach to Understanding Fixed-Wing Civil-Aeroplane Design Space","Joksimović, Aleksandar (ISAE-SUPAERO); Brazier, F.M. (TU Delft System Engineering); Carbonneau, Xavier (ISAE-SUPAERO); Vingerhoeds, Rob (ISAE-SUPAERO)","","2024","An overwhelming abundance of innovative civil aeroplane concepts has been presented by the research community in recent decades. Their development has been motivated mainly by need for better operating energy, or in particular the fuel efficiency - the common objective variable for economic and environmental optimisation. This paper focuses on one common conceptual issue with the disruptive concepts which originates in remarkable divergence of the concept space in different directions away from the conventional Tube&Wing paradigm. As a consequence, it becomes increasingly difficult to make a meaningful comparison of any arbitrary pair of concepts by employing the conventional intuitions, definitions, or figures of merit. The objective of the paper is to elaborate a framework that could encompass the entire design space under a common conceptual umbrella. The method we employ relies on systems engineering principles of Function-to-Form mapping. Firstly, a comprehensive review of innovative concepts is provided, with emphasis on the problem of the apparent complexity of the design space arising from the conventional taxonomic intuitions. Then, the descriptive framework is presented, with relevant definitions of function, form and system architecture. First-order application of the framework to the conventional aeroplane design space implies that the Tube&Wing concept family can be represented as roughly one-to-one function-to-form mapping. By analysing the summarised pool of disruptive concepts in the same key, inference is made on existence of an extensive and continuous design space. Furthermore, and argument is presented for existence of the civil-aeroplane performance optimisation trend that aligns with parts of the design space moving away from one-to-one function-to-form mapping. In other words, parts of the design space moving towards the regions in which concepts map as many functions onto as few forms as possible. If extended to the entirety of the life cycle of the system, this framework could reveal even more possibilities for system optimisation, in line with contemporary socio-economic attempts to resolve potentially contradictory requirements and constraints of sustainable growth of the aeronautical industry.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","System Engineering","","",""
"uuid:7ba41bf7-7982-4687-ae97-8c49979e6335","http://resolver.tudelft.nl/uuid:7ba41bf7-7982-4687-ae97-8c49979e6335","Fixed-Wing Aeroplane (Sub)System Design Method: From Abstract to Material Architectures","Joksimović, Aleksandar (ISAE-SUPAERO); Carbonneau, Xavier (ISAE-SUPAERO); Brazier, F.M. (TU Delft System Engineering); Vingerhoeds, Rob (ISAE-SUPAERO)","","2024","This paper presents development of an innovative method for aeroplane system architecture design, based on the principles of causal networks. In light of the environmental crisis that the world faces, it is argued that the new design method should be motivated by sustainability values in the first place. This implies the necessity for a method that is evolvable, as well as for the design artefact to include an awareness of its contribution to dynamics of the higher-level systems within which it is embedded. To that end, the method is based on gradual and iterative development of an initial abstract object which represents relevant system functions over a designated life cycle. The architecture design procedure consists in evolving this abstract object by means of the pre-defined design rules towards a material architectures that satisfies multiple requirements and constraints for multiple actors. The design rules at hand represent a combination of quantitative methods such as first principles of physics and qualitative principles of systems engineering. In complement to system characteristics, rigorous book-keeping of matter and energy interfaces with the object’s surroundings is at the core of the method. To test the developed principles with the elementary method development at hand, a propulsive system architecture case study is elaborated. The case study represents a simple case of a short-medium range engine architecture designed to respond to operating requirements, whose resulting performance is book-kept and evaluated in a much broader context than the operation (in-flight performance), for which engines are commonly preliminary-designed.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","System Engineering","","",""
"uuid:1cd96b09-cf23-43f9-9153-4bda3718343a","http://resolver.tudelft.nl/uuid:1cd96b09-cf23-43f9-9153-4bda3718343a","Preliminary Design and Optimization of a CubeSat Demonstrator for an Origami-inspired Deployable Structure","Velázquez Navarro, Ester (Universidad Rey Juan Carlos); Solano-López, Pablo (Universidad Rey Juan Carlos); Uriol Balbin, I. (TU Delft Group Sinke)","","2024","The demand for pressurized, large structures in space, such as habitats or fuel deposits, is increasing as the space industry grows. The limited payload volume of launch vehicles, combined with their highly constrained shape, presents a significant challenge for these structures. Origami-inspired deployable structures have emerged as a potential solution for this problem. This work aims to design and optimize an origami-inspired deployable structure for use as a technology demonstrator in a 12U CubeSat. The choice of pattern, deployment mechanism, and material considerations are discussed as they are relevant in the initial configuration of the structural prototype. By combining two different types of printable materials, it is possible to create a pattern that is more flexible without the use of mechanical hinges. Then two different modeling methods are analyzed in order to study their physical behavior. Once all the desired configurations are computed, an optimization process is applied in order to obtain the most suitable one under the pre-defined requirements.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Group Sinke","","",""
"uuid:0ee04081-ffff-40bb-8773-709e4421a001","http://resolver.tudelft.nl/uuid:0ee04081-ffff-40bb-8773-709e4421a001","Aeroelastic Model for Design of Composite Propellers","Rotundo, C.D. (Student TU Delft); Sinnige, T. (TU Delft Flight Performance and Propulsion); Sodja, J. (TU Delft Group Sodja)","","2024","A tightly coupled aeroelastic design code for composite propeller blades was developed, verified, and used to perform design sensitivity studies. The design code features a structural model that accounts for geometric nonlinearities through the application of a corotational framework, nonlinear responses to loads, and a cross-sectional modelling approach to accurately represent the detailed 3D blade structure as a reduced-order Timoshenko beam element model. Blade element momentum (BEM) theory was used to evaluate aerodynamic loads, which are mapped onto the structural mesh. The nonlinear aeroelastic analysis routine uses Newton's method to converge on a solution, with analytical derivatives for all applied loads. Excellent agreement with other analysis methods was shown during verification studies for all developed models. During validation, performance trends obtained from BEM were consistent with experimental results, with a maximum error of 20% at operating conditions under consideration during this research. The use of either symmetric-unbalanced or symmetric-balanced laminates was considered during sensitivity studies. Small variations in performance in comparison to the rigid propeller were observed from blades constructed out of symmetric-balanced laminates, as the minimal amount of bend-twist and extension-shear coupling resulted in small twist deformations. Conversely, propellers constructed out of symmetric-unbalanced laminates were shown to yield a noticeable variation in thrust and power in comparison to the rigid blade due to the presence of bend-twist and extension-shear coupling, which results in coupling between twist and blade axis deformations. The presence of an aerodynamic wash-out effect was also found to alleviate blade loads, resulting in a lower power requirement at a given thrust setting, and an opposite trend was observed in the presence of a wash-in effect. The proposed analysis framework may be applied towards more comprehensive design studies or optimization in future projects.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Group Sodja","","",""
"uuid:2cee2914-01a6-466b-b461-8fbb642e187d","http://resolver.tudelft.nl/uuid:2cee2914-01a6-466b-b461-8fbb642e187d","Booster Fly-Back Analysis of Reusable Launch Systems","Çavdar, I. (Student TU Delft); Mooij, E. (TU Delft Astrodynamics & Space Missions)","","2024","Within the last decade, the use of launch vehicles has increased as private companies have emerged in the space exploration industry. Compared to the early 1980s, the market for launch vehicles has become significantly more competitive, introducing the desire to have fully reusable launch vehicles. The true benefits of fully reusable launch vehicles can only be achieved once both stages are fully operational and recoverable. This stimulates the need for a comprehensive mission design. In this paper, this is achieved by revisiting the mission profiles of flyback boosters. An extensive analysis of the design-space is performed to identify the contributions of each decision variable to the trajectory design. The results concluded that such an approach supports achieving a more efficient optimization, with better convergence speed and solution performance.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:23b26a9e-7613-453e-a2ef-6700a6562f42","http://resolver.tudelft.nl/uuid:23b26a9e-7613-453e-a2ef-6700a6562f42","Swept Transition Experimental Platform (STEP)","Rius Vidales, A.F. (TU Delft Ship Hydromechanics and Structures); Barahona Lopez, M. (TU Delft Aerodynamics); Kotsonis, M. (TU Delft Aerodynamics)","","2024","A new experimental facility named Swept Transition Experimental Platform (STEP) has been designed and built for detailed studies of crossflow instability and its interaction with surface irregularities and varying wall temperature conditions. The STEP is designed for use in the anechoic low-turbulence wind tunnel facility at the Delft University of Technology (TU Delft). The new facility consists of a swept flat-plate model with a movable leading edge capable of precisely translating to create forward/backward-facing step irregularities. In addition, the plate’s wall temperature can be adjusted to study the potential of thermal laminar flow control. An adjustable pressure body provides the favorable pressure distribution required to enhance the development of crossflow instability. Static pressure measurements are conducted to characterize the nominal pressure distribution. In addition, detailed hot-wire measurements and theoretical stability calculations reveal that the combination of discrete roughness elements, pressure distribution, and experimental facility allows for a detailed study of the development of crossflow instability in the linear and non-linear growth regime. Consequently, the STEP enables further fundamental research on laminar flow control at TU Delft.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:fae759b3-20d7-4208-92de-d8bf2dd5b9a6","http://resolver.tudelft.nl/uuid:fae759b3-20d7-4208-92de-d8bf2dd5b9a6","Integrated Shape and Trajectory Optimisation of Hypersonic Waveriders","Agante de Carvalho, J.R. (Student TU Delft); Mooij, E. (TU Delft Astrodynamics & Space Missions)","","2024","This research performs a surrogate-assisted shape optimisation of hypersonic waveriders, where the trajectories of each shape are optimised with a multi-objective evolutionary algorithm for heat-load and cross-range. A study on the best evolutionary algorithm, node control strategy for angle of attack and bank angle profiles, and population size to use in the trajectory optimisation phase, are identified. The aerodynamics of the waveriders is computed with a new local surface inclination method blending the modified Newtonian and tangent wedge solutions, while the convective heat flux is computed for the leading edges using the Newton-Kays engineering model. Shape variability is introduced according to the layout of central composite designs, and analysis of variance is applied to identify the shape features driving the two objectives. Shock angle, leading edge radius and overall vehicle dimensions are the strongest drivers, while details on the planform shape are less relevant and should be left for posterior studies. The surrogates are a good approximation of the true fitness functions, so they were optimised in a single-objective framework, producing two optimal waverider designs.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:fd8d4db5-b955-4547-a9e7-dec0b3d16b50","http://resolver.tudelft.nl/uuid:fd8d4db5-b955-4547-a9e7-dec0b3d16b50","Gravity-Field Estimation of Asteroids","Mooij, E. (TU Delft Astrodynamics & Space Missions); Root, B.C. (TU Delft Planetary Exploration)","","2024","With the increasing interest in the Solar System's smaller bodies, quite a few missions have been sent to comets and asteroids, and more will be send in the near future. Due to the large distances involved, communication to command mission parameters takes a long time, which has a negative impact on operational safety. Autonomous navigation would be one of the key technologies that can make the mission more robust, safe, and cost e ective. This is especially true if one considers the unknown ight environment when the spacecraft is rst encountering the body. Most asteroids and comets have a very irregular shape and unknown mass distribution. Therefore, knowledge about its irregular gravity eld will be directly bene cial as input to orbital corrections and manoeuvre planning. This paper addresses the estimation process of gravity- eld parameters that could potentially be implemented in an autonomous navigation system. The focus is on a spherical-harmonic modelling of asteroid Eros-433, most notably outside the Brillouin sphere where the validity of the model is guaranteed. By using Kalman ltering it is shown that all degree and order coe cients up to degree 8 can be estimated with an error below 10%. This is the rst step towards an autonomous navigation system that can operate in a highly-perturbed environment close to the asteroid.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:0511e887-0575-4d17-9b71-3254f20378c0","http://resolver.tudelft.nl/uuid:0511e887-0575-4d17-9b71-3254f20378c0","Aeroelastic Tailoring of a Strut-Braced Wing for a Medium Range Aircraft","Carrillo Córcoles, X. (TU Delft Group Sodja); De Breuker, R. (TU Delft Group De Breuker); Sodja, J. (TU Delft Group Sodja)","","2024","This study explores the implementation of aeroelastic tailoring in the design of a regional aircraft featuring a strut-braced wing (SBW). Making use of the aeroelastic optimisation framework from Delft University of Technology, PROTEUS, the research addresses two distinct cases. The first case involves a simplified SBW geometry to validate the modifications of PROTEUS, which were conducted to include the strut in the aeroelastic analysis. Static and dynamic load cases are compared with a NX Nastran aeroelastic model, showing good agreement in displacements, strains, and gust response. In the second case, the study investigates the weight-saving potential of aeroelastic tailoring in an SBW aircraft based on the ATR-72. Three optimisation scenarios, allowing various laminate types, are examined: unbalanced symmetric laminates, balanced symmetric laminates, and a thickness optimisation with a prescribed balanced symmetric stacking sequence. The results reveal that the prescribed stacking sequence limits stiffness tailoring, thereby also reducing potential weight savings. Moreover, the study shows how the presence of a strut reduces wing deflections, limiting the effectiveness of aeroelastic tailoring.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Group Sodja","","",""
"uuid:014ffc1c-0adb-4f91-91b7-65a86e3e73eb","http://resolver.tudelft.nl/uuid:014ffc1c-0adb-4f91-91b7-65a86e3e73eb","High-Dimensional Bayesian Optimisation with Large-Scale Constraints - An Application to Aeroelastic Tailoring","Maathuis, H.F. (TU Delft Group Giovani Pereira Castro); De Breuker, R. (TU Delft Group De Breuker); Castro, Saullo G.P. (TU Delft Group Giovani Pereira Castro)","","2024","Design optimisation potentially leads to lightweight aircraft structures with lower environmental impact. Due to the high number of design variables and constraints, these problems are ordinarily solved using gradient-based optimisation methods, leading to a local solution in the design space while the global space is neglected. Bayesian Optimisation is a promising path towards sample-efficient, global optimisation based on probabilistic surrogate models. While for problems with a low number of design variables, Bayesian Optimisation methods have demonstrated their strength, the scalability to high-dimensional problems while incorporating large-scale constraints is still lacking. Especially in aeroelastic tailoring where directional stiffness properties are embodied into the structural design of aircraft, to control aeroelastic deformations and to increase the aerodynamic and structural performance, the safe operation of the system needs to be ensured by involving constraints resulting from different analysis disciplines. Hence, a global design space search becomes even more challenging. The present study attempts to tackle the problem by using high-dimensional Bayesian Optimisation in combination with a dimensionality reduction approach to solve the optimisation problem occurring in aeroelastic tailoring, presenting a novel approach for high-dimensional problems with large-scale constraints. Experiments on well-known benchmark cases with black-box constraints show that the proposed approach can incorporate large-scale constraints.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Group Giovani Pereira Castro","","",""
"uuid:4044dca9-7a65-444b-b733-31c8d11a4d8e","http://resolver.tudelft.nl/uuid:4044dca9-7a65-444b-b733-31c8d11a4d8e","Optimal Control for Distributed Aeroelastic Morphing Structure with Uncertainties and Imperfections","Mkhoyan, T. (TU Delft Arts & Crafts); Wang, Xuerui (TU Delft Group Wang); De Breuker, R. (TU Delft Group De Breuker)","","2024","This research takes a further step towards the development of an autonomous aeroservoelastic wing concept with distributed flaps. The wing demonstrator, developed within the TU Delft SmartX project, aims to demonstrate in-flight performance optimization and multi-objective control using an over-actuated wing design. To address the challenges posed by the aeroelastic system's nonlinearities and uncertainties, this paper employs an optimal control method relying on solving the State-Dependent Riccati Equation (SDRE). Geometrical nonlinearities, introduced in the form of plunge and torsion stiffness, make the system state-dependent and unsuitable for linear control methods. Additionally, a backlash model is incorporated to represent the uncertainty of the actuation system. The control strategy is implemented in a multi-objective manner to perform maneuver and gust load alleviation while accounting for the nonlinearities and uncertainties using the SDRE control. Firstly, a numerical sample case is investigated involving a state-dependent and highly non-linear canard aircraft configuration, to assess the ability of the SDRE control method. Then, in a numerical experiment, the effectiveness of the control strategy is evaluated through the nonlinear aeroelastic model. Evaluations are made on the practicality of the control approach, laying a foundation for future static and dynamic wind tunnel experiments with the SmartX-Neo","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Arts & Crafts","","",""
"uuid:63809bbd-a531-4b34-88f9-a2844d257982","http://resolver.tudelft.nl/uuid:63809bbd-a531-4b34-88f9-a2844d257982","Incremental Nonlinear Dynamic Inversion control with Flight Envelope Protection for the Flying-V","Stougie, P.J. (Student TU Delft); Pollack, T.S.C. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation)","","2024","To reduce the impact of aviation on the environment, technological innovations, such as the Flying-V are required. The Flying-V is a proposed commercial flying wing, which uses the Airbus A350-900 as reference aircraft. In this work, a Flight Control system for the Flying-V is proposed with a longitudinal ��∗ control law, and a Rate Control Attitude Hold roll control law. This Flight Control System also includes a Flight Envelope Protection law to prevent reaching angles of attack higher than 30 degrees, where the Flying-V becomes statically unstable. The FEP also prevents the Flying-V from reaching load factors above 2.5 and limits the roll angle. The control laws are tuned to be within level 1 handling qualities in the selected approach and cruise conditions, with the presence of sensor dynamics, and a digital control system. Robustness for aerodynamic uncertainties is also shown. Finally, it is shown that the FEP is able to prevent the angle of attack from becoming too large.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Control & Simulation","","",""
"uuid:f07cb2c5-328b-4c82-9724-2126affc7f87","http://resolver.tudelft.nl/uuid:f07cb2c5-328b-4c82-9724-2126affc7f87","Certification of Reinforcement Learning Applications for Air Transport Operations Based on Criticality and Autonomy","Ribeiro, M.J. (TU Delft Air Transport & Operations); Tseremoglou, I. (TU Delft Air Transport & Operations); Santos, Bruno F. (TU Delft Air Transport & Operations)","","2024","Despite its success in various research domains, Reinforcement Learning (RL) faces challenges in its application to air transport operations due to the rigorous certification standards of the aviation industry. The existing regulatory framework fails to provide adequate, acceptable means of compliance for RL applications, and thus, there is no legal framework for their safe deployment yet. Guidelines must be formulated to certify RL models aimed at air transport operations to enable real-world utilisation of these promising methods. These guidelines must consider the unique characteristics of these models, deviating from the methodology of current guidelines crafted before the emergence of ML applications. The paper proposes novel certification requirements for RL models based on their technical characteristics, safety-criticality, and autonomy. This framework covers the choice of the RL algorithm and analyses the actions, agents, environment, and potential hazards and risks of the RL application. Additionally, this work outlines the evidence the certification applicant must present to demonstrate compliance with these requirements. While this framework is not a complete solution for the complex problem of certifying RL, it is intended to serve as an initial framework which can be extended in cooperation with regulatory entities.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Air Transport & Operations","","",""
"uuid:c63faa48-2ab5-4123-b1e3-bc7eb866b112","http://resolver.tudelft.nl/uuid:c63faa48-2ab5-4123-b1e3-bc7eb866b112","Optimization of Tollmien-Schlichting waves control: comparison between a deep reinforcement learning and particle swarm optimization approach","Mohammadikalakoo, B. (TU Delft Aerodynamics); Kotsonis, M. (TU Delft Aerodynamics); Doan, Nguyen Anh Khoa (TU Delft Aerodynamics)","","2024","This work focuses on the suppression of Tollmien-Schlichting (TS) waves in a two-dimensional laminar boundary layer using optimized unsteady suction and blowing jets as an Active Flow Control (AFC) method. The suppression of TS waves via this AFC system is enabled through two artificial intelligence-based optimization methodologies: Single-Step Deep Reinforcement Learning (SDRL) and Particle Swarm Optimization (PSO). The primary aim of this research is to assess the performance of these methods in optimizing the AFC parameters with respect to convergence rate, computational efficiency, and ability to find an optimum control state. The findings demonstrate the success of both methods in finding appropriate control parameters resulting in TS wave attenuation by up to 40 dB in the maximum convective instability amplitude for the linear and nonlinear stages of development. The comparative study in this paper presents the effectiveness of the SDRL algorithm in optimizing the AFC system for TS waves’ suppression and demonstrates that it can outperform PSO in terms of convergence rate and computational efficiency alongside a better performance in finding an improved optimum for linear control cases. However, the advantage of the SDRL-based controller over the PSO-based one diminishes in multi-frequency nonlinear control cases where the controller is located downstream and attempting to control highly amplified multi-modal TS waves.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:ed93cf78-331e-4939-b51e-ffcca6de7160","http://resolver.tudelft.nl/uuid:ed93cf78-331e-4939-b51e-ffcca6de7160","Parametric study of a switchable vortex generator for load alleviation in transonic conditions","Marino, Luca (TU Delft Group Sodja); Kiat, I. (Student TU Delft); Eberle, Adrian (Airbus Operations GmbH); Sodja, J. (TU Delft Group Sodja)","","2024","This paper investigates the impact of introducing a switchable vortex generator (SVG), acting as a mini-tab, on the aerodynamic performance of a high-aspect-ratio wing's outer section in transonic regime. A parametric study is conducted employing computational fluid dynamics 2D simulations, focusing on the aerodynamic effects of changing the chord-wise position and height of the vane of a SVG located on the airfoil upper surface in both nominal cruise conditions and for varying angles of attack. The analysis reveals that mini-tabs can strongly affect the aerodynamic forces produced by the wing section, showing great potential for load alleviation and control, but also emphasising the need for a careful parameter selection to reduce undesirable effects such as the generation of shock waves. In cruise conditions, lift reduction increases with the vane height and has its maximum for chord-wise positions at 60% of the chord-length. However, SVGs located in the first half of the chord-length yield more robust performance for varying angle of attack, without sharp lift variations or generated shock waves, and a delayed stall onset. High SVGs (≥3% chord-length) can also lead to strong shock waves on the airfoil lower surface at small or negative angle of attack, while small SVGs (","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Group Sodja","","",""
"uuid:260ad45b-b04f-468a-aa87-49b289800a62","http://resolver.tudelft.nl/uuid:260ad45b-b04f-468a-aa87-49b289800a62","Acousto-Optical Tuneable Filter design for efficient diffraction of unpolarised light","Dupont, Samuel (Université Polytechnique Hauts de France); Kastelik, Jean-Claude (Université Polytechnique Hauts de France); Vanhamel, J. (TU Delft Space Systems Egineering); Dekemper, Emmanuel (Royal Belgian Institute for Space Aeronomy (BIRA-IASB))","Astolfi, Arianna (editor); Asdrudali, Francesco (editor); Shtrepi, Louena (editor)","2024","Diffraction of optical waves by an acoustic grating is a well-known phenomenon that enables the design of very versatile devices useful in photonic systems. For example, Acousto-Optic Tuneable Filters (AOTFs) can be dynamically tuned by radio-frequency signals. Among possible material choice, tellurium dioxide crystal is often used for practical applications due to its high efficiency. In such a birefringent material, the anisotropic configuration is often used. A feature of this configuration is the sensitivity to optical input polarisation: a selective coupling between polarized modes occurs. The incident must be polarised and
the diffracted mode polarisation is orthogonal to the incident one.
However, during the design process a very specific operation point can be found that ensures the simultaneous diffraction of both the ordinary and the extraordinary optical modes. In this presentation, we introduce the design of AOTF in birefringent crystals and present the main parameters that are subject to trade-off. Acousto-optic diffraction efficiency is sensitive to the so-called phase matching condition between optical wave and the ultrasonic
wave. The offset from synchronicity is considered introducing a phase mismatch parameter. Diffraction efficiency evolution with respect to Bragg condition offset are illustrated. A custom device is finally presented that ensures simultaneous diffraction of both polarisation modes and compared to experimental results.","AOTF; anisotropic diffraction; unpolarised light","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-01","","","Space Systems Egineering","","",""
"uuid:c5e3916b-e2b3-4244-8541-432dedc10402","http://resolver.tudelft.nl/uuid:c5e3916b-e2b3-4244-8541-432dedc10402","Dataslip: Into the Present and Future(s) of Personal Data","Gomez Ortega, A. (TU Delft Internet of Things); Noortman, Renee (Eindhoven University of Technology); Bourgeois, Jacky (TU Delft Internet of Things); Kortuem, G.W. (TU Delft Internet of Things)","","2024","Most people are entangled with an ever-growing trail of data that results from their daily interactions with products and services. Yet, they are hardly aware of the nature and characteristics of the data within this trail. We design dataslip, a provocative artifact that materializes the personal data trail into a receipt and aims to elicit creepiness. We demonstrate dataslip at two events in Delft, The Netherlands. Dataslip is a starting point to foster conversations with local community members about the underlying challenges and potential alternatives to personal data collection and use. We use these as prompts for further speculation through a collaborative futuring exercise with children, where we part from challenges towards hopeful and empowering futures. We contribute with an artifact that invites individuals to interrogate the current personal data practices they are embedded in and a set of five speculative design scenarios that suggest hopeful and empowering alternatives.","Personal Data; Prototyping; Speculative Design; Futuring","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Internet of Things","","",""
"uuid:58bfa20f-4401-4782-a6b9-d3ae871a928d","http://resolver.tudelft.nl/uuid:58bfa20f-4401-4782-a6b9-d3ae871a928d","Rapid Aerostructural Optimization of Wing-Propeller Systems","Exalto, J.Q.B. (University of Michigan); Pacini, Bernardo (University of Michigan); Kaneko, Shugo (University of Michigan); Martins, Joaquim R. R. A. (University of Michigan); Hoogreef, M.F.M. (TU Delft Flight Performance and Propulsion)","","2024","Propeller-wing configurations are expected to return to the aviation industry due to their high propulsive efficiency and applicability in urban and regional air mobility. A knowledge gap exists around wing-propeller optimization because of the complexity of the propeller-wing system and the absence of a computationally efficient way to assess the coupled system. This paper addresses this gap by providing and validating a computationally efficient, mid-fidelity framework. The paper presents optimization results and recommendations for future iterations of the framework. The TU Delft PROWIM propeller is optimized with the framework, comparing sequential isolated optimization, trim optimization, and fully coupled optimization. The studies gives a conservative estimate of the efficiency gains that can be achieved by using coupled optimization, as compared to isolated optimization. Lastly, recommendations are given for future studies, such as including a battery weight model and including swirl velocities. It is expected that such model additions will affect the optimization results, and further emphasize the importance of coupled aerostructural optimization.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:13431629-499d-4237-a99e-93f6aaf0c1b2","http://resolver.tudelft.nl/uuid:13431629-499d-4237-a99e-93f6aaf0c1b2","How Ready a Municipality is for Zero-Emission City Logistics? Development and Application of Maturity Model for Dutch Municipalities","Anand, N.R. (Rotterdam University of Applied Sciences); Motloung, T. (Breda University of Applied Sciences); Quak, H.J. (Breda University of Applied Sciences; TNO); van Duin, Ron (TU Delft Transport and Logistics; Rotterdam University of Applied Sciences)","","2024","The number of activities in city logistics is growing rapidly causing an increase in emissions, and a decline in accessibility and safety in cities. Therefore, the Dutch government has introduced GreenDeal Zero-emission city logistics. The goal of this deal is to have 30-40 of the biggest municipalities in the Netherlands have zero-emission city logistics in 2025. The goal for 2025 is clear, but it lacks a way for municipalities to see their progress and a way to find improvements in their city logistics. This research is focused on developing a maturity model as a tool to assess the maturity level of a municipality for its performance-related city logistics process management to achieve its aim of reaching zero emissions. The
City Logistics Maturity Model for Municipality (CL3M) requires a domain-specific, multidimensional model to assess city logistics from a municipal point of view. The model includes six levels (0-5) and a PCDA cycle is embedded throughout the levels. The model is populated through three focus fields (Technical, Social and Corporate, and Policy), branching out into six areas of development: Information and communication technology, urban logistics planning, Stakeholder communication, Public-Private Partnerships, Subsidization and incentivization, and Regulations. The CL3M model was tested for three municipalities, namely, the municipality of Deventer, Zwolle, and Nijmegen. The assessment pointed out that CL3M is yet in its juvenile stage and with further development, the model can reach its full potential in usefulness, reliability, and adaptation","City logistics; Maturity model; CO2 Emissions; zero emission; Climate Agreement","en","conference paper","","","","","","","","","","","Transport and Logistics","","",""
"uuid:0ca8e7f6-6d62-4425-ae47-830d1024ba39","http://resolver.tudelft.nl/uuid:0ca8e7f6-6d62-4425-ae47-830d1024ba39","Blade Element Theory Model for UAV Blade Damage Simulation","de Alvear Cardenas, J.I. (San José State University); de Visser, C.C. (TU Delft Control & Simulation)","","2024","From fault-tolerant control to failure detection, blade damage simulation is integral for developing and testing failure-resilient modern unmanned aerial vehicles. Existing approaches assume partial loss of rotor effectiveness or reduce the problem to centrifugal forces resulting from the shift in the propeller centre of gravity. In this study, a white-box blade damage model based on Blade Element Theory is proposed, integrating both mass and aerodynamic effects of blade damage. The model serves as plug-in to the nominal system model, enables the simulation of any degree of blade damage and does not require costly experimental data from failure cases. A complementary methodology for the identification of the airfoil lift and drag coefficients is also presented. Both contributions were demonstrated with the Bebop 2 drone platform and validated with static test stand wrench measurements obtained at 3 levels of blade damage (0%, 10%, 25%) in a dedicated wind tunnel experimental campaign with velocities up to 12 m/s. Results indicate high accuracy in simulating a healthy propeller. In the presence of blade damage, the model exhibits a relative error between 5% and 24% at high propeller rotational speeds and between 15% and 75% at low propeller rotational speeds.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Control & Simulation","","",""
"uuid:19af5ad2-e69d-435e-9539-c89dd885a27f","http://resolver.tudelft.nl/uuid:19af5ad2-e69d-435e-9539-c89dd885a27f","Unreal Success: Vision-Based UAV Fault Detection and Diagnosis Framework","de Alvear Cardenas, J.I. (San José State University); de Visser, C.C. (TU Delft Control & Simulation)","","2024","Online fault detection and diagnosis (FDD) enables Unmanned Aerial Vehicles (UAVs) to take informed decisions upon actuator failure during flight, adapting their control strategy or deploying emergency systems. Despite the camera being a ubiquitous sensor on-board of most commercial UAVs, it has not been used within FDD systems before, mainly due to the nonexistence of UAV multi-sensor datasets that include actuator failure scenarios. This paper presents a knowledge-based FDD framework based on a lightweight LSTM network and a single layer neural network classifier that fuses camera and Inertial Measurement Unit (IMU) information. Camera data are pre-processed by first computing its optical flow with RAFT-S, a state-of-the-art deep learning model, and then extracting features with the backbone of MobileNetV3-S. Short-Time Fourier Transform is applied on the IMU data for obtaining their time-frequency information. For training and assessing the proposed framework, UUFOSim was developed: an Unreal Engine-based simulator built on AirSim that allows the collection of high-fidelity photo-realistic camera and sensor information, and the injection of actuator failures during flight. Data were collected in simulation for the Bebop 2 UAV with 16 failure cases. Results demonstrate the added value of the camera and the complementary nature of both sensors with failure detection and diagnosis accuracies of 99.98% and 98.86%, respectively.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Control & Simulation","","",""
"uuid:7c95d34b-ae83-47db-803c-c2938607758a","http://resolver.tudelft.nl/uuid:7c95d34b-ae83-47db-803c-c2938607758a","Personal Data Comics: A Data Storytelling Approach Supporting Personal Data Literacy","Gomez Ortega, A. (TU Delft Internet of Things); Bourgeois, Jacky (TU Delft Internet of Things); Kortuem, G.W. (TU Delft Internet of Things)","","2024","Most people interact with digital technologies that collect personal data about their behavior and experiences, leaving behind a data trail. The data within this trail is abstract and difficult to interpret; still, people often need to decide about its collection and distribution. Hence, it is paramount to support personal data literacy, for which data visualization approaches have been successful. These approaches focus mostly on data from single sources (e.g., IoT devices at home) or types (e.g., menstrual logs) and fail to capture people’s situated knowledge. We hypothesize that creating data comics can address these limitations and support people in developing personal data literacy. In this paper, we explore how non-data experts create personal data comics, starting from simple data visualizations, and investigate their effectiveness and engagement in the context of pregnancy. Doing so, we identify comic elements that facilitate the autonomous exploration of personal data and provide design recommendations to support independent data comic creation.","Personal Data; Data Literacy; Data Visualization; Data Comics","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Internet of Things","","",""
"uuid:7df8183d-13a9-43e0-8a5b-5d467e33fd8a","http://resolver.tudelft.nl/uuid:7df8183d-13a9-43e0-8a5b-5d467e33fd8a","Identifying the Design Feature That Causes Project Delay in DfMA: A Dominant Element Analysis Method for Project Scheduling","Cao, Jianpeng (ETH Zürich); Zhang, Hang (ETH Zürich); Pan, Bo (ETH Zürich); Soman, R. K. (ETH Zürich); Savov, Anton (ETH Zürich); Hall, Daniel M. (TU Delft Design & Construction Management)","Turkan, Yelda (editor); Louis, Joseph (editor); Leite, Fernanda (editor); Ergan, Semiha (editor)","2024","Design for manufacturing and assembly (DfMA) is an engineering methodology which aims to increase ease of manufacture and efficiency of assembly by considering manufacturing and assembly constraints in the design process. However, current DfMA approaches in the construction sector are not automated enough to identify the design features that may cause project delay in real time. This leads to longer design cycle. Also, current scheduling algorithms rely on human intervention to generate activity network from a design output. Addressing these inefficiencies, we propose an interpretative machining learning model to predict the construction duration given a design output. More importantly, the same model identifies the design features that may cause the most delay in the project. The model is trained on a residential design dataset with various features, such as layout, geometry, and element typology. The output of the model is the project duration and an importance map, indicating the influence each feature of the given design has on the total project duration. The results from this model can considerably reduce the design cycle by supporting architects to create fabrication and assembly aware design even when they have little knowledge of production and assembly processes. This model will contribute to a novel computational approach for DfMA.","","en","conference paper","American Society of Civil Engineers (ASCE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-25","","","Design & Construction Management","","",""
"uuid:29fd8dd3-454d-4e65-af70-5fa2b8dec048","http://resolver.tudelft.nl/uuid:29fd8dd3-454d-4e65-af70-5fa2b8dec048","Polarimetric Calibration of an FMCW Doppler Radar with Dual-Orthogonal Signals","Krasnov, O.A. (TU Delft Microwave Sensing, Signals & Systems); Zhang, Q. (Student TU Delft); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2024","In this paper, the full calibration chain of FMCW radar with simultaneous transmission of two orthogonally polarized orthogonal waveforms is considered. Specifically for this type of polarimetric radar, compensation of signals’ biases and equalization of the amplification gains of the parallel polarimetric channels in the receiver are jointly performed using the noise measurements. The calibrations of the absolute complex gains of the transmitter’s polarimetric channels together with complex antenna gains are done using the model-based fit of the measurements of the rotating dihedral reflector. Phase relations between polarimetric channels are treated in the Doppler domain using the unfolded velocity of the target. The performed calibration results in high-accurate measurements of the radar targets’ polarimetric scattering matrix (PSM) in the Doppler domain. All the proposed calibration steps are illustrated using real radar data.","radar polarimetry; polarimetric calibration; polarization scattering matrix measurements","en","conference paper","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:3880f334-a1a3-43df-a825-7eaa141d2548","http://resolver.tudelft.nl/uuid:3880f334-a1a3-43df-a825-7eaa141d2548","Precipitation Doppler Spectrum Reconstruction With Gaussian Process Prior","Dash, T.K. (TU Delft Microwave Sensing, Signals & Systems); Driessen, J.N. (TU Delft Microwave Sensing, Signals & Systems); Krasnov, O.A. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2024","The challenge of reconstructing the Doppler spectrum of a precipitation-like event observed by a fast-scanning weather radar is addressed. A novel method is proposed where the echo sequence in time is assumed to be a complex Gaussian process with a known covariance structure. It is a two-step approach where the first step is the estimation of the hyperparameters of the covariance function with a maximum likelihood approach, and the second step is the reconstruction of the spectrum directly in the time or spectral domain. The proposed approach is applied to simulated data for hyper-parameter estimation performance analysis and real radar data for the complete Doppler spectrum reconstruction.","Bayesian Inference; Weather Doppler Radar","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-24","","","Microwave Sensing, Signals & Systems","","",""
"uuid:4fed6676-a637-4bbb-9212-20704504cc2e","http://resolver.tudelft.nl/uuid:4fed6676-a637-4bbb-9212-20704504cc2e","Displayed Monoidal Categories for the Semantics of Linear Logic","Ahrens, B.P. (TU Delft Programming Languages; University of Birmingham); Matthes, Ralph (INPT; Université de Toulouse); van der Weide, N.J. (Radboud Universiteit Nijmegen); Wullaert, K.F. (TU Delft Programming Languages)","Timany, Amin (editor); Traytel, Dmitriy (editor); Pientka, Brigitte (editor); Blazy, Sandrine (editor)","2024","We present a formalization of different categorical structures used to interpret linear logic. Our formalization takes place in UniMath, a library of univalent mathematics based on the Coq proof assistant. All the categorical structures we formalize are based on monoidal categories. As such, one of our contributions is a practical, usable library of formalized results on monoidal categories. Monoidal categories carry a lot of structure, and instances of monoidal categories are often built from complicated mathematical objects. This can cause challenges of scalability, regarding both the vast amount of data to be managed by the user of the library, as well as the time the proof assistant spends on checking code. To enable scalability, and to avoid duplication of computer code in the formalization, we develop ""displayed monoidal categories"". These gadgets allow for the modular construction of complicated monoidal categories by building them in layers; we demonstrate their use in many examples. Specifically, we define linear-non-linear categories and construct instances of them via Lafont categories and linear categories.","categorical semantics; Coq; linear logic; monoidal categories; UniMath","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Programming Languages","","",""
"uuid:6edbc2e4-1cc2-458c-a273-5559bcf009f5","http://resolver.tudelft.nl/uuid:6edbc2e4-1cc2-458c-a273-5559bcf009f5","Univalent Double Categories","van der Weide, N.J. (Radboud Universiteit Nijmegen); Rasekh, Nima (Max Planck Institute for Mathematics); Ahrens, B.P. (TU Delft Programming Languages; University of Birmingham); North, P.R. (Universiteit Utrecht)","Timany, Amin (editor); Traytel, Dmitriy (editor); Pientka, Brigitte (editor); Blazy, Sandrine (editor)","2024","Category theory is a branch of mathematics that provides a formal framework for understanding the relationship between mathematical structures. To this end, a category not only incorporates the data of the desired objects, but also ""morphisms"", which capture how different objects interact with each other. Category theory has found many applications in mathematics and in computer science, for example in functional programming. Double categories are a natural generalization of categories which incorporate the data of two separate classes of morphisms, allowing a more nuanced representation of relationships and interactions between objects. Similar to category theory, double categories have been successfully applied to various situations in mathematics and computer science, in which objects naturally exhibit two types of morphisms. Examples include categories themselves, but also lenses, petri nets, and spans. While categories have already been formalized in a variety of proof assistants, double categories have received far less attention. In this paper we remedy this situation by presenting a formalization of double categories via the proof assistant Coq, relying on the Coq UniMath library. As part of this work we present two equivalent formalizations of the definition of a double category, an unfolded explicit definition and a second definition which exhibits excellent formal properties via 2-sided displayed categories. As an application of the formal approach we establish a notion of univalent double category along with a univalence principle: equivalences of univalent double categories coincide with their identities.","category theory; double categories; formalization of mathematics; univalent foundations","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Programming Languages","","",""
"uuid:c13dd1e6-1704-4f5b-9b45-95de34eb7ef2","http://resolver.tudelft.nl/uuid:c13dd1e6-1704-4f5b-9b45-95de34eb7ef2","Integrating simulation and measurement techniques to model outdoor noise and heat in airport neighbourhoods with varying urban geometries","Wuite, F.G.E. (TU Delft Environmental & Climate Design; TU Delft Amsterdam Institute for Advanced Metropolitan Solutions); Peng, Zhikai (TU Delft Environmental & Climate Design; TU Delft Amsterdam Institute for Advanced Metropolitan Solutions); Kim, K.J. (TU Delft Environmental & Climate Design); Lugten, M.C. (TU Delft Environmental & Climate Design; TU Delft Amsterdam Institute for Advanced Metropolitan Solutions); Tenpierik, M.J. (TU Delft Environmental & Climate Design)","","2024","This study aims to evaluate the impact of different urban building geometries (six courtyards, two canyons, two slabs) on heat mitigation and aircraft noise attenuation, in order to support an evidence-based retrofit plan for future airport neighborhoods. Using ’Pachyderm + ENVI-met simulations + field measurements’, we found that the slanted-roof, low-rise courtyard exhibited optimal acoustic-thermal performance (SPLmin = 71.1 dB(A), σU T CI < 5 ◦C), while the mid-rise canyon demonstrated limited performance (SPLmin = 93.4 dB(A), σU T CI > 10 ◦C). These findings were observed under averaged boundary conditions of a 140 dB(A) aircraft sound source and a diurnal MRT range of 60 ◦C on a heatwave day in July 2022.","noise and heat; parametric design; airport neighbourhood; courtyard; outdoor comfort","en","conference paper","IBPSA","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-13","","Amsterdam Institute for Advanced Metropolitan Solutions","Environmental & Climate Design","","",""
"uuid:6a2d6ba5-1eaf-4b41-83f8-1ae45f976896","http://resolver.tudelft.nl/uuid:6a2d6ba5-1eaf-4b41-83f8-1ae45f976896","Impact of geometrical resolution on long-term climate-based daylight metrics","Forouzandeh Shahraki, N. (TU Delft Environmental & Climate Design); Brembilla, E. (TU Delft Environmental & Climate Design); Stoter, J.E. (TU Delft Urban Data Science); Nan, L. (TU Delft Urban Data Science)","","2024","3D modeling of indoor spaces is a prerequisite for daylight simulation, and the accuracy of the 3D models has a significant impact on the simulation. The goal of this study was to quantify the errors caused by modeling indoor spaces at different accuracy levels to find the optimal balance between the reliability of the results and labor investment. For this purpose, we introduce a level of detail (LOD) concept for indoor spaces based on the size of non-permanent indoor objects by inclusion and exclusion from the simulation scene. The errors corresponding to models with low accuracies are measured by climate-based simulation using an improved two-phase method. Our results show that inaccurate modeling of indoor spaces causes between 10-70% error in TAI with 25% median across all spaces.","daylight; blinds automated control; complex fenestration systems; radiance matrix methods","en","conference paper","IBPSA","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-13","","","Environmental & Climate Design","","",""
"uuid:7bc0145f-3e4b-4947-9617-9f71462394be","http://resolver.tudelft.nl/uuid:7bc0145f-3e4b-4947-9617-9f71462394be","A 0D Model for the Comparative Analysis of Hydrogen Carriers in Ship’s Integrated Energy Systems","Van Rheenen, E.S. (TU Delft Ship Design, Production and Operations); Padding, J.T. (TU Delft Complex Fluid Processing); Visser, K. (TU Delft Ship Design, Production and Operations)","","2024","Hydrogen carriers are attractive alternative fuels for the shipping sectors. They are zero-emission, have high energy densities, and are safe, available, and easy to handle. Sodium borohydride, potassium borohydride, dibenzyltoluene, n-ethylcarbazole, and ammoniaborane are interesting hydrogen carriers, with high theoretical energy densities. The exact energy density of these hydrogen carriers depends on the integration of heat and mass with the energy converters. This combination defines the energy efficiency and, thus, the energy density of the system. Using a 0D model, we combined the five carriers with two types of fuel cells (PEM and SOFC), an internal combustion engine and a gas turbine. This resulted in 20 combinations. Despite the limitations of the 0D model and the occasional difficulty of validating input values, this model still produces exciting findings, which are valuable for further research. For the dehydrogenation of both dibenzyltoluene and n-ethylcarbazole, an external hydrogen burner is required if no waste heat resources from the integrated system are available. For the borohydrides, on the other hand, energy integration is essential for reducing cooling power. Dehydrogenation produces substantial energy, but only a fraction of this energy can be used for internal preheating. Dehydrogenation of ammoniaborane produces less energy. Among all hydrogen carriers, both ammoniaborane and sodium borohydride provide energy densities comparable to that of marine diesel oil. In particular, ammoniaborane possesses a remarkably high energy density. Thus, we conclude, that hydrogen carriers are attractive alternative fuels that deserve more attention, including their potential performance for hydrogen imports.
2 Storage in Carbonate Rocks Using Machine Learning and Multiscale Imaging","Yong, Wen Pin (Petronas Research); Menke, Hannah (Heriot-Watt University); Maes, Julien (Heriot-Watt University); Geiger, S. (TU Delft Applied Geology); Bakar, Zainol Affendi Abu (Petronas Research); Lewis, Helen (Heriot-Watt University); Buckman, Jim (Heriot-Watt University); Bonnin, Anne (Paul Scherrer Institut); Singh, Kamaljit (Heriot-Watt University)","","2024","Microporosity is commonly assumed to be non-connected porosity and not commonly studied in geoengineering industry. However, the presence of micropores plays a key role in connecting macropores and it can contribute significantly to the overall flow performance. In this study, targeted CO2 storage carbonate fields in Southeast Asia have significant amounts of microporosity ranging from 10 to 60% of the total measured porosity. Microporosity can only be seen in high resolution images. To study the unresolved and the resolved microporosity, Middle Miocene carbonate samples from CO2 storage candidate fields were scanned using lower resolution micro-computed micro-tomography (micro-CT) and higher resolution synchrotron light source to understand the pore scale structure of the carbonate sample at different length scales. This paper proposes a proof-of-concept upscaling method that integrates multiscale 3D imaging techniques and trendline analysis to establish porosity-permeability relationships with microporosity insight. After image acquisition and processing, the images were divided into smaller sub-volumes. Pore-scale modelling was conducted to predict the permeability using Darcy-Brinkman-Stokes (DBS) model. Then, a nano-scale porosity-permeability transform is generated using natural log trendline fitting based on simulation results. The porosity-permeability transform is further extended to three cases to cover the low case, mid case, and high case of datapoint fittings and is further validated with laboratory measured data. The established porosity-permeability transforms in this study have been applied to compare with petrophysical derived porosity-permeability transforms with better performance (higher R2 value) for low permeability datapoint. The multiscale imaging upscaling workflow has integrated machine learning during image segmentation with pore-scale modelling and trendline fitting during the upscaling study. It emphasises the importance of seeing the unseen (unresolved microporous phase) to understand the internal texture and microstructure of a rock sample in order to understand the connectivity of the overall flow performance in a carbonate rock.","carbonate rock; geologist; sedimentary rock; geology; rock type; reservoir characterization; reservoir simulation; scaling method; fluid dynamics; trendline fitting","en","conference paper","Offshore Technology Conference","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-22","","","Applied Geology","","",""
"uuid:ae6347bb-86e3-4b0a-ab89-ecf6b8a71e30","http://resolver.tudelft.nl/uuid:ae6347bb-86e3-4b0a-ab89-ecf6b8a71e30","Towards Circular ICUs: Circular Intubations as a Catalyser for Systemic Change","Ville, Alicia (Student TU Delft); Hunfeld, Nicole (Erasmus MC); Bakker, C.A. (TU Delft Circular Product Design); Sené, Baptiste (Van Berlo); Diehl, J.C. (TU Delft Design for Sustainability)","Melles, M. (editor); Goossens, R.H. (editor)","2024","This project aims to reduce the environmental impact of the Intensive Care Unit (ICU) of the Erasmus Medical Center (EMC). Systemic design research was executed to map the current waste flow created by the ICU. Literature review, interviews and observations were performed to gather information about the healthcare protocols, hospital procurement process, intubation practices and used devices and consumables. This resulted in a set of challenges which were used to ideate from different perspectives to improve the sustainability of the ICU. A set of opportunities to introduce circularity within the ICU were defined. These opportunities ranged from waste separation to the reduction of the disposal of unused products. The selected circular opportunity was intubation, needed when patients cannot breathe by themselves. For this, a video laryngoscope, which is composed of various plastics, a video camera, and a led light, is used for only a few minutes and disposed of (and incinerated) directly afterwards. The aim of the second part of this research project was: Can we design a circular intubation procedure as a catalyzer for systemic change towards circular ICUs? One of the proposed circular strategies for the video laryngoscope is the reprocessing of intubation devices used at the ICU itself. A transition model toward reprocessing using UV-C radiation technique was further developed. Compared to current reprocessing procedures, UV-C disinfection consumes no water and less electricity and offers the possibility of decentralized reprocessing within the ICU department itself. This project aims to provoke conversations between the hospital, manufacturers and other stakeholders about how the healthcare sector could start reprocessing valuable medical devices towards a circular ICU.","Circular healthcare; Systemic design; Intensive Care Unit; Intubation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-05","","","Circular Product Design","","",""
"uuid:cefb494c-0fd9-4579-a9b2-bedb2f671eb5","http://resolver.tudelft.nl/uuid:cefb494c-0fd9-4579-a9b2-bedb2f671eb5","Current Business Model Practices in Energy Master Planning for Regions, Cities and Districts","Haase, Matthias (Zurich University of Applied Science (ZHAW)); Konstantinou, T. (TU Delft Architectural Technology)","Bisello, Adriano (editor); Vettorato, Daniele (editor); Bottero, Marta (editor); Kolokotsa, Dionysia (editor)","2024","Roughly 97% of the European Union (EU) building stock is not considered energy efficient, and 75–85% of it will still be in use in 2050 (Artola et al., Boosting building renovation: What potential and value for Europe? 2016). Residential buildings account for around two thirds of final energy consumption in European buildings. The rate at which new buildings either replace the old stock or expand the total stock is about 1% per year. Similarly, the current renovation rate of existing buildings in the EU is about 1–2% of the building stock renovated each year. Renovation strategies on building levels need to be derived from a combination of energy efficiency upgrades to buildings and the use of renewable energy to decarbonize the energy supply, on a district or city scale. IEA EBC Annex 75 subtask D2 focuses on promoting cost-effective building renovation at district level combining energy efficiency and renewable energy systems, by focusing on the business models that can make implementation possible. This paper intends to provide an overview of the business model archetypes that can support the development of district demand and/or supply of energy-efficient building renovations and/or renewable energy solutions by targeting various types of stakeholders. It builds upon existing literature to gain insights into the current distributed energy business model landscape. Further, implementation strategies are identified that focus on a holistic evaluation of the expected energy and CO2 performance of the site and optimized infrastructure investment pathways.","Business models; Decarbonization; District scale","en","conference paper","Springer","","","","","Funding Information: The work presented in this paper was developed as a contribution to the IEA EBC Annex 75 project. The authors would like to acknowledge all project participants and all national funding organizations.","","","","","Architectural Technology","","",""
"uuid:f9723127-daa3-4674-a3c2-88c2b9a9bcf4","http://resolver.tudelft.nl/uuid:f9723127-daa3-4674-a3c2-88c2b9a9bcf4","Peering into the Darkness: The Use of UTRS in Combating DDoS Attacks","Anghel, R.I. (TU Delft Organisation & Governance); Vetrivel, Swaathi (TU Delft Organisation & Governance); Turcios Rodriguez, E.R. (TU Delft Organisation & Governance); Sameshima, Kaichi (Yokohama National University); Makita, Daisuke (Yokohama National University; National Institute of Information and Communications Technology); Yoshioka, Katsunari (Yokohama National University); Hernandez Ganan, C. (TU Delft Organisation & Governance); Zhauniarovich, Y. (TU Delft Organisation & Governance)","Tsudik, Gene (editor); Conti, Mauro (editor); Liang, Kaitai (editor); Smaragdakis, Georgios (editor)","2024","Remotely Triggered Black Hole (RTBH) is a common DDoS mitigation approach that has been in use for the last two decades. Usually, it is implemented close to the attack victim in networks sharing some type of physical connectivity. The Unwanted Traffic Removal Service (UTRS) project offers a free, global, and relatively low-effort-to-join and operate RTBH alternative by removing the requirement of physical connectivity. Given these unique value propositions of UTRS, this paper aims to understand to what extent UTRS is adopted and used to mitigate DDoS attacks. To reach this goal, we collected two DDoS datasets describing amplification and Internet-of-Things-botnet-driven attacks and correlated them with the information from the third dataset containing blackholing requests propagated to the members of UTRS. Our findings suggest that, currently, just a small portion of UTRS members (approximately 10 % ) trigger mitigation attempts: out of 1200+ UTRS members, only 124 triggered blackholing events during our study. Among those, with high probability, 25 Autonomous Systems (ASes) reacted on AmpPot attacks mitigating 0.025 % of them globally or 1.03 % targeting UTRS members; 2 countered IoT-botnet-driven attacks alleviating 0.001 % of them globally or 0.06 % targeting UTRS members. This suggests that UTRS can be a useful tool in mitigating DDoS attacks, but it is not widely used.","DDoS attacks; RTBH; UTRS","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-11","","","Organisation & Governance","","",""
"uuid:d61a6e0a-cc06-486b-a78d-dad20e686e53","http://resolver.tudelft.nl/uuid:d61a6e0a-cc06-486b-a78d-dad20e686e53","Accelerating Large-Scale Graph Processing with FPGAs: Lesson Learned and Future Directions","Procaccini, Marco (University of Siena); Sahebi, Amin (University of Siena); Barbone, Marco (Imperial College London); Luk, Wayne (Imperial College London); Gaydadjiev, G. (TU Delft Quantum Circuit Architectures and Technology); Giorgi, Roberto (University of Siena)","Bispo, Joao (editor); Xydis, Sotirios (editor); Curzel, Serena (editor); Sousa, Luis Miguel (editor)","2024","Processing graphs on a large scale presents a range of difficulties, including irregular memory access patterns, device memory limitations, and the need for effective partitioning in distributed systems, all of which can lead to performance problems on traditional architectures such as CPUs and GPUs. To address these challenges, recent research emphasizes the use of Field-Programmable Gate Arrays (FPGAs) within distributed frameworks, harnessing the power of FPGAs in a distributed environment for accelerated graph processing. This paper examines the effectiveness of a multi-FPGA distributed architecture in combination with a partitioning system to improve data locality and reduce inter-partition communication. Utilizing Hadoop at a higher level, the framework maps the graph to the hardware, efficiently distributing pre-processed data to FPGAs. The FPGA processing engine, integrated into a cluster framework, optimizes data transfers, using offline partitioning for large-scale graph distribution. A first evaluation of the framework is based on the popular PageRank algorithm, which assigns a value to each node in a graph based on its importance. In the realm of large-scale graphs, the single FPGA solution outperformed the GPU solution that were restricted by memory capacity and surpassing CPU speedup by 26x compared to 12x. Moreover, when a single FPGA device was limited due to the size of the graph, our performance model showed that a distributed system with multiple FPGAs could increase performance by around 12x. This highlights the effectiveness of our solution for handling large datasets that surpass on-chip memory restrictions.","Accelerators; Distributed computing; FPGA; Graph processing; Grid partitioning","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Quantum Circuit Architectures and Technology","","",""
"uuid:7df8dacb-3cff-474c-b1bc-cfed9f6ef3bb","http://resolver.tudelft.nl/uuid:7df8dacb-3cff-474c-b1bc-cfed9f6ef3bb","Contrast-Agnostic Groupwise Registration by Robust PCA for Quantitative Cardiac MRI","Li, Xinqi (Student TU Delft); Zhang, Y. (TU Delft ImPhys/Tao group); Zhao, Y. (TU Delft ImPhys/Tao group); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics); Tao, Q. (TU Delft ImPhys/Tao group)","Camara, Oscar (editor); Puyol-Antón, Esther (editor); Suinesiaputra, Avan (editor); Young, Alistair (editor); Sermesant, Maxime (editor); Tao, Qian (editor); Wang, Chengyan (editor)","2024","Quantitative cardiac magnetic resonance imaging (MRI) is an increasingly important diagnostic tool for cardiovascular diseases. Yet, co-registration of all baseline images within the quantitative MRI sequence is essential for the accuracy and precision of quantitative maps. However, co-registering all baseline images from a quantitative cardiac MRI sequence remains a nontrivial task because of the simultaneous changes in intensity and contrast, in combination with cardiac and respiratory motion. To address the challenge, we propose a novel motion correction framework based on robust principle component analysis (rPCA) that decomposes quantitative cardiac MRI into low-rank and sparse components, and we integrate the groupwise CNN-based registration backbone within the rPCA framework. The low-rank component of rPCA corresponds to the quantitative mapping (i.e. limited degree of freedom in variation), while the sparse component corresponds to the residual motion, making it easier to formulate and solve the groupwise registration problem. We evaluated our proposed method on cardiac T1 mapping by the modified Look-Locker inversion recovery (MOLLI) sequence, both before and after the Gadolinium contrast agent administration. Our experiments showed that our method effectively improved registration performance over baseline methods without introducing rPCA, and reduced quantitative mapping error in both in-domain (pre-contrast MOLLI) and out-of-domain (post-contrast MOLLI) inference. The proposed rPCA framework is generic and can be integrated with other registration backbones.","Groupwise registration; motion correction; Quantitative MRI; Robust PCA","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-02","","","ImPhys/Tao group","","",""
"uuid:6b392146-03b6-41b8-9c04-0a9ba0e0103a","http://resolver.tudelft.nl/uuid:6b392146-03b6-41b8-9c04-0a9ba0e0103a","Decoding Individual and Shared Experiences of Media Perception Using CNN Architectures","Johri, Riddhi (Indian Institute of Technology Gandhinagar); Pandey, Pankaj (Indian Institute of Technology Gandhinagar); Miyapuram, Krishna Prasad (Indian Institute of Technology Gandhinagar); Lomas, J.D. (TU Delft Design Aesthetics)","Waiter, Gordon (editor); Leontidis, Georgios (editor); Morris, Teresa (editor); Lambrou, Tryphon (editor); Oren, Nir (editor); Gordon, Sharon (editor)","2024","The brain is an incredibly complex organ capable of perceiving and interpreting a wide range of stimuli. Depending on individual brain chemistry and wiring, different people decipher the same stimuli differently, conditioned by their life experiences and environment. This study’s objective is to decode how the CNN models capture and learn these differences and similarities in brain waves using three publicly available EEG datasets. While being exposed to a variety of media stimuli, each brain produces unique brain waves with some similarity to other neural signals to the same stimuli. However, to figure out whether our neural models are able to interpret and distinguish the common and unique signals correctly, we employed three widely used CNN architectures to interpret brain signals. We extracted the pre-processed versions of the EEG data and identified the dependency of time windows on feature learning for song and movie classification tasks, along with analyzing the performance of models on each dataset. While the minimum length snippet of 5 s was enough for the personalized model, the maximum length snippet of 30 s proved to be the most efficient in the case of the generalized model. The usage of a deeper architecture, i.e., DeepConvNet was found to be the best for extracting personalized and generalized features with the NMED-T and SEED datasets. However, EEGNet gave a better performance on the NMED-H dataset. Maximum accuracy of 69%, 100%, and 56% was achieved in the case of the personalized model on NMED-T, NMED-H, and SEED datasets, respectively. However, the maximum accuracies dropped to 18%, 37%, and 14% on NMED-T, NMED-H, and SEED datasets, respectively, in the generalized model. We achieved a 5% improvement over the state of the art while examining shared experiences on NMED-T. This marked the outof-distribution generalization problem and signified the role of individual differences in media perception, thus emphasizing the development of personalized models along with generalized models with shared features at a certain level.","EEG; Music and Movie perception; Neural responses; Subjective differences","en","conference paper","Springer","","","","","","","2024-06-02","","","Design Aesthetics","","",""
"uuid:e8654a0b-70ad-4eb7-b476-effd3c809477","http://resolver.tudelft.nl/uuid:e8654a0b-70ad-4eb7-b476-effd3c809477","MRHF: Multi-stage Retrieval and Hierarchical Fusion for Textbook Question Answering","Zhu, P. (TU Delft Web Information Systems); Wang, Zhen (Tokyo Institute of Technology); Okumura, Manabu (Tokyo Institute of Technology); Yang, J. (TU Delft Web Information Systems)","Rudinac, Stevan (editor); Worring, Marcel (editor); Liem, Cynthia (editor); Hanjalic, Alan (editor); Jónsson, Björn Pór (editor); Yamakata, Yoko (editor); Liu, Bei (editor)","2024","Textbook question answering is challenging as it aims to automatically answer various questions on textbook lessons with long text and complex diagrams, requiring reasoning across modalities. In this work, we propose MRHF, a novel framework that incorporates dense passage re-ranking and the mixture-of-experts architecture for TQA. MRHF proposes a novel query augmentation method for diagram questions and then adopts multi-stage dense passage re-ranking with large pretrained retrievers for retrieving paragraph-level contexts. Then it employs a unified question solver to process different types of text questions. Considering the rich blobs and relation knowledge contained in diagrams, we propose to perform multimodal feature fusion over the retrieved context and the heterogeneous diagram features. Furthermore, we introduce the mixture-of-experts architecture to solve the diagram questions to learn from both the rich text context and the complex diagrams and mitigate the possible negative effects between features of the two modalities. We test the framework on the CK12-TQA benchmark dataset, and the results show that MRHF outperforms the state-of-the-art results in all types of questions. The ablation and case study also demonstrates the effectiveness of each component of the framework.","Information Retrieval; Mixture-of-Experts; Textbook Question Answering","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Web Information Systems","","",""
"uuid:c755c2bd-92d8-499c-94e6-fc01e14aa7d6","http://resolver.tudelft.nl/uuid:c755c2bd-92d8-499c-94e6-fc01e14aa7d6","Influential Node Detection on Graph on Event Sequence","Lu, Zehao (Universiteit Utrecht); Wang, Shihan (Universiteit Utrecht); Ren, Xiao Long (University of Electronic Science and Technology of China); Costas, Rodrigo (Universiteit Leiden); Metze, T.A.P. (TU Delft Organisation & Governance)","Cherifi, Hocine (editor); Rocha, Luis M. (editor); Cherifi, Chantal (editor); Donduran, Murat (editor)","2024","Numerous research efforts have centered on identifying the most influential players in networked social systems. This problem is immensely crucial in the research of complex networks. Most existing techniques either model social dynamics on static networks only and ignore the underlying time-serial nature or model the social interactions as temporal edges without considering the influential relationship between them. In this paper, we propose a novel perspective of modeling social interaction data as the graph on event sequence, as well as the Soft K-Shell algorithm that analyzes not only the network’s local and global structural aspects, but also the underlying spreading dynamics. The extensive experiments validated the efficiency and feasibility of our method in various social networks from real world data. To the best of our knowledge, this work is the first of its kind.","Dynamics of Network; Influential Node Detection; Non-epidemic Spreading","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-21","","","Organisation & Governance","","",""
"uuid:fa00f697-cf80-4fd9-945e-77080f85ea51","http://resolver.tudelft.nl/uuid:fa00f697-cf80-4fd9-945e-77080f85ea51","Relaxometry Guided Quantitative Cardiac Magnetic Resonance Image Reconstruction","Zhao, Y. (TU Delft ImPhys/Tao group); Zhang, Y. (TU Delft Pavement Engineering); Tao, Q. (TU Delft ImPhys/Tao group)","Camara, Oscar (editor); Puyol-Antón, Esther (editor); Suinesiaputra, Avan (editor); Young, Alistair (editor); Sermesant, Maxime (editor); Tao, Qian (editor); Wang, Chengyan (editor)","2024","Deep learning-based methods have achieved prestigious performance for magnetic resonance imaging (MRI) reconstruction, enabling fast imaging for many clinical applications. Previous methods employ convolutional networks to learn the image prior as the regularization term. In quantitative MRI, the physical model of nuclear magnetic resonance relaxometry is known, providing additional prior knowledge for image reconstruction. However, traditional reconstruction networks are limited to learning the spatial domain prior knowledge, ignoring the relaxometry prior. Therefore, we propose a relaxometry-guided quantitative MRI reconstruction framework to learn the spatial prior from data and the relaxometry prior from MRI physics. Additionally, we also evaluated the performance of two popular reconstruction backbones, namely, recurrent variational networks (RVN) and variational networks (VN) with U-Net. Experiments demonstrate that the proposed method achieves highly promising results in quantitative MRI reconstruction.","Caridac MRI; Image reconstruction; Quantitative mapping; Relaxometry","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-02","","","ImPhys/Tao group","","",""
"uuid:a07ffbc9-2348-4c94-98c5-c17d7f25646b","http://resolver.tudelft.nl/uuid:a07ffbc9-2348-4c94-98c5-c17d7f25646b","Optimizing Neonatal Respiratory Support Through Network Modeling: A New Approach to Post-birth Infant Care","Sebahi, Yassine (Vrije Universiteit Amsterdam); Jabeen, F. (TU Delft Safety and Security Science); Treur, Jan (Vrije Universiteit Amsterdam); Taal, H. Rob (Erasmus MC); Roelofsma, Peter H.M.P. (Erasmus MC)","Cherifi, Hocine (editor); Rocha, Luis M. (editor); Cherifi, Chantal (editor); Donduran, Murat (editor)","2024","This paper presents an approach to enhancing neonatal care through the application of artificial intelligence (AI). Utilizing network-oriented modeling methodologies, the study aims to develop a network model to improve outcomes in neonatal respiratory support. The introduction sets the stage by outlining the significance of neonatal respiratory support and the challenges faced in this domain. The literature review delves into the existing body of work, highlighting the gaps and the need for a network modeling approach. The network-oriented modeling approach provides a robust framework that captures various states, such as world states, doctors’ mental states, and AI coach states, facilitating a comprehensive understanding of the complex interactions in neonatal respiratory support. Through Matlab simulations, the study investigates multiple scenarios, from optimal conditions to deviations from standard protocol. The main contribution focuses on the introduction of an AI coach, which serves as a real-time intervention mechanism to fill in the doctor's knowledge gaps. The research serves as a seminal work in the intersection of artificial intelligence and healthcare, demonstrating the potential of network-oriented modeling in improving patient outcomes and streamlining healthcare protocols.","Adaptive network model; AI Coach; Infant Care","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Safety and Security Science","","",""
"uuid:1427bcc2-4376-4ad2-9979-142aec064fc9","http://resolver.tudelft.nl/uuid:1427bcc2-4376-4ad2-9979-142aec064fc9","Get Me Out of This Payment! Bailout: An HTLC Re-routing Protocol","Ersoy, O. (TU Delft Cyber Security; Radboud Universiteit Nijmegen); Moreno-Sanchez, Pedro (IMDEA Software Institute); Roos, S. (TU Delft Data-Intensive Systems)","Baldimtsi, Foteini (editor); Cachin, Christian (editor)","2024","The Lightning Network provides almost-instant payments to its parties. In addition to direct payments requiring a shared payment channel, parties can pay each other in the form of multi-hop payments via existing channels. Such multi-hop payments rely on a 2-phase commit protocol to achieve balance security; that is, no honest intermediary party loses her coins. Unfortunately, failures or attacks in this 2-phase commit protocol can lead to coins being committed (locked) in a payment for extended periods of time (in the order of days in the worst case). During these periods, parties cannot go offline without losing funds due to their existing commitments, even if they use watchtowers. Furthermore, they cannot use the locked funds for initiating or forwarding new payments, reducing their opportunities to use their coins and earn fees. We introduce Bailout, the first protocol that allows intermediary parties in a multi-hop payment to unlock their coins before the payment completes by re-routing the payment over an alternative path. We achieve this by creating a circular payment route starting from the intermediary party in the opposite direction of the original payment. Once the circular payment is locked, both payments are canceled for the intermediary party, which frees the coins of the corresponding channels. This way, we create an alternative route for the ongoing multi-hop payment without involving the sender or receiver. The parties on the alternative path are incentivized to participate through fees. We evaluate the utility of our protocol using a real-world Lightning Network snapshot. Bailouts may fail due to insufficient balance in alternative paths used for re-routing. We find that attempts of a node to bailout typically succeed with a probability of more than 94% if at least one alternative path exists.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","","","Cyber Security","","",""
"uuid:f079cc69-8f14-4f2f-a7e5-28a57c5251a7","http://resolver.tudelft.nl/uuid:f079cc69-8f14-4f2f-a7e5-28a57c5251a7","Comparison of Cloud-to-Cloud Distance Calculation Methods: Is the Most Complex Always the Most Suitable?","Diaz, Vitali (TU Delft Digital Technologies); van Oosterom, P.J.M. (TU Delft Digital Technologies); Meijers, B.M. (TU Delft Digital Technologies); Verbree, E. (TU Delft Digital Technologies); Ahmed, N. (Netherlands eScience Center); Van Lankveld, T. (Netherlands eScience Center)","Kolbe, Thomas H. (editor); Donaubauer, Andreas (editor); Beil, Christof (editor)","2024","Cloud-to-cloud (C2C) distance calculations are frequently performed as an initial stage in change detection and spatiotemporal analysis with point clouds. There are various methods for calculating C2C distance, also called inter-point distance, which refers to the distance between two corresponding point clouds captured at different epochs. These methods can be classified from simple to complex, with more steps and calculations required for the latter. Generally, it is assumed that a more complex method will result in a more precise calculation of inter-point distance, but this assumption is rarely evaluated. This paper compares eight commonly used methods for calculating the inter-point distance. The results indicate that the accuracy of distance calculations depends on the chosen method and a characteristic related to the point density, the intra-point distance, which refers to the distance between points within the same point cloud. The results are helpful for applications that analyze spatiotemporal point clouds for change detection. The findings will be helpful in future applications, including analyzing spatiotemporal point clouds for change detection.","Cloud-to-cloud distance calculations; Change detection; Spatiotemporal analysis","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Digital Technologies","","",""
"uuid:c1f7dcf7-c534-4b01-aa2b-e6f27e5d0a17","http://resolver.tudelft.nl/uuid:c1f7dcf7-c534-4b01-aa2b-e6f27e5d0a17","Extras and Premiums: Local PCN Routing with Redundancy and Fees","Shen, Y.S. (TU Delft Data-Intensive Systems); Ersoy, O. (TU Delft Cyber Security; Radboud Universiteit Nijmegen); Roos, S. (TU Delft Data-Intensive Systems)","Baldimtsi, Foteini (editor); Cachin, Christian (editor)","2024","Payment channel networks (PCNs) are a promising solution to the blockchain scalability problem. In PCNs, a sender can route a multi-hop payment to a receiver via intermediaries. Yet, Lightning, the only prominent payment channel network, has two major issues when it comes to multi-hop payments. First, the sender decides on the path without being able to take local capacity restrictions into account. Second, due to the atomicity of payments, any failure in the path causes a failure of the complete payment. In this work, we propose Forward-Update-Finalize (FUFi): The sender adds redundancy to a locally routed payment by initially committing to sending a higher amount than the actual payment value. Intermediaries decide on how to forward a received payment, potentially splitting it between multiple paths. If they cannot forward the total payment value, they may reduce the amount they forward. If paths for sufficient funds are found, the receiver and sender jointly select the paths and amounts that will actually be paid. Payment commitments are updated accordingly and fulfilled. In order to guarantee atomicity and correctness of the payment value, we use a modified Hashed Time Lock Contract (HTLC) for paying that requires both the sender and the receiver to provide a secret preimage. FUFi furthermore is the first local routing protocol to include fees and specify a fee policy to intermediaries on how to determine their fair share of fees. We prove that the proposed protocol achieves all key security properties of multi-hop payments. Furthermore, our evaluation on both synthetic and real-world Lightning topologies shows FUFi outperforms existing algorithms in terms of fraction of successful payments by about 10%.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","","","Data-Intensive Systems","","",""
"uuid:7f46a0aa-6bd9-4efb-b611-eec71f021c8c","http://resolver.tudelft.nl/uuid:7f46a0aa-6bd9-4efb-b611-eec71f021c8c","Introducing the 3DCityDB-Tools Plug-In for QGIS","Agugiaro, G. (TU Delft Urban Data Science); Pantelios, Konstantinos (Student TU Delft); León Sánchez, C.A. (TU Delft Urban Data Science); Yao, Zhihang (virtualcitySYSTEMS GmbH); Nagel, Claus (virtualcitySYSTEMS GmbH)","Kolbe, Thomas H. (editor); Donaubauer, Andreas (editor); Beil, Christof (editor)","2024","This paper introduces a new plug-in for QGIS that allows to connect to the free and open-source 3D City Database to load CityGML data, structured as classic GIS layers, into QGIS. The user is therefore not required to be a CityGML specialist, or a SQL expert, as the plug-in takes care of hiding from the user most of the complexity in terms of underlying data model and database schema implementation. The user can therefore load CityGML thematic “layers” (e.g. for buildings, bridges, vegetation, terrain, etc.), explore their geometries in 2D and 3D and access and edit the associated attributes. At the same time, depending on the user privileges, it is possible to delete features from the database using either normal QGIS editing tools, or a “bulk delete” tool, also included. The plug-in is composed of two parts, a server-side one, which must be installed in the 3D City Database instance, and the client-side one, which runs as a QGIS plug-in in strict sense. A GUI-based tool is also provided for database administrators in order to install/uninstall the database-side part of the plug-in, and manage users and their privileges. All in all, the 3DCityDB-Tools plug-in facilitates the access to CityGML data for GIS practitioners from heterogeneous fields and expertise with the common denominator being the well-known QGIS environment.","3D city database; QGIS; CityGML; CityJSON; Plug-in","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Urban Data Science","","",""
"uuid:13a2317d-52f4-403e-b4f8-2dd47d747bb9","http://resolver.tudelft.nl/uuid:13a2317d-52f4-403e-b4f8-2dd47d747bb9","Defending Against Free-Riders Attacks in Distributed Generative Adversarial Networks","Zhao, Z. (TU Delft Data-Intensive Systems); Huang, J. (TU Delft Data-Intensive Systems); Chen, Lydia Y. (TU Delft Data-Intensive Systems); Roos, S. (TU Delft Data-Intensive Systems)","Baldimtsi, Foteini (editor); Cachin, Christian (editor)","2024","Generative Adversarial Networks (GANs) are increasingly adopted by the industry to synthesize realistic images using competing generator and discriminator neural networks. Due to data not being centrally available, Multi-Discriminator (MD)-GANs training frameworks employ multiple discriminators that have direct access to the real data. Distributedly training a joint GAN model entails the risk of free-riders, i.e., participants that aim to benefit from the common model while only pretending to participate in the training process. In this paper, we first define a free-rider as a participant without training data and then identify three possible actions: not training, training on synthetic data, or using pre-trained models for similar but not identical tasks that are publicly available. We conduct experiments to explore the impact of these three types of free-riders on the ability of MD-GANs to produce images that are indistinguishable from real data. We consequently design a defense against free-riders, termed DFG, which compares the performance of client discriminators to reference discriminators at the server. The defense allows the server to evict clients whose behavior does not match that of a benign client. The result shows that even when 67% of the clients are free-riders, the proposed DFG can improve synthetic image quality by up to 70.96%, compared to the case of no defense.","Anomaly detection; Defense; Free-rider attack; Multi-Discriminator GANs","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","","","Data-Intensive Systems","","",""
"uuid:d8cc86d0-4c6b-481c-baed-fae5b285d72c","http://resolver.tudelft.nl/uuid:d8cc86d0-4c6b-481c-baed-fae5b285d72c","Astral Body: A Virtual Reality Game for Body Ownership Investigation","Zhou, Yimin (Student TU Delft); Gillavry, Merlijn Mac (Student TU Delft); Yang, Pengzhi (Student TU Delft); Xu, Zihao (Student TU Delft); Zhang, Baitian (Student TU Delft); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","Dondio, Pierpaolo (editor); Rocha, Mariana (editor); Brennan, Attracta (editor); Schönbohm, Avo (editor); de Rosa, Francesca (editor); Koskinen, Antti (editor); Bellotti, Francesco (editor)","2024","As one of the most disruptive human-computer interaction techniques, Virtual Reality (VR) provides a novel way to examine human movements, e.g. when investigating Body Ownership (BO) in the field of cognitive sciences, especially when the visual output diverges from real-world actions. Previous research in BO uses questionnaires and brain imaging, where the former is a highly subjective metric, and the latter is very costly in time, money, and personnel. To answer the question How can a VR serious game help overcome current challenges of BO assessment?, we designed Astral Body, a VR game that helps cognitive science researchers assess people’s level of BO. In the game, players are asked to grab ‘flying collectibles’ coming from a portal in space. Researchers can inject different types and levels of asynchrony into the arms of the visualized avatar, thus affecting the players’ BO experience and perception. Players, in turn, can also report whenever they perceive possible mismatched avatar behavior. In addition, researchers can analyze player data, including looking for unconscious responses, e.g. small adjustments in physical movements to mitigate injected asynchrony. Preliminary results from playtesting and qualitative analysis of Astral Bodyindicate that a VR game can effectively help researchers investigate BO phenomena.","Body ownership; Control asynchrony; Virtual reality","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Computer Graphics and Visualisation","","",""
"uuid:4cc2587f-db49-49f6-8bd2-8fd2335f8f15","http://resolver.tudelft.nl/uuid:4cc2587f-db49-49f6-8bd2-8fd2335f8f15","Experimental Parameter Identification of Nonlinear Mechanical Systems via Meta-heuristic Optimisation Methods","Martinelli, Cristiano (University of Strathclyde); Coraddu, A. (TU Delft Ship Design, Production and Operations); Cammarano, Andrea (University of Glasgow)","Brake, Matthew R.W. (editor); Renson, Ludovic (editor); Kuether, Robert J. (editor); Tiso, Paolo (editor)","2024","Meta-heuristic optimisation algorithms are high-level procedures designed to discover near-optimal solutions to optimisation problems. These strategies can efficiently explore the design space of the problems; therefore, they perform well even when incomplete and scarce information is available. Such characteristics make them the ideal approach for solving nonlinear parameter identification problems from experimental data. Nonetheless, selecting the meta-heuristic optimisation algorithm remains a challenging task that can dramatically affect the required time, accuracy, and computational burden to solve such identification problems. To this end, we propose investigating how different meta-heuristic optimisation algorithms can influence the identification process of nonlinear parameters in mechanical systems. Two mature meta-heuristic optimisation methods, i.e. particle swarm optimisation (PSO) method and genetic algorithm (GA), are used to identify the nonlinear parameters of an experimental two-degrees-of-freedom system with cubic stiffness. These naturally inspired algorithms are based on the definition of an initial population: this advantageously increases the chances of identifying the global minimum of the optimisation problem as the design space is searched simultaneously in multiple locations. The results show that the PSO method drastically increases the accuracy and robustness of the solution, but it requires a quite expensive computational burden. On the contrary, the GA requires similar computational effort but does not provide accurate solutions.","Experimental nonlinear analysis; Meta-heuristic optimisation; Nonlinear dynamics; Nonlinear frequency response; Parameter identification","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-19","","","Ship Design, Production and Operations","","",""
"uuid:803b5163-e37c-49f1-bac0-4ad663b23e9a","http://resolver.tudelft.nl/uuid:803b5163-e37c-49f1-bac0-4ad663b23e9a","Application of Axiomatic Design in Engineering: Designing a Smart Medical Cast: Increasing Robustness by Decreasing Information","Heijne, Tim (Hogeschool Utrecht); Kruijer, Mitch (Hogeschool Utrecht); Kylar, Jakub (Hogeschool Utrecht); Spauwen, Lennard (Hogeschool Utrecht); Thomassen, K.E. (TU Delft Medical Instruments & Bio-Inspired Technology; Hogeschool Utrecht); Puik, Erik (Fontys University of Applied Sciences)","Puik, Erik (editor); Cochran, David S. (editor); Foley, Joseph Timothy (editor); Foith-Förster, Petra (editor)","2024","By applying Axiomatic Design, a Smart Medical Cast was developed to provide patients, who are suffering from forearm fractures, with a personalized healing process. The device monitors the overall healing status and three complications, which are: Muscle Atrophy, Compartment Syndrome, and Deep Vein Thrombosis. In the conceptual phase, desk research has been performed to find biomarkers that correlate with the monitored processes. Per biomarker, a measuring principle has been designed and these combined formed the design of the smart medical cast. Following the design phase, two tests were performed on healthy individuals to measure the robustness in a real application. The first test focused on correctly measuring the biomarkers and further specifying the sensor specifications. For the second test, a new prototype was used to determine correlations between the measured data and the monitored process and the impact of application during the casting process. The test results show that the measuring system can measure the biomarkers within the expected range, except for bone density. No significant impact on the casting process was measured. The Smart Medical Cast has only been evaluated in situations without a fracture, the next step will be to test the measurables in an environment with a fracture.","Axiomatic Design; Information Axiom; Smart Medical Cast","en","conference paper","Springer","","","","","","","2024-06-16","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:69a47953-3354-4bee-866a-c43cf3cd4154","http://resolver.tudelft.nl/uuid:69a47953-3354-4bee-866a-c43cf3cd4154","A Review of Climate and Resident-Oriented Renovation Processes: A Framework for Just Decision Support Systems","Ricci, Diletta (TU Delft Design & Construction Management); Konstantinou, T. (TU Delft Architectural Technology); Visscher, H.J. (TU Delft Design & Construction Management)","Littlewood, John R. (editor); Jain, Lakhmi (editor); Howlett, Robert J. (editor)","2024","The renovation of existing buildings is widely recognized as a powerful strategy for reducing emissions and land use. However, when it comes to residential buildings, the socio-technical challenges are particularly complex. The necessity and urgency of increasing energy efficiency often lead to retrofit processes that overlook residents’ needs and fail to consider the impact of renovation techniques on their lives. This study conducts a systematic and interdisciplinary literature review to explore how and to what extent social aspects, particularly residents and their needs, are considered in building renovations. An analysis of 40 studies from the Web of Science and Scopus databases is presented. The holistic overview focuses on two interrelated aspects: the orientation of decision-making processes towards residents and social components of multi-stakeholder involvement, and the relationship and interaction between design choices and residents. By doing so, the review enables a collection of meaningful and heterogeneous criteria for process management and retrofit solutions selection. Recognizing the existing gaps in the literature and clarifying relevant criteria, this review can help identify areas that require further research and intervention.","Renovation processes; End-users; Decision-making; Technology adoption; Systematic review","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-07","","","Design & Construction Management","","",""
"uuid:d052fe1b-9d36-4030-8163-24ea515a5921","http://resolver.tudelft.nl/uuid:d052fe1b-9d36-4030-8163-24ea515a5921","Fingerprinting of Cellular Infrastructure Based on Broadcast Information","Bhattacharjee, A.K. (TU Delft Networked Systems); Cecconello, S. (TU Delft Cyber Security); Kuipers, F.A. (TU Delft Networked Systems); Smaragdakis, G. (TU Delft Cyber Security)","Tsudik, Gene (editor); Conti, Mauro (editor); Liang, Kaitai (editor); Smaragdakis, Georgios (editor)","2024","To avoid exploitation of known vulnerabilities, it is standard security practice to not disclose any model information regarding the antennas used in cellular infrastructure. However, in this work, we show that end-user devices receive enough information to infer, with high accuracy, the model-family of antennas. We demonstrate how low-cost hardware and software setups can fingerprint the cellular infrastructure of whole regions within a few minutes by only listening to cellular broadcast messages. To show the effectiveness and hence risk of such fingerprinting, we collected an extensive dataset of broadcast messages from three different countries. We then trained a machine-learning model to classify broadcast messages based on the model-family they belong to. Our results reveal a worryingly high average accuracy of 97% for model-family classification. We further discuss how inferring the model-family with such high accuracy can lead to a class of identification attacks on cellular infrastructure and we subsequently suggest countermeasures to mitigate the fingerprint effectiveness.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Networked Systems","","",""
"uuid:2caaaa11-b205-4021-8f34-c6d5ad8f38a7","http://resolver.tudelft.nl/uuid:2caaaa11-b205-4021-8f34-c6d5ad8f38a7","cjdb: A Simple, Fast, and Lean Database Solution for the CityGML Data Model","Powałka, Leon (Student TU Delft); Poon, Chris (Student TU Delft); Xia, Yitong (Student TU Delft); Meines, Siebren (Student TU Delft); Yan, Lan (Student TU Delft); Cai, Yuduan (Student TU Delft); Stavropoulou, G. (TU Delft Urban Data Science); Dukai, B. (3DGI); Ledoux, H. (TU Delft Urban Data Science)","Kolbe, Thomas H. (editor); Donaubauer, Andreas (editor); Beil, Christof (editor)","2024","When it comes to storing 3D city models in a database, the implementation of the CityGML data model can be quite demanding and often results in complicated schemas. As an example, 3DCityDB, a widely used solution, depends on a schema having 66 tables, mapping closely the CityGML architecture. In this paper, we propose an alternative (called ‘cjdb’) for storing CityGML models efficiently in PostgreSQL with a much simpler table structure and data model design (only 3 tables are necessary). This is achieved by storing the attributes and geometries of the objects directly in JSON. In the case of the geometries we thus adopt the Simple Feature paradigm and we use the structure of CityJSON. We compare our solution against 3DCityDB with large real-world 3D city models, and we find that cjdb has significantly lower demands in storage space (around a factor of 10), allows for faster import/export of data, and has a comparable data retrieval speed with some queries being faster and some slower. The accompanying software (importer and exporter) is available at https://github.com/cityjson/cjdb/ under a permissive open-source license.","CityGML; 3DCityDB; 3D modelling; DBMS; CityJSON","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Urban Data Science","","",""
"uuid:7a653799-e28e-407c-a411-fb34060e0b70","http://resolver.tudelft.nl/uuid:7a653799-e28e-407c-a411-fb34060e0b70","A Short Note on Solving Partial Differential Equations Using Convolutional Neural Networks","Grimm, Viktor (University of Cologne); Heinlein, A. (TU Delft Numerical Analysis); Klawonn, Axel (University of Cologne)","Dostal, Zdenek (editor); Kozubek, Tomas (editor); Klawonn, Axel (editor); Pavarino, Luca F. (editor); Widlund, Olof B. (editor); Langer, Ulrich (editor); Sístek, Jakub (editor)","2024","Solving partial differential equations (PDEs) is a common task in numerical mathematics and scientific computing. Typical discretization schemes, for example, finite element (FE), finite volume (FV), or finite difference (FD) methods, have the disadvantage that the computations have to be repeated once the boundary conditions (BCs) or the geometry change slightly; typical examples requiring the solution of many similar problems are time-dependent and inverse problems or uncertainty quantification.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Numerical Analysis","","",""
"uuid:2d781bd9-9cb1-41d4-bb34-61d2b8f565b7","http://resolver.tudelft.nl/uuid:2d781bd9-9cb1-41d4-bb34-61d2b8f565b7","The Cyclic Behavior of I-Shaped Steel Deep Beams Reinforced with CFRP","Mohabeddine, A.I. (TU Delft Steel & Composite Structures; Universidade do Porto); Eshaghi, Cyrus (Universidade do Porto); Correia, José (Universidade do Porto); Castro, José Miguel (Universidade do Porto)","Gu, Xiang-Lin (editor); Motavalli, Masoud (editor); Ilki, Alper (editor); Yu, Qian-Qian (editor)","2024","This paper presents the flexural cyclic behavior of I-shaped hot rolled steel deep sections used as beams in moment-resisting frames (MRF) featuring a carbon fiber reinforced polymer (CFRP) patch on the web through advanced finite element analysis. The main goal of the CFRP reinforcement is to increase the rotation capacity of the member without increasing the overstrength to avoid compromising the strong column-weak beam condition in MRF. A reduced finite element model of a steel beam is developed and validated with experimental data. The CFRP patch is modeled considering fracture in the adhesive layer using the cohesive zone modeling (CZM) technique that can capture the crack initiation and propagation. Different adhesive types are investigated where the CZM parameters are calibrated from high fidelity fracture mechanics tests that are thoroughly validated in the literature. This includes a rigid adhesive commonly found in the construction industry and two tough adhesives used in the automotive industry. The results revealed that the CFRP patch can increase the rotation capacity of a steel member considerably when using tough adhesives.","CFRP; Cyclic; Rotation Capacity; Seismic Moment Resisting Frames; Steel","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-21","","","Steel & Composite Structures","","",""
"uuid:8f82b2fa-f0d2-48df-b268-2695f68b988c","http://resolver.tudelft.nl/uuid:8f82b2fa-f0d2-48df-b268-2695f68b988c","Finite Basis Physics-Informed Neural Networks as a Schwarz Domain Decomposition Method","Dolean, Victorita (University of Strathclyde); Heinlein, A. (TU Delft Numerical Analysis); Mishra, Siddhartha (ETH Zürich); Moseley, Ben (ETH Zürich)","Dostal, Zdenek (editor); Kozubek, Tomas (editor); Klawonn, Axel (editor); Pavarino, Luca F. (editor); Widlund, Olof B. (editor); Langer, Ulrich (editor); Sístek, Jakub (editor)","2024","The success and advancement of machine learning (ML) in fields such as image recognition and natural language processing has lead to the development of novel methods for the solution of problems in physics and engineering.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Numerical Analysis","","",""
"uuid:f7d1ba47-20c5-446f-b21b-fe7651661070","http://resolver.tudelft.nl/uuid:f7d1ba47-20c5-446f-b21b-fe7651661070","Predictive Theory of Mind Models Based on Public Announcement Logic","Top, Jakob Dirk (Rijksuniversiteit Groningen); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden); Verbrugge, Rineke (Rijksuniversiteit Groningen); de Weerd, Harmen (Rijksuniversiteit Groningen)","Gierasimczuk, Nina (editor); Velázquez-Quesada, Fernando R. (editor)","2024","Epistemic logic can be used to reason about statements such as ‘I know that you know that I know that φ ’. In this logic, and its extensions, it is commonly assumed that agents can reason about epistemic statements of arbitrary nesting depth. In contrast, empirical findings on Theory of Mind, the ability to (recursively) reason about mental states of others, show that human recursive reasoning capability has an upper bound. In the present paper we work towards resolving this disparity by proposing some elements of a logic of bounded Theory of Mind, built on Public Announcement Logic. Using this logic, and a statistical method called Random-Effects Bayesian Model Selection, we estimate the distribution of Theory of Mind levels in the participant population of a previous behavioral experiment. Despite not modeling stochastic behavior, we find that approximately three-quarters of participants’ decisions can be described using Theory of Mind. In contrast to previous empirical research, our models estimate the majority of participants to be second-order Theory of Mind users.","Behavioral Modeling; Cognitive Science; Epistemic Logic; Public Announcement Logic; Random-Effects Bayesian Model Selection; Theory of Mind","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-13","","","Interactive Intelligence","","",""
"uuid:f051beba-b13f-42f9-a8d2-4c539fd36039","http://resolver.tudelft.nl/uuid:f051beba-b13f-42f9-a8d2-4c539fd36039","Digital geoTwin: A CityGML-Based Data Model for the Virtual Replica of the City of Vienna","Lehner, Hubert (Vienna City Administration); Kordasch, Sara Lena (Vienna City Administration); Glatz, Charlotte (Vienna City Administration); Agugiaro, G. (TU Delft Urban Data Science)","Kolbe, Thomas H. (editor); Donaubauer, Andreas (editor); Beil, Christof (editor)","2024","This paper presents a CityGML-based data model developed for the semantic 3D city model of Vienna, Austria. The data model consists in a profile of the CityGML 2.0 standard and has been extended by means of an Application Domain Extension (ADE) developed by the Department for Surveying and Mapping of the City of Vienna in order to comply with the current and future needs of the municipality. The definition and adoption of such data model are a fundamental part of Vienna’s “Digital geoTwin” project. The core of the strategy is to process the 3D measurement data of the surveying and mapping department from existing as well as new measurement methods directly into a Digital geoTwin—a virtual, semantic 3D replica of all objects in the city—and to derive other geodata products (city map, elevation models, etc.) from this 3D model. Furthermore, the Digital geoTwin should serve as a geometric and semantic basis for a digital twin of the City of Vienna. In order to define the data model for the Digital geoTwin, 3D modelling of all city objects has been carried out in a test area of the city, followed by a mapping of the objects to the CityGML data model. In an iterative development process, conceptual gaps have been identified, analysed and eventually formalized into a UML-based Application Domain Extension. Additionally, the free and open-source CityGML 3D City Database (3DCityDB) has been used for storage after being extended accordingly, and FME workbenches have been created to transform and import the original source data into the 3DCityDB and therefore test the suitability of the developed data model.","Digital geoTwin; Urban digital twin; Data modelling; 3D city model; CityGML ADE","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Urban Data Science","","",""
"uuid:c86fc708-a912-4105-a684-2b660ed119fe","http://resolver.tudelft.nl/uuid:c86fc708-a912-4105-a684-2b660ed119fe","Towards Automatic Principles of Persuasion Detection Using Machine Learning Approach","Bustio-Martínez, Lázaro (Universidad Iberoamericana); Herrera-Semenets, Vitali (Centro de Aplicaciones de Tecnologías de Avanzada); García-Mendoza, Juan-Luis (Université Sorbonne Paris Nord); González-Ordiano, Jorge Ángel (Universidad Iberoamericana); Zúñiga-Morales, Luis (Universidad Iberoamericana); Sánchez Rivero, Rubén (Centro de Aplicaciones de Tecnologías de Avanzada); Quiróz-Ibarra, José Emilio (Universidad Iberoamericana); Santander-Molina, Pedro Antonio (Pontificia Universidad Católica de Valparaíso); van den Berg, Jan (TU Delft Cyber Security); Buscaldi, Davide (Université Sorbonne Paris Nord)","Hernández Heredia, Yanio (editor); Milián Núñez, Vladimir (editor); Ruiz Shulcloper, José (editor)","2024","Persuasion is a human activity of influence. In marketing, persuasion can help customers find solutions to their problems, make informed choices, or convince someone to buy a useful (or useless) product or service. In computer crimes, persuasion can trick users into revealing sensitive information, or even performing actions that benefit attackers. Phishing is one of the most common and dangerous forms of persuasion-based attacks, as it exploits human vulnerabilities rather than technical ones. Therefore, an intelligent system capable of detecting and classifying persuasion attempts might be useful in protecting users. In this work, an approach that uses Machine Learning to analyze messages based on principles of persuasion and different data representations is presented. The aim of this research is to detect which data representation and which classification algorithm obtain the best results in detecting each principle of persuasion as a prior step to detecting phishing attacks. The results obtained indicate that among the combinations tested, there is one combination of data representation and classification algorithm that performs best. The related classification models obtained can detect the principles of persuasion at a rate that varies between 0.78 and 0.86 of AUC-ROC.","Principles of Persuasion; Machine Learning; Artificial Intelligence; Data representation; Phishing detection","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-20","","","Cyber Security","","",""
"uuid:8687c48a-d5bc-45ec-af71-32e8006db977","http://resolver.tudelft.nl/uuid:8687c48a-d5bc-45ec-af71-32e8006db977","Matrix-Free Parallel Preconditioned Iterative Solvers for the 2D Helmholtz Equation Discretized with Finite Differences","Chen, J. (TU Delft Numerical Analysis); Dwarka, V.N.S.R. (TU Delft Numerical Analysis); Vuik, Cornelis (TU Delft Delft Institute of Applied Mathematics)","van Beurden, M. (editor); Budko, N.V. (editor); Ciuprina, G. (editor); Schilders, W. (editor); Bansal, H. (editor); Barbulescu, R. (editor)","2024","We present a matrix-free parallel iterative solver for the Helmholtz equation related to applications in seismic problems and study its parallel performance. We apply Krylov subspace methods, GMRES, Bi-CGSTAB and IDR(s), to solve the linear system obtained from a second-order finite difference discretization. The Complex Shifted Laplace Preconditioner (CSLP) is employed to improve the convergence of Krylov solvers. The preconditioner is approximately inverted by multigrid iterations. For parallel computing, the global domain is partitioned blockwise. The standard MPI library is employed for data communication. The matrix-vector multiplication and preconditioning operator are implemented in a matrix-free way instead of constructing large, memory-consuming coefficient matrices. These adjustments lead to direct improvements in terms of memory consumption. Numerical experiments of model problems show that the matrix-free parallel solution method has satisfactory parallel performance and weak scalability. It allows us to solve larger problems in parallel to obtain more accurate numerical solutions.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-01","","","Numerical Analysis","","",""
"uuid:f0f80eaa-0a47-4ca6-a707-4f0a285a2805","http://resolver.tudelft.nl/uuid:f0f80eaa-0a47-4ca6-a707-4f0a285a2805","Exploring Artificial Intelligence for Advancing Performance Processes and Events in Io3MT","Vieira, Romulo (Universidade Federal Fluminense); Muchaluat-Saade, Debora (Universidade Federal Fluminense); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI))","Rudinac, Stevan (editor); Worring, Marcel (editor); Liem, Cynthia (editor); Hanjalic, Alan (editor); Jónsson, Björn Pór (editor); Yamakata, Yoko (editor); Liu, Bei (editor)","2024","The Internet of Multisensory, Multimedia and Musical Things (Io3MT) is a new concept that arises from the confluence of several areas of computer science, arts, and humanities, with the objective of grouping in a single place devices and data that explore the five human senses, besides multimedia aspects and music content. In the context of this brave new idea paper, we advance the proposition of a theoretical alignment between the emerging domain in question and the field of Artificial Intelligence (AI). The main goal of this endeavor is to tentatively delineate the inceptive trends and conceivable consequences stemming from the fusion of these domains within the sphere of artistic presentations. Our comprehensive analysis spans a spectrum of dimensions, encompassing the automated generation of multimedia content, the real-time extraction of sensory effects, and post-performance analytical strategies. In this manner, artists are equipped with quantitative metrics that can be employed to enhance future artistic performances. We assert that this cooperative amalgamation has the potential to serve as a conduit for optimizing the creative capabilities of stakeholders.","Artificial Intelligence; Internet of Multisensory; Multimedia and Musical Things; Networked Performances","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Multimedia Computing","","",""
"uuid:3c4e3e23-f4bc-44fe-b737-ce1e0fcdfed0","http://resolver.tudelft.nl/uuid:3c4e3e23-f4bc-44fe-b737-ce1e0fcdfed0","Towards Cross-Modal Point Cloud Retrieval for Indoor Scenes","Yu, Fuyang (Beihang University); Wang, Zhen (Tokyo Institute of Technology); Li, Dongyuan (Tokyo Institute of Technology); Zhu, P. (TU Delft Web Information Systems); Liang, Xiaohui (Beihang University); Wang, Xiaochuan (Beijing Technology and Business University); Okumura, Manabu (Tokyo Institute of Technology)","Rudinac, Stevan (editor); Worring, Marcel (editor); Liem, Cynthia (editor); Hanjalic, Alan (editor); Jónsson, Björn Pór (editor); Yamakata, Yoko (editor); Liu, Bei (editor)","2024","Cross-modal retrieval, as an important emerging foundational information retrieval task, benefits from recent advances in multimodal technologies. However, current cross-modal retrieval methods mainly focus on the interaction between textual information and 2D images, lacking research on 3D data, especially point clouds at scene level, despite the increasing role point clouds play in daily life. Therefore, in this paper, we proposed a cross-modal point cloud retrieval benchmark that focuses on using text or images to retrieve point clouds of indoor scenes. Given the high cost of obtaining point cloud compared to text and images, we first designed a pipeline to automatically generate a large number of indoor scenes and their corresponding scene graphs. Based on this pipeline, we collected a balanced dataset called CRISP, which contains 10K point cloud scenes along with their corresponding scene images and descriptions. We then used state-of-the-art models to design baseline methods on CRISP. Our experiments demonstrated that point cloud retrieval accuracy is much lower than cross-modal retrieval of 2D images, especially for textual queries. Furthermore, we proposed ModalBlender, a tri-modal framework which can greatly improve the Text-PointCloud retrieval performance. Through extensive experiments, CRISP proved to be a valuable dataset and worth researching. (Dataset can be downloaded at https://github.com/CRISPdataset/CRISP.)","Cross-modal Retrieval; Indoor Scene; Point Cloud","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Web Information Systems","","",""
"uuid:a677328b-f0f2-4deb-8cfb-c5af678770c9","http://resolver.tudelft.nl/uuid:a677328b-f0f2-4deb-8cfb-c5af678770c9","Aircraft Cruise Alternative Trajectories Generation: A Mixed RRG-Clustering Approach","Lebègue, J. (Sopra Steria; Ecole Nationale de L’Aviation Civile); Guitart, Andréas (Ecole Nationale de L’Aviation Civile); Demouge, Céline (Ecole Nationale de L’Aviation Civile); Delahaye, Daniel (Ecole Nationale de L’Aviation Civile); Hoekstra, J.M. (TU Delft Control & Simulation); Feron, Eric (King Abdullah University of Science and Technology)","Martins, Ana Lucia (editor); Ferreira, Joao C. (editor); Kocian, Alexander (editor); Tokkozhina, Ulpan (editor); Helgheim, Berit Irene (editor); Bråthen, Svein (editor)","2024","Weather obstacles in the airspace can interfere with an aircraft’s flight plan. Pilots, assisted by air traffic controllers (ATCs), perform avoidance maneuvers that can be optimized. This paper addresses the generation of alternative aircraft trajectories to resolve unexpected events. The authors propose a solution based on the RRG algorithm, K-means clustering, and Dynamic Time Warping (DTW) similarity metric to address the problem. The mixed algorithm succeeds in generating a set of paths with diversity in an obstacle constrained airspace between Paris-Toulouse and London-Toulouse airports. This tool could help to reduce the workload of pilots and ATCs when such a situation arises.","alternative trajectory; clustering; metrics; RRG; similarity","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-20","","","Control & Simulation","","",""
"uuid:e5c9aeb0-9c9b-4185-a806-d9d83d59b974","http://resolver.tudelft.nl/uuid:e5c9aeb0-9c9b-4185-a806-d9d83d59b974","Towards Greener ICUs: Redesigning the Use of Disposable Gloves","van den Berg, Lisanne (Student TU Delft); Albayrak, A. (TU Delft Applied Ergonomics and Design); Hunfeld, Nicole (Erasmus MC); Diehl, J.C. (TU Delft Design for Sustainability)","Melles, M. (editor); Goossens, R.H. (editor)","2024","This research and design project is part of the Green ICU initiative and focused on reducing the environmental impact of gloves at the Intensive Care Unit (ICU) of the Erasmus Medical Center (EMC). At the ICU of the EMC around 108 gloves are used per patient per day; to protect the user (healthcare staff) from infections. The high frequency of use and the resource-intensive production define disposable nitrile gloves as one of the ‘hotspots’ contributing to the environmental impact created by the ICU. This research and design project addressed the problem from three different perspectives: user-centred, product-centred and supply-centred. The extensive research resulted in three design directions on how to reduce the environmental impact of gloves. Subsequently, all insights from the research were brought together into five design building blocks. These design building blocks provided guidance for the design phase of the project. The project resulted in a redesign of the current glove dispensers. The final design is named ‘GloVe’, a vertical dispense system. By incorporating the five building blocks, the design can provide benefits for multiple stakeholders within the healthcare system. It reduces the environmental impact of gloves in the ICU by dispensing one glove at a time. Furthermore, the gloves are dispensed at the cuff, which comes in little contact with the patient. The vertical movement is pleasant to the user. The use of colour for different sizes makes it clear to the care assistant which box should go in which holder. Also, nurses will see at a glance, which size gloves they are dispensing. The small V-shaped opening makes the undesirable behaviour, of placing gloves back, almost impossible.","Design for sustainability; Gloves; User-centred; Medisign; Infection prevention; Intensive care unit","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-05","","","Applied Ergonomics and Design","","",""
"uuid:684cc631-ebbd-4f05-94be-a7c64cacd240","http://resolver.tudelft.nl/uuid:684cc631-ebbd-4f05-94be-a7c64cacd240","Network Robustness Improvement Based on Alternative Paths Consideration","Lebègue, J. (TU Delft Control & Simulation; Sopra Steria); Delahaye, Daniel (Ecole Nationale de L’Aviation Civile); Hoekstra, J.M. (TU Delft Control & Simulation)","Martins, Ana Lucia (editor); Ferreira, Joao C. (editor); Kocian, Alexander (editor); Tokkozhina, Ulpan (editor); Helgheim, Berit Irene (editor); Bråthen, Svein (editor)","2024","Many transportation networks have complex infrastructures (road, rail, airspace, etc.). The quality of service in air transportation depends on weather conditions. Technical failures of the aircraft, bad weather conditions, strike of the company’s staff cause delays and disrupt traffic. How can the robustness of such networks be improved? Improving the robustness of air transportation would reduce the cascading delays between airports and improve the passenger journey. Many studies have been done to find critical links and nodes, but not so many analyze the paths. In this paper, we propose a new method to measure network robustness based on alternative paths. Besides improving the robustness of the French (respectively Turkish Airlines and European) low-cost flight network by 19% (respectively 16% and 6.6%), the method attempts to show the relevance of analyzing the network vulnerability from a path-based approach.","Floyd-Warshall algorithm; Passenger-centric model; Robust network; Simulated annealing; Topology; Transport","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-20","","","Control & Simulation","","",""
"uuid:dfe34bf0-575f-403b-b949-5ce569c8b57e","http://resolver.tudelft.nl/uuid:dfe34bf0-575f-403b-b949-5ce569c8b57e","Trajectory Hiding and Sharing for Supply Chains with Differential Privacy","Li, T. (TU Delft Cyber Security); Xu, L. (TU Delft Cyber Security; TU Delft Ship Hydromechanics and Structures); Erkin, Z. (TU Delft Cyber Security); Lagendijk, R.L. (TU Delft Cyber Security)","Tsudik, Gene (editor); Conti, Mauro (editor); Liang, Kaitai (editor); Smaragdakis, Georgios (editor)","2024","With the fast development of e-commerce, there is a higher demand for timely delivery. Logistic companies want to send receivers a more accurate arrival prediction to improve customer satisfaction and lower customer retention costs. One approach is to share (near) real-time location data with recipients, but this also introduces privacy and security issues such as malicious tracking and theft. In this paper, we propose a privacy-preserving real-time location sharing system including (1) a differential privacy based location publishing method and (2) location sharing protocols for both centralized and decentralized platforms. Different from existing location perturbation solutions which only consider privacy in theory, our location publishing method is based on a real map and different privacy levels for recipients. Our analyses and proofs show that the proposed location publishing method provides better privacy protection than existing works under real maps against possible attacks. We also provide a detailed analysis of the choice of the privacy parameter and their impact on the suggested noisy location outputs. The experimental results demonstrate that our proposed method is feasible for both centralized and decentralized systems and can provide more precise arrival prediction than using time slots in current delivery systems.","Applied cryptography; Blockchain; Differential privacy; Location privacy; Privacy-preserving","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Cyber Security","","",""
"uuid:2d70b0c5-78c4-46bf-b66e-19d00f3a5458","http://resolver.tudelft.nl/uuid:2d70b0c5-78c4-46bf-b66e-19d00f3a5458","Reducing the Environmental Impact of Syringes at the Intensive Care Unit","Honkoop, Margot (Student TU Delft); Albayrak, A. (TU Delft Applied Ergonomics and Design); Balkenende, R. (TU Delft Circular Product Design); Hunfeld, Nicole (Erasmus MC); Diehl, J.C. (Erasmus MC)","Melles, M. (editor); Goossens, R.H. (editor)","2024","This research project, part of the Green Intensive Care Unit (ICU) initiative at the Erasmus University Medical Center (EMC), is focused on reducing the environmental impact of syringes at the ICU by designing solutions based on circular economy principles. Based on a Material Flow Analysis of the EMC ICU, syringes and their packaging have been identified as one of the main environmental impact hotspots. Therefore, this project aimed to redesign the syringes, their packaging, and their use, according to circular design strategies suitable for medical products to decrease their environmental impact, while remaining convenient and safe in use for the healthcare staff and patients. Research was executed to understand the context from multiple perspectives. The outcomes demonstrated that decreasing the impact of syringes is not only related to the design of the syringe itself. Manufacturing, preparation, use and disposal, all contribute to the environmental impact of the syringe. Various possible interventions were derived to reduce its impact:
1.
Adapting the infection prevention protocol and behaviour of the staff;
2.
Separating infectious waste from general hospital waste;
3.
Redesigning the syringe itself;
4.
Optimising the filling process of syringes.
The final design is an optimised filling process for prefilled sterilised syringes (PFSs), based on circular strategies such as reduce, reuse, rethink and repurpose. Interventions include: eliminating a redundant sterilisation phase, reducing residual medication and changing from steam to gamma sterilisation. This resulted in decreasing the amount of waste, material, energy and water consumption, while offering similar convenience and safety for the staff and patients of the ICU.","Circular healthcare; Syringe; Environmental impact; Design","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-05","","","Applied Ergonomics and Design","","",""
"uuid:fb6fc6bc-4734-4e0a-ac86-d8b6cb9f4ebf","http://resolver.tudelft.nl/uuid:fb6fc6bc-4734-4e0a-ac86-d8b6cb9f4ebf","Real-World Applications of Artificial Intelligence in Architecture","Bier, H.H. (TU Delft Building Knowledge); Hidding, A.J. (TU Delft Building Knowledge); Khademi, S. (TU Delft Building Knowledge); van Engelenburg, C.C.J. (TU Delft Building Knowledge); Prendergast, J.M. (TU Delft Human-Robot Interaction); Peternel, L. (TU Delft Human-Robot Interaction)","Arai, Kohei (editor)","2024","Real-world applications of Artificial Intelligence (AI) in architecture have been explored more recently at Technical University (TU) Delft by integrating AI in Design-to-Robotic-Production-Assembly and -Operation (D2RPA&O) methods. These embed robotics into building processes and buildings by linking computational design with robotic construction and/ or operation of building components and buildings. This paper presents two case studies in which AI-supported D2RA is implemented in a multidisciplinary approach that requires the integration of research domains such as architecture, robotics, computer and material science.","architectural design; robotic construction; computer vision; human-robot interaction; deep learning","en","conference paper","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-14","","","Building Knowledge","","",""
"uuid:aa737d12-e94d-43eb-9713-9c93ddb88edc","http://resolver.tudelft.nl/uuid:aa737d12-e94d-43eb-9713-9c93ddb88edc","Implementation and Evaluation of a Motivational Robotic Coach for Repetitive Stroke Rehabilitation","Ross, Martin K. (Heriot-Watt University); Broz, F. (TU Delft Interactive Intelligence); Baillie, Lynne (Heriot-Watt University)","","2024","Repetitive, individual exercises can improve the functional ability of stroke survivors over the long term. With the aim of providing extra motivation to adhere to repetitive, individual rehabilitation, this paper presents a robotic coach for stroke rehabilitation. Our system uses the Pepper robot and performs one of twelve data-driven coaching policies. The policies were learned from human-human observations of professional stroke physiotherapists and provide high-level personalisation based on user information and training context. A within subjects evaluation of the system was conducted in-person involving short interactions with 3 stroke survivors. The system was able to engage the target end users and there were indications that decreased workload could be possible when using the system compared to exercising alone.","Coaching; Personalisation; Rehabilitation; Stroke","en","conference paper","IEEE","","","","","","","","","","Interactive Intelligence","","",""
"uuid:8886954b-8173-42ea-82e6-a45e01958703","http://resolver.tudelft.nl/uuid:8886954b-8173-42ea-82e6-a45e01958703","Spatial Robotic Experiences as a Ground for Future HRI Speculations","Murray-Rust, D.S. (TU Delft Human Information Communication Design); Gorbet, Matt (Living Architecture System Group, Waterloo); Filthaut, L. (TU Delft Externenregistratie); Lupetti, M.L. (TU Delft Design Aesthetics); van der Helm, A.J.C. (TU Delft Design Conceptualization and Communication); Chiu, Adrian (University of Waterloo); Ianniello, A. (TU Delft Human-Centred Artificial Intelligence; TU Delft Human-Robot Interaction); Beesley, Philip (University of Waterloo)","","2024","This work illustrates how artistic robotic systems can provide a reservoir of unfamiliarity and a basis for speculation, to open the field toward new ways of thinking about HRI. We reflect on a collaborative project between design students, a media art studio, and design researchers working with the baggage handling department of the Schiphol airport. Engaging with the industrial context, we developed 'metabehaviours' - abstracted ideas of processes carried out on the worksite-and passed these over to the students who translated them into robotic enactions using a predefined hardware developed by the media art studio. The resulting visit experience challenges the audience to decode the installation in terms of metabehaviours and their possible relations to industrial HRI. We used this to reflect on the value of conducting artistic and speculative work in HRI and to distil actionable recommendations for future research.","Art; Human-Robot Interaction; Industrial Robotics; Interaction Design; Speculative design","en","conference paper","IEEE","","","","","","","","","","Human Information Communication Design","","",""
"uuid:33c5c36b-b35e-44f9-a006-53ff7b6a86c5","http://resolver.tudelft.nl/uuid:33c5c36b-b35e-44f9-a006-53ff7b6a86c5","29.3 A Cryo-CMOS Receiver with 15K Noise Temperature Achieving 9.8dB SNR in 10μs Integration Time for Spin Qubit Readout","Prabowo, B. (TU Delft QCD/Babaie Lab; TU Delft QuTech Advanced Research Centre); Pietx i Casas, O. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre); Montazerolghaem, M.A. (TU Delft Electronics); Scappucci, G. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre); Vandersypen, L.M.K. (TU Delft QuTech Advanced Research Centre; TU Delft QN/Vandersypen Lab); Sebastiano, F. (TU Delft Quantum Circuit Architectures and Technology; TU Delft QuTech Advanced Research Centre); Babaie, M. (TU Delft Electronics; TU Delft QuTech Advanced Research Centre)","","2024","Continuous rounds of quantum error correction (QEC) are essential to achieve faulttolerant quantum computers (QCs). In each QEC cycle, thousands of ancilla quantum bits (qubits) must be read out faster than the qubits' decoherence time (<<T2∗~120μs for spin qubits). To address this urgent need, several CMOS receivers operating at cryogenic temperatures (cryo-CMOS RXs) have recently been introduced for gate-based [1] and RF reflectometry [2] readout of spin qubits, as well as transmons' dispersive readout [3]. However, they have a few shortcomings. First, due to the temperatureindependent shot noise of transistors in nanometer CMOS technology [4], their measured noise temperature (TN) is limited to 40K, thus degrading qubit readout fidelity. Second, due to their large TN, prior art showed either only the electrical performance of their chips by applying a relatively large (i.e., -85dBm [2]) modulated signal directly to the RX input [2,3] or offered limited qubit measurements by exploiting a HEMT amplifier prior to the RX [1]. Those issues hinder future monolithic integration between solid-state qubits and readout electronics. This work advances the prior art by (1) introducing a wideband passive amplification circuit at the RX front-end to minimize the shot noise contribution of the active devices, lowering prior art TN by ~2.7x; (2) demonstrating the RX performance in an RF-reflectometry qubit readout scheme without using off-the-shelf LNA prior to the RX.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-13","","","QCD/Babaie Lab","","",""
"uuid:6d572537-9f92-450a-a9a4-61f9f3021d6b","http://resolver.tudelft.nl/uuid:6d572537-9f92-450a-a9a4-61f9f3021d6b","Assistive Applications, Accessibility, and Disability Ethics in HRI","Allen, Katherine H. (Tufts University); Aronson, Reuben M. (Tufts University); Bhattacharjee, Tapomayukh (Cornell University); Broz, F. (TU Delft Interactive Intelligence); Chang, Mai Lee (Carnegie Mellon University); Collier, Maggie (Carnegie Mellon University); Faulkner, Taylor Kessler (University of Washington); Lee, Hee Rin (Michigan State University); Neto, Isabel (University of Lisbon)","","2024","This full-day workshop addresses the problems of accessibility in HRI and the interplay of ethical considerations for disability-centered design and research, accessibility concerns for disabled researchers, and the design of assistive HRI technologies. We invite authors to submit extended abstracts (up to 2 pages, excluding references) and short papers (up to 4 pages, excluding references) on a range of topics relevant to ethics, accessibility, and assistive applications in HRI, including critical reflections on methodologies, design papers on human-centered or anti-ableist assistive technology, and papers from those outside the HRI community who may have insight to share on these concerns. The workshop will use a hybrid format to allow participants who due to disability, geographic, financial, or other constraints, are unable to travel, and will feature keynote speakers, panel discussions, and breakout sessions.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","Interactive Intelligence","","",""
"uuid:ea56f881-8f7d-429b-adea-62735ff28326","http://resolver.tudelft.nl/uuid:ea56f881-8f7d-429b-adea-62735ff28326","Connecting the PhD in Design: How PhDs label their Thesis Research","Mattioli, F. (Politecnico di Milano); Figoli, Fabio (Politecnico di Milano); Stappers, P.J. (TU Delft Design Conceptualization and Communication)","Jones, D. (editor); Borekci, N. (editor); Clemente, V. (editor); Corazzo, J. (editor); Lotz, N. (editor); Nielsen, L.M. (editor); Noel, L. (editor)","2024","As design research matures, more designers pursue a PhD. In its turn, the PhD itself is changing from a solitary preparation for a career in academia toward an increasing emphasis on interdisciplinary and international experience and a greater variety of jobs. These developments call for greater opportunities for PhD candidates to build their network during the PhD. The WunderLibrary, developed in the EU-funded project DoCS4Design, aims to connect PhD candidates through a web-based platform for sharing educational and research materials and making contact. To seed the platform's ontology, the collection of tags which connect the items in it, we studied the keywords and metadata from a set of 342 PhD theses from the 6 PhD programmes in the project over the last decade. The collection of theses came with between three and six freely chosen keywords, typically provided by the authors. In this paper, we describe the process of curating the keywords and clustering the resulting data on three levels. This produced a set of 342 keywords and two levels of clustering. The raw data are openly available. We discuss data analysis and a spin-off application that uses higher-level labels to help PhD candidates describe their work.","doctoral education; design research; Keywords; alignment;; research through design","en","conference paper","Design Research Society","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:c8a783ba-9619-4a2a-b0f5-c8e4ab5d12ae","http://resolver.tudelft.nl/uuid:c8a783ba-9619-4a2a-b0f5-c8e4ab5d12ae","Towards strengthening Methods in Design Education and Practice","Frascara, Jorge (University of Alberta); Gardien, Paul (Eindhoven University of Technology); Noël, Guillermina (Luzern University of Applied Sciences and Arts); Rosenberg, Daniel (San José State University); Stappers, P.J. (TU Delft Design Conceptualization and Communication); Wilde, Danielle (University of Southern Denmark)","Jones, D. (editor); Borekci, N. (editor); Clemente, V. (editor); Corazzo, J. (editor); Lotz, N. (editor); Nielsen, L.M. (editor); Noel, L. (editor)","2024","Design skills and methods have been at the conceptual foundation of the design discipline(s) for at least the past half century. Over this period, design has also changed, focusing on new outcomes, serving new goals, and addressing different scales and broader application areas. On the one hand, there is a large set of methods and tools; on the other hand, there is growing visibility with ‘design thinking’ both giving design greater appeal and a shallower message. Currently many design schools struggle with adapting their curricula to meet the new demands for sustainability, diversity, and incorporating new technologies such as AI or Biodesign (designing as, with and for nature). Several academic initiatives have produced visions giving direction to those efforts. This paper reports the outcomes of a series of discussions by experienced educators, attempting to produce a specification of the goals and detailed objectives of design methods education. We share these outcomes not as a definitive prescription for the incorporation of methods within a design curriculum, but as a reference point for further development.","design methods; design education; curriculum development; critical thinking","en","conference paper","Design Research Society","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:ad99d302-4b18-4b76-8997-ebae12218ee5","http://resolver.tudelft.nl/uuid:ad99d302-4b18-4b76-8997-ebae12218ee5","First International Workshop on Worker-Robot Relationships: Exploring Transdisciplinarity for the Future of Work with Robots","Zaga, Cristina (University of Twente); Lupetti, M.L. (TU Delft Design Aesthetics); Forster, D. (TU Delft Human-Robot Interaction); Murray-Rust, D.S. (TU Delft Human Information Communication Design); Prendergast, J.M. (TU Delft Human-Robot Interaction); Abbink, D.A. (TU Delft Human-Centred Artificial Intelligence; TU Delft Human-Robot Interaction)","","2024","In Industry 5.0, cognitive robots and workers will engage in evolving and reciprocal relations, which we call worker-robot relationships (WRRs). To enable evidence-based work futures with workers, we must co-develop WRRs and understand their impact on work, workers, management, and society. To this end, we posit that the HRI field should work beyond disciplines and include value-driven and plural perspectives through transdisciplinary research done with and for workers. However, WRRs and transdisciplinarity pose unique technical, design, and methodological challenges yet to be explored. We propose a workshop to engage the HRI community working on Industry 5.0, aiming at 1) taking stock of current WRR-related challenges in relevant disciplines, 2) collectively kick-off the exploration of a joint research agenda, 3) preliminary examining if and how transdisciplinarity could help the HRI community, and 4) start discussing how to deal with such complex knowledge integration in practice.","future of work with robots; transdisciplinarity; worker-robot relationships","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","Design Aesthetics","","",""
"uuid:f09f57c2-6ad8-4da7-9680-c3b40423a74a","http://resolver.tudelft.nl/uuid:f09f57c2-6ad8-4da7-9680-c3b40423a74a","Assessment of the LoD Specification for the Integration of BIM-Derived Building Models in 3D City Models","van der Vaart, J.A.J. (TU Delft Urban Data Science); Stoter, J.E. (TU Delft Urban Data Science); Diakite, A.A. (University of New South Wales); Biljecki, F. (National University of Singapore); Arroyo Ohori, G.A.K. (TU Delft Urban Data Science); Hakim, Amir (TU Delft Urban Data Science)","Kolbe, Thomas H. (editor); Donaubauer, Andreas (editor); Beil, Christof (editor)","2024","Although level of detail (LoD) is a central concept in 3D city modelling, specifying different LoDs in an unambiguous manner is not straightforward. To resolve this, a set of frameworks have been developed. This paper evaluates the suitability of the LoD framework of (Biljecki et al. 2016) for 3D building models that have been generated directly from BIM models. The output of two BIM shell extractors are tested on how well they can be defined by the framework. It was found that although BIM-derived models can be specified by the framework to a certain degree, the framework is not fully capable to also specify lower quality models and to support all the output that may come from BIM shell extractors. This can be resolved by either addressing issues in the shell extractors’ output or in the framework itself. The results of this research can be used to improve the LoD framework and to adjust the shell extractors output to better comply with unambiguous definitions of building models at different LoDs and could be a first step to standardise the conversion of BIM models at different LoDs to be used in urban applications.","LoD framework; 3D city model; BIM envelope extractor","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Urban Data Science","","",""
"uuid:95d04d2d-5fec-4bec-9ba7-839c0732c6a9","http://resolver.tudelft.nl/uuid:95d04d2d-5fec-4bec-9ba7-839c0732c6a9","Development of a Geo to BIM Converter: CityJSON Importer Plugin for Autodesk Revit","Hakim, Amir (TU Delft Urban Data Science); van der Vaart, J.A.J. (TU Delft Urban Data Science); Arroyo Ohori, G.A.K. (TU Delft Urban Data Science); Stoter, J.E. (TU Delft Urban Data Science)","Kolbe, Thomas H. (editor); Donaubauer, Andreas (editor); Beil, Christof (editor)","2024","The integration of 3D city models and Building Information Models (BIM) in the context of GeoBIM has gained significant attention from both academia and industry. Harmonizing the distinct characteristics and goals of these models is crucial for successful integration. In this paper, we present the development of a plugin for Autodesk Revit, a popular BIM platform, which allows for the incorporation of 3D Geo-data encoded in CityJSON. The plugin, published as open source, enables the generation of individual geometries with associated city model attributes as parameters, facilitating analysing the impact of new or changed buildings (modelled in BIM) on the environment (captured in geo-data). Challenges addressed during development include georeferencing, data format import, handling different geometry approaches, hierarchy of attributes, code optimization, user-friendliness, and enhanced visualization. The plugin contributes to the seamless integration of geo- and BIM data, enhancing interoperability and supporting informed decision-making in the Architecture, Engineering, and Construction and urban domains.","Building information modelling; Georeferencing; Interoperability; CityJSON; 3D city models","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Urban Data Science","","",""
"uuid:e60b081d-43b8-4574-92b1-0a0c7e61ab99","http://resolver.tudelft.nl/uuid:e60b081d-43b8-4574-92b1-0a0c7e61ab99","29.2 A Cryo-CMOS Controller with Class-DE Driver and DC Magnetic-Field Tuning for Color-Center-Based Quantum Computers","Enthoven, L.A. (TU Delft QCD/Sebastiano Lab); Fakkel, N.E. (TU Delft QCD/Babaie Lab); Bartling, H.P. (TU Delft Quantum Internet Division; TU Delft QuTech Advanced Research Centre); van Riggelen, M. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre); Schymik, K.N. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre); Yun, J. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre); Tsapanou Katranara, E. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre); Vollmer, R. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre); Taminiau, T.H. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre); Sebastiano, F. (TU Delft Quantum Circuit Architectures and Technology); Babaie, M. (TU Delft Electronics)","","2024","Color-center quantum bits (qubits), such as the Nitrogen-Vacancy center (NV) in diamond, have demonstrated entanglement between remote (>1.3km) qubits and excellent coherence times [1], all while operating at a few Kelvins. Compared to other qubit technologies typically operating at mK temperatures, the higher operating temperature of NVs enables scalable 3D integration with cryo-CMOS control electronics [2], provides significantly more cooling power, and removes the interconnect bottleneck between the qubits and the electronics in prior art [3-5]. Yet, no cryo-CMOS controller for NV-based quantum computers (QC) has been demonstrated.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-13","","Quantum Internet Division","QCD/Sebastiano Lab","","",""
"uuid:f9966be1-6a47-48e5-9f4e-14f3160496a0","http://resolver.tudelft.nl/uuid:f9966be1-6a47-48e5-9f4e-14f3160496a0","Multi-Robot Local Motion Planning Using Dynamic Optimization Fabrics","Bakker, S. (TU Delft Learning & Autonomous Control); Knödler, L. (TU Delft Learning & Autonomous Control); Spahn, M. (TU Delft Learning & Autonomous Control); Böhmer, J.W. (TU Delft Algorithmics); Alonso-Mora, J. (TU Delft Learning & Autonomous Control)","","2024","In this paper, we address the problem of real-time motion planning for multiple robotic manipulators that operate in close proximity. We build upon the concept of dynamic fabrics and extend them to multi-robot systems, referred to as Multi-Robot Dynamic Fabrics (MRDF). This geometric method enables a very high planning frequency for high-dimensional systems at the expense of being reactive and prone to deadlocks. To detect and resolve deadlocks, we propose Rollout Fabrics where MRDF are forward simulated in a decentralized manner. We validate the methods in simulated close-proximity pick-and-place scenarios with multiple manipulators, showing high-success rates and real-time performance. Code, video: https://github.com/tud-amr/multi-robot-fabrics","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Learning & Autonomous Control","","",""
"uuid:e4464f9b-764e-4fef-988d-35ceacad75af","http://resolver.tudelft.nl/uuid:e4464f9b-764e-4fef-988d-35ceacad75af","LADM's Links with International Standards, Guidelines and Frameworks","Kara, Abdullah; Unger, Eva-Maria; van Oosterom, Peter; Lemmen, Christiaan","","2023","The first edition of the Land Administration Domain Model (LADM) has been available as a formal International Standard since the 1st of December 2012, published as ISO 19152:2012. It is based on / makes use of a number of standards, in particular those published by ISO/TC 211 and, of course, other committees. In addition, non-ISO standards and international guidelines related to land administration have been used as much as possible in the development of LADM Edition I. The systematic review of LADM Edition I has been officially launched and the process of developing LADM Edition II is now underway. The new edition not only refines the content of LADM Edition I, but also expands the scope to include marine georegulation, valuation information, spatial plan information and implementation. As a result, LADM Edition II is based on more standards, not only because of its expanded scope, but also because of the standards published after LADM Edition I and the principle of reusing existing standards wherever possible. Since the publication of LADM Edition I, it has been widely recognised by the international community and implemented by several countries. It is also applicable to the implementation of relevant parts of international standards, guidelines and frameworks, as well as the Sustainable Development Goals (SDGs). LADM Edition II has somewhat more links to international standards, guidelines and frameworks, as its scope is broader than Edition I. The purpose of this paper is to document which standards LADM Edition II is based on and associated with, which guidelines and frameworks are in some way related to LADM.","FFPLA; LADM; ISO/TC 211; IPMS; ILMS; SDG; FELA; VGGT","en","conference paper","","","","","","","","","","","","","",""
"uuid:85cf5e07-cfb5-4997-a31b-e2309fc957f3","http://resolver.tudelft.nl/uuid:85cf5e07-cfb5-4997-a31b-e2309fc957f3","The Use of Augmented Reality Models in the Serbian Utility Network Cadastre","Šarkanović Bugarinović, Milka; Ristić, Aleksandar; Bugarinović, Željko; Radulović, Aleksandra; Sladić, Dubravka; Govedarica, Miro","","2023","The utility network cadastre in Serbia is the main register of the utility lines and rights to them, together with the property owner’s rights. The legal aspects of the utility network cadastre in Serbia is organized the same way like in real estate cadastre. For this reason, the extended LADM utility network data model for Serbia is developed in previous work of the authors. In the era of different technologies and the possibilities of storing and displaying data in 2D and 3D, it is desirable to use their full potential. In the paper, the possibilities of using augmented reality data to get a better overview of the data on the field is analyzed. The augmented reality models have been developed to display different types of manholes, which are node points of underground utility lines. The models were developed through the FME (Feature Manipulation Engine) software package and allow the display of different shapes of manholes, the addition of different textures to the interior and exterior of the manhole, as well as arbitrary content of the manhole. Other utility objects can be presented in a similar way. Such models can be included in the unified LADM based data model for utility network cadastre in Serbia by extending the code list for spatial source type and adding necessary classes. This way developed models can not only be used in the field but in office condition too.","utility network cadastre; Augmented Reality; AR; LADM; FME","en","conference paper","","","","","","","","","","","","","",""
"uuid:11f8b72e-419b-457b-b207-4db2368cad1e","http://resolver.tudelft.nl/uuid:11f8b72e-419b-457b-b207-4db2368cad1e","3D Cadastre Potential Assessment - A Trinidad and Tobago Property Valuation Perspective","Griffith-Charles, Charisse; Lalloo, Sunil","","2023","Each country must assess its own potential for attaining a 3D cadastre in its land administration. The assessment can be done on several criteria among which are the state of its legislation supporting the establishment of the cadastre, the strength of its current spatial data infrastructure, the registration structures in place, and the organisational capacity of its existing land administration. This case study used these assessment criteria to examine the potential of Trinidad and Tobago for attaining a 3D fiscal cadastre for its property valuation. The potential for development of the 3D cadastre in Trinidad and Tobago is relatively high among developing countries given the country’s previous oil and gas income security which drove its comparatively advanced level of technology use among regional countries, as well as the presence of research capacity at the University of the West Indies. Legislation, technical data infrastructure, registration processes, and organisational capacity are the areas assessed to conclude that the potential for the development of the LADM valuation extension is relatively high with some key suggestions for implementation of the process. The literature suggests possible structures and processes as well as problematic issues for taking this forward. The paper concludes that the value component of the 3D cadastre is not well supported by the land legislation but the country already has a sound organisational mechanism in place for data acquisition through the system of valuations done for the various taxation purposes – stamp duty and property tax. The legislation needs to address the fact that interests in land – both formal and informal need to be accommodated in the legal registry in order for the valuation databases to be comprehensive and integrated. Additionally, individual real estate interests on common lands (condominiums) need to be identified as separate legal assets to more accurately reflect the way in which these properties are held, along with the capital values of the individual rights.","3D Cadastre; LADM; Property Valuation; Trinidad and Tobago","en","conference paper","","","","","","","","","","","","","",""
"uuid:8843a8e1-09b0-4b44-afaf-73b391e26e8e","http://resolver.tudelft.nl/uuid:8843a8e1-09b0-4b44-afaf-73b391e26e8e","Requirements Based Design of the LADM Edition II","Kara, Abdullah; Lemmen, Christiaan; Kalogianni, Eftychia; van Oosterom, Peter","","2023","This paper examines the requirements based design of the LADM Edition II. The requirements are the starting point for the Abstract Test Suite (ATS, Annex A in an ISO standard). The requirements/ATS can be used to assess if a given LADM country profile with a specific application schema is conformant with the LADM standard. The requirements are a new aspect of ISO standards and this offers several benefits: 1. requirements express explicitly the needs from experts in the domain, 2. requirements are a very concise manner to describe the content of the standard, 3. requirements are used to introduce of the actual standard content (in the case of LADM, part of the UML class diagram), and as mentioned above, 4. requirements form the basis of the ATS. This paper describes the new structure of the second edition of the standard and the capabilities of this new edition, which is organized in multiple Parts (standards addressing a specific part of the scope) and Packages (groups of conceptually closely related classes, i.e. with relative high number of associations between the classes from one package compared to the number of cross package associations), with a particular attention to the requirements and design related decisions taken in the revision process.","LADM; ISO; 3D","en","conference paper","","","","","","","","","","","","","",""
"uuid:b02e4370-e0d9-40dd-90d1-bbbd647505f1","http://resolver.tudelft.nl/uuid:b02e4370-e0d9-40dd-90d1-bbbd647505f1","Analysing 3D Land Administration developments and plans from 2010 to 2026","Thompson, Rod; Kalogianni, Eftychia; van Oosterom, Peter","","2023","Administration questionnaires. The last FIG questionnaire 2022-2026 was just analysed and the FIG working week 2023 (Kalogianni et al. 2023, and in that paper the creation or update, organization and initial analysis of the results from the 4th FIG 3D Land Administration Questionnaire, as an activity of the FIG Working Group 3D Land Administration 2022-2026 was presented. By sharing this information among the countries/ jurisdictions, a comprehensive inventory will be created. It is expected that cooperation will improve, by learning from the different countries and jurisdictions, to support future developments in the field of 3D land administration. It is noted that, as LADM is finding increasing recognition (Kalogianni et al., 2021), it has been further incorporated into the various sections of the questionnaire. The completed questionnaires, per country are fully available via the participants’ page of the 3D Land Administration Working Group website. The responses have been analyzed and reported in various publications (van Oosterom et al. 2011, van Oosterom et al. 2014 and Shnaidman et al., 2019), while the initial analysis of the 4th Questionnaire has been recently presented by Kalogianni et al. (2023). This paper aims to provide an overview of the developments and plans from the initial questionnaire in 2010, till the future plans for 2026, based on the analyses that have been previously carried out (van Oosterom et al. 2011, van Oosterom et al. 2014 and Shnaidman et al., 2019) and highlighting the results of the analysis from the latest questionnaire. The initial results from the latest questionnaire have been presented by Kalogianni et al. (2023), providing the main outcome from the current status (December 2022), while highlighting the priority axes till 2026 related to the developments of 3D LAS. What is more, an assessment rubric is developed by the team that prepares and analyses the questionnaires, that is actually a scoring of the responses in the various sections of the four (4) questionnaires This assessment process is the first time that is being carried out and it is executed for eight (8) countries and presented in this paper.","LADM; ISO19152; Questionnaire; Land Administration; 3D Cadastre trends; assessment rubric","en","conference paper","","","","","","","","","","","","","",""
"uuid:b617293f-e902-4c08-ba93-b72aff2ee30d","http://resolver.tudelft.nl/uuid:b617293f-e902-4c08-ba93-b72aff2ee30d","3D Land Administration System for Wilayah Sarawak based on LADM Edition II -Preliminary Works","Zamzuri, Ainn; Abdul Rahman, Alias; Hassan, Muhammad Imzan; van Oosterom, Peter","","2023","The numerous country profiles developed in compliance with ISO 19152:2012 Land Administration Domain Model (LADM) in different jurisdictions worldwide reflect the standard's growing significance and acceptance. A country profile may outline the current situation in the land administration (LA) domain and adapt the existing land administration system (LAS) into the LADM concepts, or it may indicate the requirement or desired outcome for a possible future scenario in a specific country. Previous studies show that many countries, such as Brazil, China, Croatia, Netherlands, etc., have developed their country profiles based on LADM. In the meantime, the Malaysian LADM country profile also has been developed. However, it only applied to Peninsular Malaysia (Sabah and Wilayah Sarawak are omitted) since different LA is being practiced. Currently, the Wilayah Sarawak has an integrated organization, Department of Land and Survey Sarawak, applied in the LA domain where all the departments (e.g., Land Registry, Planning, Valuation, Title Registration, Survey and Cadastral Mapping, etc.) contribute the information accordingly in that system. The Sarawak country profile is based on LADM edition II (under development), and is the first country profile reported using all parts (of the new multi-parts LADM edition II), arriving at truly integrated LA. The experience may shall be used on input to the final version of LADM edition II. The new functionality modelled, such in the 3D representation, provide path to Sarawak future. It is the aim of this paper to describe the Wilayah Sarawak country profile development based on LADM Edition II. Relevant 2D and 3D spatial and non-spatial objects of LA, including Native Customary Rights (NCR) data, are the focused of the development of the Wilayah Sarawak LADM Country Profile classes. The country profile model is developed to establish a much broader system related to the land administration of the state.","3D LAS; ISO 19152:2012 LADM; Land Administration; Country Profile; Registration System","en","conference paper","","","","","","","","","","","","","",""
"uuid:b1275fee-1ecf-4143-b8b0-c1f65ba1dbc7","http://resolver.tudelft.nl/uuid:b1275fee-1ecf-4143-b8b0-c1f65ba1dbc7","Urban development / plan information LADM part 5: Position paper 1","Janečka, Karel; van Oosterom, Peter","","2023","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:b6e50c8d-512d-4e65-bc00-284bd8d0d0f2","http://resolver.tudelft.nl/uuid:b6e50c8d-512d-4e65-bc00-284bd8d0d0f2","Legal aspects of 3D Land administration: Position paper 3","Paulsson, Jenny; Paasch, Jesper M.","","2023","","","","conference paper","","","","","","","","","","","","","",""
"uuid:05e06c0b-c40c-4e0d-b53c-83ce3d9a4e9d","http://resolver.tudelft.nl/uuid:05e06c0b-c40c-4e0d-b53c-83ce3d9a4e9d","3D property formation in complex infrastructure- and building projects - Exemplified by the Slussen project in Stockholm","Larsson, Karolina; Paasch, Jesper M.; Paulsson, Jenny","","2023","This paper describes the use of 3D real property formation at a major construction site in Stockholm, Sweden, project Slussen. Real property formation with complex 3D volumes and numerous real properties and associated rights, restrictions and responsibilities (RRRs) has to be shown with the real properties and RRRs in relation to each other. The present legal solutions and documentation thereof are based on analogue documentation (digitalised to pdf) and cannot be attached as e.g. dwg files. Architects and other professionals do not normally have access to the 3D real property boundaries in their 3D building models from the beginning of a project and the exact locations of boundaries are agreed upon during the formation process to achieve as usable solutions as possible. The aim of this paper is to describe the 3D property formation process when involving complex solutions securing RRRs to solve legal and planning challenges on different levels of detail. The paper focuses on the cadastral real property formation procedures using 3D property formation for constructions above and underground, as well as how they are registered in the national real property register. The paper illustrates a complex 3D property solution and adds to the understanding of the challenges of registration and visualisation of Swedish 3D real property in Stockholm, focusing on legal as well as technical experiences. This research is a document study based on an analysis of the property formation and planning documentation and processes of a cadastral procedure conducted in the Slussen project, as well as associated documentation from other agencies, and by interviewing key persons involved in the project. The paper illustrates the need for a national cadastral index map in three dimensions to be used in the formal real property formation procedures, to achieve a comprehensible and correct representation and visualisation of 3D real properties and RRRs in Sweden. The results can be used as input in coming property formations of a similar kind, as well as a component for the development of a national three-dimensional cadastral index map. Furthermore, the results can be an input to capacity building for the future use of 3D models as part of the formal cadastral property formation procedure.","Sweden; 3D real property; property formation; 3D models, registration","en","conference paper","","","","","","","","","","","","","",""
"uuid:37d1577b-d65e-499d-b5d8-8a4a8147f831","http://resolver.tudelft.nl/uuid:37d1577b-d65e-499d-b5d8-8a4a8147f831","Modeling data dissemination processes as part of the future LADM development","Križanović, Josip; Roić, Miodrag; Pivac, Doris","","2023","Land administration (LA) is dynamic, and it cannot be understood, built or improved unless the processes associated with it are understood. One of the core standards in the domain of LA, namely ISO 19152 Land Administration Domain Model (LADM) provides conceptual data models for land administration systems (LASs). However, it does not cover all LA functions in its current edition and is currently under revision to include more LA-related parts such as land registration, marine georegulation, land valuation, spatial planning and implementation. Implementation aspects are expected to include technical models and processes with active participation of Open Geospatial Consortium (OGC). Notably, no official progress was yet made regarding the Part 6: Implementation, therefore this paper aims to present viability of the proposed methodology for modeling LA data dissemination processes on one of the most common use cases in Croatian LAS. The utilized methodology includes steps such as identifying use case, formalization of current state of the process, process breakdown and lastly optimization and formalization of improved state of the process. During the process breakdown step of the said methodology, process elements were grouped into three groups namely, actors, activities and resources. Furthermore, it was determined that actors and resources can be modeled with existing LADM classes, whereas activities could not. Moreover, two solutions for extending LADM with process-related classes were identified in journal articles, but since OGC participation is announced for the development of Part 6, it was expected to examine OGC process related standard, namely API-Processes-Part 1: Core. Classes which relate to process activities were compared in terms of attribute analysis. Differences and similarities were determined, and it was discussed that process-related extension should include class for activities, whereas attributes might vary depending on the system requirements and process tasks.","OGC; land administration; dissemination; data; processes; modeling; LADM","en","conference paper","","","","","","","","","","","","","",""
"uuid:7fa02863-a430-4417-91fa-cc9b654a1195","http://resolver.tudelft.nl/uuid:7fa02863-a430-4417-91fa-cc9b654a1195","Is more always better? Perceptions of property data visualization in 2D and 3D, in the context of Smart Communities and Smart Governance development","Grzelka, Kornelia; Bydłosz, Jarosław; Bieda, Agnieszka","","2023","Throughout history, the cadastre has played roles such as ensuring citizen privileges and protecting the rights of landowners. It has evolved over time to include fiscal functions. The land administration system (LAS) has played a significant role in the management of land and property relations. Modern land administration systems aim to support sustainable decision-making and smart urban development. Due to the complexity of existing and emerging buildings inadequately accounted for by traditional 2D cadastres, the need for a 3D cadastre has arisen. Additionally, global population growth and urbanisation have only accelerated the need for this concept. In contrast, the 'City 5.0' paradigm focuses on citizen-centred urban planning, emphasising barrier-free access to public goods and services. Important aspects of a smart city include stability, healthcare, employment, housing and culture/education. 3D cadastres can play a key role in the realisation of smart cities by providing accurate and unambiguous data for urban planning. Additionally, they will improve the economy and society through the efficient use of both above- and below-ground space. The aim of the study conducted by the authors was to find out how people from different backgrounds deal with the graphical representation of property data. A survey method called Computer Aided Web Interview (CAWI) was used to conduct the study. The questionnaire presented respondents with 2D and 3D cadastral visualisations in order to assess their perception. The analysis of the results obtained was based on the division of respondents according to their education (primary, lower secondary, basic vocational, secondary, higher or university education) and gender.","3D cadastre; 3D land administration; property data visualization; Smart Cities","","conference paper","","","","","","","","","","","","","",""
"uuid:92d4103c-d7e4-440c-b17c-75b0d22e7ea5","http://resolver.tudelft.nl/uuid:92d4103c-d7e4-440c-b17c-75b0d22e7ea5","3D legal building models/BIM: Position paper 2","Vučić, Nikola; Alattas, Abdullah","","2023","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:1da8981b-d8e6-4670-90ee-5cdebeb4cf39","http://resolver.tudelft.nl/uuid:1da8981b-d8e6-4670-90ee-5cdebeb4cf39","Valuation Information / LADM Part 4: Position paper 4","Kara, Abdullah; Zevenbergen, Jaap","","2023","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:c82bd4ad-178a-4777-b72a-8cf0ed50281d","http://resolver.tudelft.nl/uuid:c82bd4ad-178a-4777-b72a-8cf0ed50281d","BIM, 3D cadastral data and AI for urban management - A case study for energy consumption monitoring","Andritsou, Dimitra; Alexiou, Christos; Potsiou, Chryssy","","2023","Nowadays, many rapid and sudden changes take place in the economics, political and environmental sectors upstirring a sense of uncertainty and causing daily costs to skyrocket. The reduction of energy consumption and cost savings are extremely vital for an auspicious daily life. Another characteristic of the present times, is the catapult of the Artificial Intelligence technology and its utilization for the betterment of the functionality of scientifical, fiscal, social, ecological, communicational, engineering, etc. fields. Amidst all the above, this paper is a part of an ongoing research aiming to create a pioneering low – budget methodology for eventually constructing the complete virtual simulation of an urban neighborhood as a DT (Digital Twin) combining both AI – generated data on energy consumption and 3D cadastral information. This paper aims to combine BIMs (Building Information Models) with an API (Application Programming Interface) for the timely tracking of the functionality of building devices through AI generated sensors. The BIMs of the various buildings, old and new, are modelled utilizing 2D open data and 3D free – to - use platforms, cutting down on production costs and encouraging public participation. The various legal spaces and properties are represented as 3D prismatic volumes, with the insertion of additional cadastral, semantic and geometrical information. The BIMs are equipped with building elements, operational equipment and everyday furniture. The equipment entails electrical, mechanical, communicational and pluming devices. It also involves lighting fixtures, heating, ventilation and air conditioning units. The devices become smart, as they are interconnected with AI – generated sensors that provide dynamic data on energy consumption, efficiency and cost for the time period of an entire day. Sensors can be turned on or off indicating the status of the respective device, fixture or system. Each device can have numerous types of sensors resulting into different groupings. The sensors provide data such as temperature, luminance, voltage, duration of operation, capacity, etc. An interactive virtual interface is created that allows the user to temper with the different types of sensors, load and manage multiple data about the functionality of the household devices. The sensor management interface and the BIMs are all combined in a cooperative and open source platform that: a) presents each 3D building model and the 3D property areas (private and common) that it entails and their according semantic information, b) shows all the smart devices, fixtures and systems, c) gives the user the opportunity to interact with all the different types of sensors, their functionality, the data they collect, the data that they showcase and the duration of their operation, d) presents in a graphic manner the calculated energy consumption. This paper aims to boost neighborhood inclusion.","Urban Management; Digital Twin; Artificial Intelligence; 3D Cadastral Data; Energy Consumption","en","conference paper","","","","","","","","","","","","","",""
"uuid:9f77fe07-9f3b-4a5d-bb92-83c36f2c9101","http://resolver.tudelft.nl/uuid:9f77fe07-9f3b-4a5d-bb92-83c36f2c9101","Visualisation of 3D Property Units - experiences from a case study in Stockholm, Sweden","Larsson, Karolina; Paasch, Jesper M.; Paulsson, Jenny","","2023","This paper focuses on experiences concerning a project aiming at visualisation of three-dimensional (3D) property units in Stockholm, Sweden. The Swedish national registration system, the national real property register, includes a textual description as well as a two-dimensional map, normally consisting of a scan of the legal map document produced during the property formation process. Today, a 3D-pdf or a BIM model cannot be part of the formal property formation decision and documentation. It can thus be difficult to illustrate 3D real property on just a two-dimensional map. The paper describes a project carried out by Stockholm municipality to visualise 3D property unit volumes within the municipality by combining them with existing 3D city models (including building- and terrain models). The aim is to show and analyse the problems and challenges identified in the project by illustrating what has been done and how the use of 3D real property information can be further developed in the future. The method used in this research is a document study of the project focusing on representation in the digital cadastral index map for 3D real property units as part of the national real property register, as well as associated documentation from other agencies, and by interviewing key persons involved in the project. The project created a local 3D model which is stored in the municipality´s own internal database. Some information was retrieved from the national real property register, such as information on height (lowest and highest) and the horizontal expansion of the real property. Data was then processed and analysed using Feature Manipulation Engine (FME) to create 3D property volumes. The project used in-house software and data as much as possible. The volumes were stored in the municipal database. The 3D volumes could be used as a supplement to the information stored in the national real property register and the cadastral dossiers. The results can be used as a component for the development of a national three-dimensional cadastral index map as well as for enabling 3D models as part of the formal cadastral property formation procedure.","Sweden; 3D real property; property formation; 3D models; registration; visualisation","en","conference paper","","","","","","","","","","","","","",""
"uuid:c9941c59-f6e4-44c9-99a7-444b9dd5f99e","http://resolver.tudelft.nl/uuid:c9941c59-f6e4-44c9-99a7-444b9dd5f99e","Land Administration Domain Model OGC Standards Working Group","Unger, Eva-Maria; van Oosterom, Peter; Kara, Abdullah; Simmons, Scott; Lemmen, Christiaan","","2023","In February 2019 the OGC White Paper on Land Administration is published. This White Paper provides an overview of the land administration domain and relevant developments. It also proposes necessary actions for the design and development of an implementation standards. The document includes an overview of needs and requirements as earlier discussed during the various LADM Workshops. During the closing session of the 2021 LADM/3D workshop (embedded in the FIG e-Working Week 2021) FIG expressed its commitment to collaborate with ISO and OGC regarding the implementation of LADM as an integral component of the standardisation efforts in land administration. Currently, the LADM revision is ongoing and includes land registration, marine space geo-regulation, valuation information, spatial plan information. Further, enhanced support in cadastral surveying is proposed to be provided, through a comprehensive survey model. The new edition is multipart, part 6 is on a standard for LADM implementation. The development of this can be strategically aligned with the developments of the other parts of LADM edition II. This paper serves as an initial step towards fostering a dialogue to promote the implementation of LADM. It emphasis the creation of a Land Administration Domain Model Standards Working Group Charter within the OGC. A draft charter is currently available for reference, along with insights from the 2019 White Paper. It is imperative to engage stakeholders from industry/business, government and academia in this development to ensure its success and relevance.","LADM; OGC; ISO; FIG; LADM implementation","en","conference paper","","","","","","","","","","","","","",""
"uuid:27b0ab60-cc6b-4748-905b-af1777c29742","http://resolver.tudelft.nl/uuid:27b0ab60-cc6b-4748-905b-af1777c29742","Digital Transformation of Land Administration Systems - the Next Step toward 3D Land Administration","Vučić, Nikola; Griffith-Charles, Charisse; Sutherland, Michael","","2023","Introducing interoperability among spatial datasets and registers is one of the key drivers for optimizing public administration, starting from the simple automation of existing processes, to the overall transformation of the system, and the construction of modern user-oriented services. An additional incentive for such a transformation, in the European context, was the foreseeable need for interoperability between the national systems of registers and the European Union (EU) registers, and potentially in the future with other registers worldwide. A key prerequisite for the realization of interoperability is digitalization. Digitalization is the most important step towards the development of an effective 3D Land administration. LADM country profiles integrate the legal and institutional context governing Rights, Restrictions and Responsibilities (RRRs) with the desired Land Administration Systems’ (LASs) advancements. A significant number of countries have developed LADM-based country profiles. Experiences from these developments are in the direction of integrated Land Administration, which the LADM data standard provides, with a vision for the future and can serve as good practice for the countries. This paper compares the digital transformation of the land administration in two vastly different case studies, in one EU country (Croatia) and in one Caribbean country (Trinidad and Tobago). A Comparison of these two land administration systems and their different tracks toward the development of a 3D land administration system that would address their differing social and economic needs within their individual resource and skill capacities was investigated in this paper. It was found, after comparison, that the step by step process to further and develop from the existing status to a 3D cadastre that is LADM compliant is differentiated according to the needs, capacities, and resources available in each of the countries. Countries would do well to decide on their individual social and economic needs and capacities prior to embarking on a step by step process toward 3D land administration.","3D cadastre; digital transformation; 3D Land Administration; LADM","en","conference paper","","","","","","","","","","","","","",""
"uuid:4be47536-2979-4642-bb71-d61599fe687f","http://resolver.tudelft.nl/uuid:4be47536-2979-4642-bb71-d61599fe687f","Leveraging BIM for the LADM Part 4 - Valuation Information Model: the case study of Cyprus","Demetriades, Pavlos; Kalogianni, Eftychia; Dimopoulou, Efi","","2023","Building Information Model (BIM) is a powerful tool for managing and utilizing data throughout the lifecycle of buildings and infrastructure. This paper explores the options, and considerations, of using BIM data for the Land Administration (LA) domain. The ISO19152 Land Administration Domain Model (LADM), as a widely recognized international standard for Land Administration domain, is currently undergoing revision. The new Edition will be multipart, with 6 Parts, including Part 4 (ISO19152-4) about Valuation Information, specifying the characteristics and semantics of valuation registries maintained by public authorities. This valuation part may include incorporating advancements in the representation and management of property-related information, as well as aligning with the architecture, engineering, and construction (AEC) industry. BIM has a significant impact on the AEC industry, since its adoption transforms traditional processes, enhancing among others, visualization, lifecycle management and facility operation. By integrating information from BIM models into the LADM Part 4, several benefits can be achieved, such as: more accurate 3D property representation, dynamic and realistic assessment of property value, automation of valuation processes and compliance with industry regulations. Therefore, this paper investigates how to integrate BIM data with the LADM, particularly in the context of Cyprus. The mapping between the existing Valuation Model of Cyprus and the basic concepts of LADM Part 4 takes place and a proposed valuation information model for Cyprus based on LADM Part 4 is designed. This model is further enriched by the integration of data derived from a real-world mixed-use buildings. Additionally, we presented the primary objective of this paper along with its significance within the context of the Cyprus Land Registry system, with particular focus on its relevance to the Valuation Department.","Building Information Model (BIM); Land Administration Systems (LAS); Land Administration Domain Model (LADM); Valuation Information Model; data interoperability; data circularity; property valuation","en","conference paper","","","","","","","","","","","","","",""
"uuid:aa432673-6150-4665-aae9-eb708cfc8a86","http://resolver.tudelft.nl/uuid:aa432673-6150-4665-aae9-eb708cfc8a86","SDG Land Administration Indicators based on ISO 19152 LADM","Chen, Mengying; van Oosterom, Peter; Kalogianni, Eftychia; Dijkstra, Paula","","2023","The Sustainable Development Goals (SDGs), comprising of 17 Global Goals, serve as a global framework for addressing various facets of sustainable development. Several of these goals emphasize the crucial role of land management and equitable land distribution in achieving sustainable development objectives. ISO 19152, known as the Land Administration Domain Model (LADM), plays a pivotal role in land administration systems globally. It provides a standardized framework for land management, including land tenure, marine georegulation, valuation, and spatial plan information. This paper explores the integration of land administration indicators within the ISO 19152 standard, aligning them with the United Nations Agenda 2030 SDGs. The process involves a systematic approach to selecting and developing these indicators. In the indicator selection phase, firstly, we establish the foundational lexicon linked to LADM then extract lexemes from SDGs indicators, analyze their semantic relationships, and evaluate their alignment with LADM; secondly, we meticulously evaluated chosen indicators by analyzing their SDG indicator metadata, focusing on the “Method of Computation"" section to align these indicators with LADM's basic classes; thirdly, categorizing them based on their association with LADM. This categorization ranges from indicators with no direct correlation to those with full computational interdependence, specifically, they are: Non-Association (Category 0), Full Computational Association (Category 1), Partial Computational Association (Category 2), Indirect Association (Category 3), Association with Other International Standards (Category 4). Following indicator selection, our approach to indicator development is summarized. This entails expressing information from UN SDG ""Method of Computation"" documents in UML class diagrams, adding operation names and parameters to the most relevant class, and specifying implementation methods for each operation. An in-depth analysis of SDG Indicator 1.4.2 demonstrates the feasibility of deriving indicators entirely from LADM data. Finally, the paper discusses potential future work, including the integration of semantic networks and ontologies for keyword extraction, further exploration of Category 1 Indicators, and practical implementation through case studies, data collection, indicator testing, validation, and reflection.","ISO 19152 LADM; SDGs; Land administration indicators","en","conference paper","","","","","","","","","","","","","",""
"uuid:13014d5c-a1d3-4ca2-bdda-4503790a8a12","http://resolver.tudelft.nl/uuid:13014d5c-a1d3-4ca2-bdda-4503790a8a12","Evolution of UML application schemes in the Polish cadastral system in the context of legal changes","Bydlosz, Jarosław","","2023","After the Second World War, the Polish authorities were faced with the task of creating a land and building cadastre for a country whose territories had previously been covered by different legal systems. This task began with the issuing of relevant legal acts and regulations. They were all in textual form. Graphical notation appeared in Polish legal regulations in 2001 as an OMT diagram showing the main relationships between cadastral objects. This diagram is too general to construct a cadastral database on its basis. In 2013, a UML application schema describing the mutual relationships between cadastral objects appeared for the first time in the cadastral regulations. On the basis of the schema and detailed definitions of individual classes, it was possible to build a cadastral database. Since then, UML application schemes of the cadastre model have been included in Polish legal regulations. After 2010, many studies were conducted in Poland to develop the cadastre model, primarily proposing the introduction of 3D cadastre objects. These studies have not been significantly used in the preparation of new legal regulations. Since 2012, the subject has been running through the Polish parliament, considered as the issue of stratified ownership. Work on this topic has intensified since 2021 and is being conducted under the auspices of the De Republica Institute. At the time of writing this article, it had not been completed with the enactment of a new piece of legislation.","3D cadastre; 3D land administration; UML; Polish cadastral model","en","conference paper","","","","","","","","","","","","","",""
"uuid:4144e477-780e-4328-8251-7a8f98d67533","http://resolver.tudelft.nl/uuid:4144e477-780e-4328-8251-7a8f98d67533","Integrating CityGML and LADM for 3D Building Management - Taking Taiwan as an Example","Ho, Sin-Yi; Hong, Jung-Hong","","2023","The recent advances of surveying and mapping technology has made the collection of 3D information increasingly easier. A variety of real-world phenomena nowadays can be modelled in a realistic way, which enables the development of many innovated applications (Liu Wei Zhe, 2020). Due to the rapid expansion of urban population and limited land resources, the effective management of the right and property of buildings has been considered as one important mission to the digital cadastre. Especially when more and more tall buildings were built in the urban area, modern 3D modelling strategies considering vertical dimensions appears to be an obvious choice for the future cadaster systems. Developing 3D cadastres is therefore widely recognized as an urgent issue for many countries (Zeng Zis Han, 2022). To meet the modelling and encoding demands of city phenomena, CityGML (City Geographic Markup Language) from Open Geospatial Consortium (OGC) has been successfully used to improve the cross-discipline interoperability with its pre-defined and standardized schema (Löwner, Gröger, Benner, Biljecki, & Nagel, 2016). Nowadays, many 3D building datasets around the world are distributed with CityGML (OGC, 2021a). Land Administration Domain Model (LADM) provided a standardized schema for the management of building property rights (Lemmen, van Oosterom, & Kalantari, 2018), which includes contents about party, administrative units and the right, responsibility and restriction between them. The combination of a 3D model and property rights brings great benefits to the development of 3D cadaster (Surmeneli, Koeva, Zevenbergen, & Alkan, 2020), for example, realizing the size and the location of the legal space. Various research has been focusing on the advantages of integrating these two standards. Rönsdorff et al. (2014) proposed a CityGML ADE to model the legal space; Góźdź et al. (2014) proposed a CityGML-LADM ADE model to describe the relationship between the legal and physical representation of selected objects. Nega & Coors(2022) suggested the integration of LADM and CityGML can be used to deal with the problems of overlapping ownerships in buildings, and can be further applied in many scenarios which need to distinguish the legal and physical space. LADM can effectively model the legal and management aspect about buildings, but more discussion about the 3D geometric representation, as well as the links to the various 3D building information, e.g., BIM, 3D mesh model, are still necessary. By considering the regulation, management systems and building characteristics of Taiwan, this research discusses the design strategies for developing a prototype of 3D cadastre system for Taiwan using CityGML and LADM. Although the current result is still preliminary and the modelling scope is limited, we believe it can serve as the basis for further profile development of a complete 3D cadastre system that not only meets the demands of cadaster related application, but also provide a solid reference for the use of land information in smart cities applications.","Building Management; CityGML; LADM; 3D cadastre","en","conference paper","","","","","","","","","","","","","",""
"uuid:bb25ea4a-7dd3-4104-81fa-a4981f615352","http://resolver.tudelft.nl/uuid:bb25ea4a-7dd3-4104-81fa-a4981f615352","Mass Property Valuation in Serbia","Sladić, Dubravka; Radulović, Aleksandra; Govedarica, Miro","","2023","Mass property valuation is the process of determining market value of the immovable property on a certain date, which is done for each registered property in the Real Estate Cadastre using registered real estate data, with application of standardized methods and statistical testing. Mass valuation of real properties was introduced into the legislation of the Republic of Serbia in 2009 within the Law on State Survey and Cadastre. The law prescribes keeping of records of real property market prices by the cadastral authority for the purposes of mass valuation. Numerous methods of mass valuation have been developed, among which the most used is multi-parameter regression analysis (MRA). Multi-parameter regression provides the ability to analyze the relationship and impact on the price of multiple market factors such as area, location, number of floors, rooms, quality of construction, etc. According to the law, establishment of a system of mass real property valuation should be carried out in several phases including a formation of a model for mass valuation, legal adjustments, collection of data from a variety of sources, etc. For this purpose, cadastral authority implemented the Real Estate Price Register, which is the first step towards the mass valuation, and it assures collection of data from the real estate market. Other steps are yet to be completed, to establish mass valuation in Serbia. Based on the developed Serbian LADM profile and LADM Valuation Information Model, a data model for the property valuation in Serbia was developed in our previous work. It defined the class RS_VM_MassAppraisal intended for future use when the process of implementation of mass valuation in Serbia is completed. In this paper, we further elaborate this model, develop a case study for the mass evaluation based on MRA for the city of Novi Sad, and compare it to the individual valuation explored in the previous work. The biggest challenge is a selection of parameters that affect the value of a property, i.e., elimination of those characteristics that do not influence the value. At the end, we conclude by analyzing the possible use of other automated valuation models, which are AI based models concerning real estate market analyses and valuation, among which MRA is the oldest and most common one, but may experience certain weaknesses, which can lead to lowered effectiveness of the valuation.","property valuation; mass appraisal; LADM Valuation Information Model","en","conference paper","","","","","","","","","","","","","",""
"uuid:8e9e705a-252b-481a-8168-6e92b286139e","http://resolver.tudelft.nl/uuid:8e9e705a-252b-481a-8168-6e92b286139e","Identification of the three-dimensional legal aspects of Greek real estate legislation in the context of 3D LAS","Perperidou, Dionysia-Georgia; Vazouras, Dimitrios; Kloukina, Ioanna; Papastamou, Dimitrios","","2023","The Greek Civil Code, which was introduced in 1946, was a major breakthrough in the Greek legal system, particularly its third book, which deals with Greek property law. Its rapporteur, Professor Georgios Balis of the Athens School of Law, considered that this new legal framework, on the one hand, was a continuation of the long-standing Byzantine-Roman law (in force since the 14th century) and, on the other hand, by limiting the rights to four - ownership, easement, pledge and lease - could effectively contribute to land management and stop the fragmentation of land and property rights. Greek property law itself is based on 3D descriptions of rights and their restrictions, which in many cases have not yet been rendered at a technical and/or spatial level, especially at the legal level. As a result, the 3D legal description of property rights and restrictions is not followed by the equivalent 3D technical and spatial description. Herein, is presented the 3D legal descriptions of property rights at the spatial level and within the basic framework of 3D LAS. The 3D interrelationships of Greek property law with other aspects of the Greek legal system related to real estate (rights, use, development, restrictions, rules) are analysed in detail. Special emphasis is given to the analysis of the interactions of Greek property law with urban planning legislation and the procedures for the recognition and identification of arbitrary constructions and the issuance of building permits, which have recently been revised at the 3D level. This approach can be the starting point for the design and implementation of 3D LAS in Greece, based on the public data base of the Hellenic Cadastre, as provided for in its founding law.","3D Greek LAS; 3D Greek Property LAW; 3D legal and technical aspects","en","conference paper","","","","","","","","","","","","","",""
"uuid:59ee63bb-6f26-468f-8001-0ecffd3e8cc5","http://resolver.tudelft.nl/uuid:59ee63bb-6f26-468f-8001-0ecffd3e8cc5","Productive Failure Pedagogy in Engineering Mechanics","Persaud, S.M. (TU Delft Design for Sustainability); Flipsen, Bas (TU Delft Circular Product Design)","Buck, Lyndon (editor); Grierson, Hilary (editor); Bohemia, Erik (editor)","2023","In September 2021, the faculty of Industrial Design Engineering (IDE) introduced a revamped bachelor's program that emphasizes design for higher complexity, teacher as a coach, and autonomous learning. The program includes Understanding Product Engineering (UPE), which teaches first-year design students about product embodiment, manufacturing, and mechanics of materials. However, the traditional approach of teaching engineering using direct instructions and problem-based learning was ineffective, as students failed to apply the engineering knowledge in their capstone design projects.
To address this issue and promote autonomous learning, the Productive Failure (PF) pedagogical framework was introduced as the main pedagogical framework in UPE. However, the general approach of the PF pedagogy as described by Kapur, lacked a translation into an effective design of the workshops. To address this, this paper proposes a hands-on model based on constructive alignment, where learning objectives, activities, and assessment are designed side-by-side. This paper presents our didactical model, which was developed in an agile way during the second run of UPE. The hands-on model proposed aids in applying the PF pedagogy in engineering courses and consists of a method to develop workshop assignments and a didactical approach to guide and coach students through the workshop process.","autonomous learning; productive failure; direct instruction; product engineering; engineering; mechanics","en","conference paper","The Design Society, Institution of Engineering Designers","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-07","","","Design for Sustainability","","",""
"uuid:fbdc679c-cbe5-4cd0-8783-972b3636fa53","http://resolver.tudelft.nl/uuid:fbdc679c-cbe5-4cd0-8783-972b3636fa53","City-scale damage assessment using very-high-resolution SAR satellite imagery and building survey data for the 2021 Haiti earthquake","Macchiarulo, V. (TU Delft Geo-engineering); Foroughnia, Fatemeh (TU Delft Geo-engineering); Milillo, Pietro (University of Houston); Whitworth, Michael R. Z. (AECOM Ltd.); Penney, Camilla (University of Canterbury); Adams, Keith (Brunel University London); Kijewski-Correa, Tracy (University of Notre Dame); Giardina, Giorgia (TU Delft Geo-engineering)","","2023","After an earthquake, a rapid identification of the damaged building stock is crucial to prioritise rescue operations, ensure primary services to the most affected regions and support reconstruction. Whilst in-situ reconnaissance missions provide invaluable data on the intensity and distribution of earthquake-induced structural damage, the process of collecting field observations is often dangerous, expensive, and is usually undertaken a few weeks after the disaster. Spaceborne Synthetic Aperture Radar (SAR) can remotely provide imagery data of wide affected areas, enabling to reach locations that are difficult or dangerous to access with traditional survey methods. Furthermore, SAR-based observations are independent from daylight illumination and clear-weather conditions. Thanks to the recent availability of Very-High Resolution (VHR) SAR satellites, post-disaster imagery data with sub-metre resolution are now available within a few hours after a major earthquake, opening unprecedented opportunities for complementing in-situ operations. The textural analysis of post-earthquake VHR SAR images could be used to identify backscattering signatures that are likely associated with building damage. However, application has been limited by the lack of methods that correlate the textural properties of damaged structures in radar images with building survey data. In this paper, we present a method using textural features derived from VHR SAR post-event images in combination with building survey data to classify earthquake-induced building damage at city block-level. We tested the proposed method within the context of a joint Structural Extreme Event Reconnaissance (StEER), GeoHazards International (GHI) and Earthquake Engineering Field Investigation Team (EEFIT) mission that followed the 2021 Haiti Earthquake. The developed method was applied to the city of Les Cayes, Haiti, using a post-event Capella SAR image acquired on the 16th of August 2021. The outcomes can positively impact future earthquake scenarios, with the potential to improve rapid disaster response and remotely aid post-earthquake reconnaissance missions.","post-disaster reconnaissance; remote sensing; texture analysis","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:3b275cb5-45ab-46da-a675-c20a4aa9518f","http://resolver.tudelft.nl/uuid:3b275cb5-45ab-46da-a675-c20a4aa9518f","Gilbert-Varshamov inspired lower bound on the maximal cardinality of indel and substitution correcting codes","Speé, W.J.P. (Student TU Delft); Weber, J.H. (TU Delft Discrete Mathematics and Optimization)","","2023","Recent advances in DNA data storage and racetrack memory have attracted renewed attention towards deletion, insertion and substitution correcting codes. Compared to codes aimed at correcting either substitution errors or deletion and insertion (indel) errors, the understanding of codes that correct combinations of substitution and indel errors lags behind. In this paper, we focus on the maximal size of q-ary t-indel s-substitution correcting codes. In particular, our main contribution is a Gilbert-Varshamov inspired lower bound on this size. Moreover, we study the asymptotic behaviour of this bound.","","en","conference paper","","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:e71ba5e8-7bc0-4c5e-913f-2fb189dd2f38","http://resolver.tudelft.nl/uuid:e71ba5e8-7bc0-4c5e-913f-2fb189dd2f38","Naar landelijke learning communities: Leerervaringen uit twee jaar learing community logistiek in de leefbare stad","de Vries, A.M.R. (Rotterdam University of Applied Sciences); Koole, P. (Breda University of Applied Sciences); Kamphuis, M. (HAN University of Applied Sciences); van Duin, Ron (TU Delft Transport and Logistics; Rotterdam University of Applied Sciences); Quak, H.J. (Breda University of Applied Sciences; TNO)","","2023","Learning communities kunnen een middel zijn om bij vraagstukken zoals logistiek in de leefbare stad, in een publiek-privaat samenwerkingsverband te leren, problemen op te lossen en te innoveren. Vanuit het CoE KennisDC Logistiek is door vier hogescholen de Learning Community Logistiek in de Leefbare Stad uitgevoerd. Op basis van het Conceptueel raamwerk Publiek-Private Learning Communities wordt gereflecteerd hierop. Hieruit zijn inzichten gekomen voor de verdere uitrol van het concept learning communities binnen het CoE KennisDC Logistiek. De learning community logistiek in de leefbare stad
heeft een mooie rijkheid aan hedendaags praktijkgericht onderzoek en praktijkcases laat zien en heeft partijen bij elkaar gebracht. De meerwaarde is mede erkend doordat tijdens de Pareltjesdag van het CoE KennisDC Logistiek, de learning community de publieksprijs 2023 in ontvangst heeft mogen nemen","learning community; governance; Stadslogistiek; Logistiek in de Leefbare Stad","nl","conference paper","","","","","","","","","","","Transport and Logistics","","",""
"uuid:7ad2db50-f9d1-4b86-aa3f-42b3170cbb89","http://resolver.tudelft.nl/uuid:7ad2db50-f9d1-4b86-aa3f-42b3170cbb89","ADAPT: A 3 Degrees of Freedom Reconfigurable Force Balanced Parallel Manipulator for Aerial Applications","Suryavanshi, Kartik (Student TU Delft); Hamaza, S. (TU Delft Control & Simulation); van der Wijk, V. (TU Delft Mechatronic Systems Design); Herder, J.L. (TU Delft Precision and Microsystems Engineering)","","2023","In this paper, we present the ADAPT, a novel reconfigurable force-balanced parallel manipulator for spatial motions and interaction capabilities underneath a drone. The reconfigurable aspect allows different motion-based 3-DoF operation modes like translational, rotational, planar, and so on, without the need for disassembly. For the purpose of this study, the manipulator is used in translation mode only. A kinematic model is developed and validated for the manipulator. The design and motion capabilities are also validated both by conducting dynamics simulations of a simplified model on MSC ADAMS, and experiments on the physical setup. The force-balanced nature of this novel design decouples the motion of the manipulator’s end-effector from the base, zeroing the reaction forces, making this design ideally suited for aerial manipulation applications, or generic floating-base applications.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-04","","Precision and Microsystems Engineering","Control & Simulation","","",""
"uuid:2b18580e-d12a-492b-8486-a1bb81dd8678","http://resolver.tudelft.nl/uuid:2b18580e-d12a-492b-8486-a1bb81dd8678","No One Drinks From the Firehose: How Organizations Filter and Prioritize Vulnerability Information","de Smale, S. (TU Delft Organisation & Governance); van Dijk, Rik (NCSC-NL (National Cyber Security Centre of the Netherlands)); Bouwman, X.B. (TU Delft Organisation & Governance); van der Ham, Jeroen (NCSC-NL (National Cyber Security Centre of the Netherlands); University of Twente); van Eeten, M.J.G. (TU Delft Organisation & Governance)","","2023","The number of published software vulnerabilities is increasing every year. How do organizations stay in control of their attack surface despite their limited staff resources? Prior work has analyzed the overall software vulnerability ecosystem as well as patching processes within organizations, but not how these two are connected. We investigate this missing link through semi-structured interviews with 22 organizations in critical infrastructure and government services. We analyse where in these organizations the responsibility is allocated to collect and triage information about software vulnerabilities, and find that none of our respondents is acquiring such information comprehensively, not even in a reduced and aggregated form like the National Vulnerability Database (NVD). This means that information on known vulnerabilities will be missed, even in critical infrastructure organizations. We observe that organizations apply implicit and explicit coping mechanisms to reduce their intake of vulnerability information, and identify three trade-offs in these strategies: independence, pro-activeness and formalization. Although our respondents’ behavior is in conflict with the widely accepted security advice to collect comprehensive vulnerability information about active systems, no respondents re- call having experienced a security incident that was associated with missing information on a known software vulnerability. This suggests that, given scarce resources, reducing the intake of vulnerability information by up to 95% can be considered a rational strategy. Our findings raise questions about the allocation of responsibility and accountability for finding vulnerable systems, as well as suggest changing expectations around collecting vulnerability information.","","en","conference paper","","","","","","","","","","","Organisation & Governance","","",""
"uuid:e473c39b-e977-44fe-bf01-d94292f713db","http://resolver.tudelft.nl/uuid:e473c39b-e977-44fe-bf01-d94292f713db","CEM: Constrained Entropy Maximization for Task-Agnostic Safe Exploration","Yang, Q. (TU Delft Algorithmics); Spaan, M.T.J. (TU Delft Algorithmics)","","2023","Without an assigned task, a suitable intrinsic objective for an agent is to explore the environment efficiently. However, the pursuit of exploration will inevitably bring more safety risks.
An under-explored aspect of reinforcement learning is how to achieve safe efficient exploration when the task is unknown.
In this paper, we propose a practical Constrained Entropy Maximization (CEM) algorithm to solve task-agnostic safe exploration problems, which naturally require a finite horizon and undiscounted constraints on safety costs.
The CEM algorithm aims to learn a policy that maximizes the state entropy under the premise of safety.
To avoid approximating the state density in complex domains, CEM leverages a $k$-nearest neighbor entropy estimator to evaluate the efficiency of exploration.
In terms of safety, CEM minimizes the safety costs, and adaptively trades off safety and exploration based on the current constraint satisfaction. We empirically show that CEM allows learning a safe exploration policy in complex continuous-control domains, and the learned policy benefits downstream tasks in safety and sample efficiency.","Reinforcement Learning; Safe Exploration","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-05","","","Algorithmics","","",""
"uuid:0e4d11be-9c34-4602-98e0-79be8aaeb57f","http://resolver.tudelft.nl/uuid:0e4d11be-9c34-4602-98e0-79be8aaeb57f","AGIC: Approximate Gradient Inversion Attack on Federated Learning","Xu, J. (Student TU Delft); Hong, C. (TU Delft Dataintensive Systems); Huang, J. (TU Delft Dataintensive Systems); Chen, Lydia Y. (TU Delft Dataintensive Systems); Decouchant, Jérémie (TU Delft Dataintensive Systems)","Ceballos, Cristina (editor); Torres, Hector (editor)","2023","Federated learning is a private-by-design distributed learning paradigm where clients train local models on their own data before a central server aggregates their local updates to compute a global model. Depending on the aggregation method used, the local updates are either the gradients or the weights of local learning models, e.g., FedAvg aggregates model weights. Unfortunately, recent reconstruction attacks apply a gradient inversion optimization on the gradient update of a single mini- batch to reconstruct the private data used by clients during training. As the state-of-the-art reconstruction attacks solely focus on single update, realistic adversarial scenarios are over- looked, such as observation across multiple updates and updates trained from multiple mini-batches. A few studies consider a more challenging adversarial scenario where only model updates based on multiple mini-batches are observable, and resort to computationally expensive simulation to untangle the underlying samples for each local step. In this paper, we propose AGIC, a novel Approximate Gradient Inversion Attack that efficiently and effectively reconstructs images from both model or gradient updates, and across multiple epochs. In a nutshell, AGIC (i) approximates gradient updates of used training samples from model updates to avoid costly simulation procedures, (ii) leverages gradient/model updates collected from multiple epochs, and (iii) assigns increasing weights to layers with respect to the neural network structure for reconstruction quality. We extensively evaluate AGIC on three datasets, namely CIFAR-10, CIFAR- 100 and ImageNet. Our results show that AGIC increases the peak signal-to-noise ratio (PSNR) by up to 50% compared to two representative state-of-the-art gradient inversion attacks. Furthermore, AGIC is faster than the state-of-the-art simulation- based attack, e.g., it is 5x faster when attacking FedAvg with 8 local steps in between model updates.","Reconstruction attack; Federated Learning; Federated Averaging","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Dataintensive Systems","","",""
"uuid:b5f8a553-762e-4e5c-b872-73c3579275d9","http://resolver.tudelft.nl/uuid:b5f8a553-762e-4e5c-b872-73c3579275d9","A seismic retrofitting design approach for activating dissipative behaviour of timber diaphragms in existing unreinforced masonry buildings","Mirra, M. (TU Delft Bio-based Structures & Materials); Ravenshorst, G.J.P. (TU Delft Bio-based Structures & Materials)","Zingoni, Alphose (editor)","2023","The region of Groningen (NL) has experienced increasing human-induced seismicity caused by gas extraction in the last decades. The local building stock, not designed for seismic loads, consists for more than 50% of unreinforced masonry buildings with timber diaphragms. In this context, a detailed seismic characterization of timber and masonry structural components has taken place, and a retrofitting technique for timber floors activating their energy dissipation has been developed. Besides, specific analytical and numerical modeling strategies for as-built and retrofitted timber floors have been formulated. This work presents a design approach for creating strengthened dissipative timber diaphragms, and maximizing the seismic capacity of existing masonry buildings through this retrofitting method. The results from the performed numerical analyses prove that the proposed design approach for timber floors can increase the energy dissipation capacity of masonry buildings, while improving the box behavior at both damage and near-collapse limit state.","","en","conference paper","CRC Press","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-based Structures & Materials","","",""
"uuid:e98bde52-8de9-45d5-8761-3f6c34aa1b21","http://resolver.tudelft.nl/uuid:e98bde52-8de9-45d5-8761-3f6c34aa1b21","Private Graph Extraction via Feature Explanations","Olatunji, Iyiola E (L3S Research Center); Rathee, Mandeep (L3S Research Center); Funke, Thorben (L3S Research Center); Khosla, M. (TU Delft Multimedia Computing)","","2023","Privacy and interpretability are two important ingredients for achieving trustworthy machine learning. We study the interplay of these two aspects in graph machine learning through graph reconstruction attacks. The goal of the adversary here is to reconstruct the graph structure of the training data given access to model explanations. Based on the different kinds of auxiliary information available to the adversary, we propose several graph reconstruction attacks. We show that additional knowledge of post-hoc feature explanations substantially increases the success rate of these attacks. Further, we investigate in detail the differences between attack performance with respect to three different classes of explanation methods for graph neural networks: gradient-based, perturbationbased, and surrogate model-based methods. While gradient-based explanations reveal the most in terms of the graph structure, we find that these explanations do not always score high in utility. For the other two classes of explanations, privacy leakage increases with an increase in explanation utility. Finally, we propose a defense based on a randomized response mechanism for releasing the explanations, which substantially reduces the attack success rate. Our code is available at https://github.com/iyempissy/graphstealing- attacks-with-explanation.","privacy risk; model explanations; graph reconstruction attacks; private graph extraction; graph neural networks; attacks","en","conference paper","","","","","","","","","","","Multimedia Computing","","",""
"uuid:2ba875c3-1946-429e-9a35-9b8928c1f3d1","http://resolver.tudelft.nl/uuid:2ba875c3-1946-429e-9a35-9b8928c1f3d1","Self-Attention Message Passing for Contrastive Few-Shot Learning","Shirekar, Ojas Kishorkumar (Student TU Delft); Singh, Anuj (Student TU Delft); Jamali-Rad, H. (TU Delft Pattern Recognition and Bioinformatics; Shell Global Solutions International B.V.)","O’Conner, Lisa (editor)","2023","Humans have a unique ability to learn new representations from just a handful of examples with little to no supervision. Deep learning models, however, require an abundance of data and supervision to perform at a satisfactory level. Unsupervised few-shot learning (U-FSL) is the pursuit of bridging this gap between machines and humans. Inspired by the capacity of graph neural networks (GNNs) in discovering complex inter-sample relationships, we propose a novel self-attention based message passing contrastive learning approach (coined as SAMP-CLR) for U-FSL pre-training. We also propose an optimal transport (OT) based fine-tuning strategy (we call OpT-Tune) to efficiently induce task awareness into our novel end-to-end unsupervised few-shot classification framework (SAMPTransfer). Our extensive experimental results corroborate the efficacy of SAMPTransferin a variety of downstream few-shot classification scenarios, setting a new state-of-the-art for U-FSL on both miniImageNet and tieredImageNet benchmarks, offering up to 7%+ and 5%+ improvements, respectively. Our further investigations also confirm that SAMPTransferremains on-par with some supervised baselines on miniImageNet and outperforms all existing U-FSL baselines in a challenging cross-domain scenario. Our code can be found in our GitHub repository: https://github.com/ojss/SAMPTransfer/.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-06","","","Pattern Recognition and Bioinformatics","","",""
"uuid:8446a6e8-f18b-4e1b-be55-fcb3d37b2927","http://resolver.tudelft.nl/uuid:8446a6e8-f18b-4e1b-be55-fcb3d37b2927","LAB: Learnable Activation Binarizer for Binary Neural Networks","Falkena, Sieger (Student TU Delft; Shell Global Solutions International B.V.); Jamali-Rad, H. (TU Delft Pattern Recognition and Bioinformatics; Shell Global Solutions International B.V.); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","O’Conner, Lisa (editor)","2023","Binary Neural Networks (BNNs) are receiving an up-surge of attention for bringing power-hungry deep learning towards edge devices. The traditional wisdom in this space is to employ sign(.) for binarizing feature maps. We argue and illustrate that sign(.) is a uniqueness bottleneck, limiting information propagation throughout the network. To alleviate this, we propose to dispense sign(.), replacing it with a learnable activation binarizer (LAB), allowing the network to learn a fine-grained binarization kernel per layer - as opposed to global thresholding. LAB is a novel universal module that can seamlessly be integrated into existing architectures. To confirm this, we plug it into four seminal BNNs and show a considerable accuracy boost at the cost of tolerable increase in delay and complexity. Finally, we build an end-to-end BNN (coined as LAB-BNN) around LAB, and demonstrate that it achieves competitive performance on par with the state-of-the-art on ImageNet. Our code can be found in our repository: https://github.com/sfalkena/LAB.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-06","","","Pattern Recognition and Bioinformatics","","",""
"uuid:ec0b6961-82bb-43cd-8d68-e4c1b8beba8e","http://resolver.tudelft.nl/uuid:ec0b6961-82bb-43cd-8d68-e4c1b8beba8e","Gender Choices of Conversational Agent: How Today’s Practice Can Shape Tomorrow’s Values","Jung, Ji-Youn (TU Delft Human-Centred Artificial Intelligence); Murray-Rust, D.S. (TU Delft Human Information Communication Design); Gadiraju, Ujwal (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","Shamma, David A. (editor); Drukcer, Steven (editor); Barbosa, Simone (editor); Lampe, Cliff (editor); Appert, Caroline (editor); Williamson, Julie (editor); Yatani, Koji (editor)","2023","When creating conversational agents, designers have to make decisions about the way the agents present themselves. In this position paper, we identify and synthesize ethical dilemmas that conversational interface designers and researchers face around gender of conversational agents. First, we identify three layers that cause tension in designing conversational agents’ gender: (i) interactional qualities; (ii) goal-orientation; and (iii) societal issues. We then argue that conversational agent designers and re- searchers can navigate this problem space by comparing two ethical frameworks: a utilitarian perspective and a dialogical ethics perspective. Finally, we argue that dialogical ethics can be a balanced, ethical lens that can help conversational agent designers and researchers make design decisions about the gender of an agent","Gender-inclusive; Dialogical ethics; Design ethics","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Human-Centred Artificial Intelligence","","",""
"uuid:44d9af93-fe6f-49dc-a932-3971ab79fc86","http://resolver.tudelft.nl/uuid:44d9af93-fe6f-49dc-a932-3971ab79fc86","Evolutionary Approach for Concurrency Testing of Ripple Blockchain Consensus Algorithm","van Meerten, M.C. (Student TU Delft); Kulahcioglu Ozkan, Burcu (TU Delft Software Engineering); Panichella, A. (TU Delft Software Engineering)","","2023","Blockchain systems are prone to concurrency bugs due to the nondeterminism in the delivery order of messages between the distributed nodes. These bugs are hard to detect since they can only be triggered by a specific order or timing of concurrent events in the execution.
Systematic concurrency testing techniques, which explore all possible delivery orderings of messages to uncover concurrency bugs, are not scalable to large distributed systems such as blockchains.
Random concurrency testing methods search for bugs in a randomly generated set of executions and offer a practical testing method.
In this paper, we investigate the effectiveness of random concurrency testing on blockchain systems using a case study on the XRP Ledger of the Ripple blockchain, which maintains one of the most popular cryptocurrencies in the market today. We test the Ripple consensus algorithm of the XRP Ledger by exploring different delivery orderings of consensus protocol messages.
Moreover, we design an evolutionary algorithm to guide the random test case generation toward certain system behaviors to discover concurrency bugs more efficiently.
Our case study shows that random concurrency testing is effective at detecting concurrency bugs in blockchains, and the evolutionary approach for test generation improves test efficiency.
Our experiments could successfully detect the bugs we seeded in the Ripple source code. Moreover, we discovered a previously unknown concurrency bug in the production implementation of Ripple.","Blockchains; Distributed systems; Concurrency; Evolutionary algorithms; Software Testing; Ripple","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-07","","","Software Engineering","","",""
"uuid:ce72daef-c501-46f1-82eb-2e58688b463a","http://resolver.tudelft.nl/uuid:ce72daef-c501-46f1-82eb-2e58688b463a","Destructive Feedback: a user created strategy for collecting user feedback in shared systems","Meijer, Wo (TU Delft Internet of Things)","","2023","This paper documents a method for collecting user feedback on broken or malfunctioning devices dubbed Destructive Feedback; where the user deliberately “breaks” the device by removing an affordance. This makes it easier to detect visually and with sensors, as well as discourages others from using a broken device. This method is inspired by turning the bike seats around in the Paris bike share system (Vélib’). A designer lead application of Destructive Feedback would allow for easier detection of faults by users, repair personnel, and the system itself. First, it is unclear how widely used and understood the behavior is in the Vélib’ system; pointing to the need for an ethnographic study. If the benefits in this real example are significant, the main challenge of such a system is to create “destruction” in an easily repairable way, inform users of the meaning of the destruction, and prevent miss-use. Finally,it will be necessary to test example devices with users to gauge feedback, work with engineers to create sturdy “breakable” systems, and compile these findings into a set of design tools and methods that allow designers to implement destructive feedback in other PSS’.","Shape changing interfaces; Internet of things","en","conference paper","","","","","","","","","","","Internet of Things","","",""
"uuid:ebb69ad0-a938-45df-bd60-ea9cb35f2f05","http://resolver.tudelft.nl/uuid:ebb69ad0-a938-45df-bd60-ea9cb35f2f05","Mesh-Tension Driven Expression-Based Wrinkles for Synthetic Faces","Raman, C.A. (TU Delft Pattern Recognition and Bioinformatics); Hewitt, Charlie (Microsoft); Wood, Erroll (Microsoft); Baltrusaitis, Tadas (Microsoft)","O’Conner, Lisa (editor)","2023","Recent advances in synthesizing realistic faces have shown that synthetic training data can replace real data for various face-related computer vision tasks. A question arises: how important is realism? Is the pursuit of photorealism excessive? In this work, we show otherwise. We boost the realism of our synthetic faces by introducing dynamic skin wrinkles in response to facial expressions, and observe significant performance improvements in downstream computer vision tasks. Previous approaches for producing such wrinkles either required prohibitive artist effort to scale across identities and expressions, or were not capable of reconstructing high-frequency skin details with sufficient fidelity. Our key contribution is an approach that produces realistic wrinkles across a large and diverse population of digital humans. Concretely, we formalize the concept of mesh-tension and use it to aggregate possible wrinkles from high-quality expression scans into albedo and displacement texture maps. At synthesis, we use these maps to produce wrinkles even for expressions not represented in the source scans. Additionally, to provide a more nuanced indicator of model performance under deformations resulting from com-pressed expressions, we introduce the 300W-winks evaluation subset and the Pexels dataset of closed eyes and winks.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-06","","","Pattern Recognition and Bioinformatics","","",""
"uuid:8447952c-7e7c-4339-b791-f74783d9d29d","http://resolver.tudelft.nl/uuid:8447952c-7e7c-4339-b791-f74783d9d29d","Silicon carbide reinforced vertically aligned carbon nanotube composite for harsh environment mems","Mo, J. (TU Delft Electronic Components, Technology and Materials); Shankar, S. (TU Delft Support EKL); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials)","","2023","Fabricating high-aspect-ratio (HAR) structures with silicon carbide (SiC) is a challenging task. This paper presents a silicon carbide (SiC) reinforced vertically aligned carbon nanotubes (VACNT) composite as a promising candidate to fabricate HAR MEMS devices for harsh environment applications. The use of a VACNT array allows the fast realization of HAR structures as a template for MEMS fabrication. The template can later be easily filled by amorphous-SiC due to the porous nature of the VACNT forest. The SiC-CNT nanocomposite has electrical properties dominated by VACNT arrays and mechanical stability dominated by the a-SiC. Based on this concept, a thermal actuator is fabricated and proven to function up to 450°C for the first time.","SiC-CNT composite; HAR structures; harsh environment; thermal actuator","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-01","","","Electronic Components, Technology and Materials","","",""
"uuid:35ce2f36-ff93-468c-8439-e7a596c3815a","http://resolver.tudelft.nl/uuid:35ce2f36-ff93-468c-8439-e7a596c3815a","Modelling Handed Shearing Auxetics: Selective Piecewise Constant Strain Kinematics and Dynamic Simulation","Stölzle, Maximilian (TU Delft Learning & Autonomous Control); Chin, Lillian (Massachusetts Institute of Technology); Truby, Ryan (Northwestern University); Rus, Daniela (Massachusetts Institute of Technology); Della Santina, C. (TU Delft Learning & Autonomous Control)","","2023","Electrically-actuated continuum soft robots based on Handed Shearing Auxetics (HSAs) promise rapid actuation capabilities while preserving structural compliance. However, the foundational models of these novel actuators required for precise control strategies are missing. This paper proposes two key components extending discrete Cosserat rod model (DCM) to allow for modeling HSAs. First, we propose a mechanism for incorporating the auxetic trajectory into DCM dynamical simulations. We also propose an implementation of this extension as a plugin for the Elastica simulator. Second, we introduce a Selective Piecewise Constant Strain (SPCS) kinematic parameterization that can describe an HSA segment's shape with fewer configuration variables. We verify both theoretical contributions experimentally. The simulator is used to replicate experimental data of the mechanical characterization of HSA rods. For the second component, we attach motion capture markers at various points to a parallel HSA robot and find that the shape of the HSAs can be kinematically represented with an average accuracy of 0.3 mm for positions and 0.07 rad for orientations.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-15","","","Learning & Autonomous Control","","",""
"uuid:e391647c-4bb3-43e6-bda3-830ebb7849ae","http://resolver.tudelft.nl/uuid:e391647c-4bb3-43e6-bda3-830ebb7849ae","Designing Robots with the Context in Mind- One Design Does Not Fit All","Liberman-Pincu, Ela (Ben-Gurion University of the Negev); van Grondelle, E.D. (TU Delft Design Aesthetics); Oron-Gilad, Tal (Ben-Gurion University of the Negev)","Borja, Pablo (editor); Della Santina, Cosimo (editor); Peternel, Luka (editor); Torta, Elena (editor)","2023","Robots’ visual qualities (VQs) impact people’s perception of their characteristics and affect users’ behaviors and attitudes toward the robot. Recent years point toward a growing need for Socially Assistive Robots (SARs) in various contexts and functions, interacting with various users. Since SAR types have functional differences, the user experience must vary by the context of use, functionality, user characteristics, and environmental conditions. Still, SAR manufacturers often design and deploy the same robotic embodiment for diverse contexts. We argue that the visual design of SARs requires a more scientific approach considering their multiple evolving roles in future society. In this work, we define four contextual layers: the domain in which the SAR exists, the physical environment, its intended users, and the robot’s role. Via an online questionnaire, we collected potential users’ expectations regarding the desired characteristics and visual qualities of four different SARs: a service robot for an assisted living/retirement residence facility, a medical assistant robot for a hospital environment, a COVID-19 officer robot, and a personal assistant robot for domestic use. Results indicated that users’ expectations differ regarding the robot’s desired characteristics and the anticipated visual qualities for each context and use case.","Context-driven design; Socially assistive robot; Visual qualities","en","conference paper","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-02","","","Design Aesthetics","","",""
"uuid:94b04182-9c8b-41f4-ac58-8f04782f1665","http://resolver.tudelft.nl/uuid:94b04182-9c8b-41f4-ac58-8f04782f1665","Space Surveillance Network Capabilities Evaluation Mission","Speretta, S. (TU Delft Space Systems Egineering); Uludag, M.S. (TU Delft Space Systems Egineering); Menicucci, A. (TU Delft Space Systems Egineering); Ferrario, Ivan (TNO)","Flohrer, T. (editor); Moissl, R. (editor); Schmitz, F. (editor)","2023","The last years saw the diffusion of nano, pico and femto satellite missions launched by multiple entities thanks to the launch cost reduction and the electronics miniaturization. Such missions usually present limited capabilities in terms of precise orbit determination and extremely small radar and optical cross-sections. Often these missions carry one or more laser retro-reflectors for precise orbit determination but precise orbital measurements cannot be found in the literature. Miniaturized GNSS receivers are also often carried out but due to the experimental nature of such missions, the reliability and time span of such measurements is limited, leaving radar tracking as the only reliable tracking method. Due to the size of such satellites, the signal-to-noise ratio of such radar measurements is typically low and satellite identification (when launched on ride-share launches with a hundred or more other satellites) proves difficult and time-consuming.
Being these very small satellites at the edge of the radar detection capabilities and not providing independent orbit determination means, their position uncertainty could be quite significant, leading to an increased orbit collision perceived risk.
With this paper, we present a dedicated small satellite formation, made by multiple nano and pico satellites to evaluate the space surveillance network tracking capabilities and limits. The formation is made by a 3U CubeSat to be deployed as part of a rideshare launch. The satellite would be equipped with multiple means to track it, including a GNSS receiver, a set of multiple laser retro-reflectors, and LEDs for optical, laser, and radar tracking, allowing to characterize also different detection means in terms of capabilities. Such a satellite is made of two independent smaller satellites that can be un-docked in orbit upon command, reducing the satellite size and cross-section. This would push the detection limit for the space surveillance networks starting from an already acquired object and with limited clutter around it. Independent laser and GNSS tracking would allow ground measurement validation and validate position estimations. Further pico-satellites would be deployed by each sub-satellite to further push the detection limits and validate up to which size objects are trackable (still optically, radar and GNSS), thanks to miniaturized GNSS receivers already flown by several other missions.
Sub-satellite separation is implemented upon command to ensure the process can be followed and executed at lower altitudes to limit the orbital lifetime of eventually hard-to-track small objects that could worsen the space debris problem. Ground characterization (in terms of optical and radar properties) will be performed, also including polarimetric measurements used to identify the separate satellites. All these technologies together would contribute to creating a unique tool to estimate the tracking capabilities of multiple instruments, specifically tailored for very small objects, the hardest to track, as compared to other characterization activities performed on much bigger objects.","CubeSat; Space Situational Awareness; Demonstrator; Tracking","en","conference paper","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:cb5d3c98-c459-45cd-a9d8-a2350a315431","http://resolver.tudelft.nl/uuid:cb5d3c98-c459-45cd-a9d8-a2350a315431","Improving orbit prediction via thermospheric density calibration","Callejon Cantero, M. (Student TU Delft; GMV Innovating Solutions); Pastor-Rodriguez, A. (GMV Innovating Solutions); Siemes, C. (TU Delft Astrodynamics & Space Missions)","Flohrer, T. (editor); Moissl, R. (editor); Schmitz, F. (editor)","2023","The uncertainty on Thermospheric Mass Density (TMD), as derived from atmospheric models, can reach extremely high values. This effect is noteworthy in Low Earth Orbit (LEO), where atmospheric drag is the main perturbing force, as well as the most uncertain. LEO harbours almost 18,000 space objects at the end of 2021, around 60% of the total space debris population, and the rate of growth is increasing every year. Increasing the accuracy of TMD models, and thus the uncertainty characterisation, is important to ensure space environment sustainability in this congested and contested region. Accurate TMD modelling is a decisive factor in all space applications below the exopause, from LEO mission design to Space Situational Awareness (SSA) service provision: from conjunction assessment to re-entry and fragmentation analysis To enhance empirical TMD models, atmospheric density observations derived from satellite measurements are assimilated.
This paper presents a novel approach for assimilating thermospheric density observations into atmospheric models to improve the accuracy of orbit predictions in short- to medium- term propagations. First, Global Navigation Satellite System (GNSS) derived density data from Swarm satellites are ingested from the publicly available Level 2 data products of the European Space Agency (ESA). In a second step, density data is assimilated into the empirical model NRMLSISE-00, using Principal Component Analysis (PCA) to decompose into the main temporal and spatial modes, providing useful physical insight into the main variables driving the model. Thirdly, the model is tested on several cases, whose data was not assimilated, such as LEO satellites that are well-tracked with GNSS-derived positions: Sentinel, and GRACE. The model is also tested with objects with less accurate reference trajectories, such as catalogued space debris in LEO. Finally, the orbits are propagated, using the improved drag model that includes the neutral density from the assimilation of the GNSS-derived observations into NLRMSISE-00. The accuracy of the method is assessed and compared to non-assimilated models. During the discussion of the results, other sources of uncertainty are analysed. To name a few, geomagnetic activity, solar radiation pressure coefficient, attitude knowledge, and spacecraft parameters such as mass, area, drag coefficient, and so on. The improvement on the state accuracy and uncertainty realism after a medium-term propagation is analysed and the application to catalogue maintenance discussed.
of the method is demonstrated for three test cases: a compression ramp, a cylinder, and a plasma wind tunnel ablator sample. The computational predictions are in excellent agreement with reference simulations and experimental data for translational and vibrational temperature variations in the flow field, pressure and heat flux distributions over the geometries, and the mass blowing rates over a surface undergoing ablation.","Hypersonics; immersed boundary methods; Gas-surface interactions; thermochemical nonequilibrium; CFD","en","conference paper","","","","","","","","","","","Aerodynamics","","",""
"uuid:f3fd271f-2a2c-4407-b742-7e3dfb355a1b","http://resolver.tudelft.nl/uuid:f3fd271f-2a2c-4407-b742-7e3dfb355a1b","Design of Child-robot Interactions for Comfort and Distraction from Post-operative Pain and Distress","Ferrari, Oriana Isabella (Eindhoven University of Technology); Zhang, Feiran (Norwegian University of Science and Technology (NTNU)); Braam, Ayrton A. (Student TU Delft); Van Gurp, Jules A.M. (Eindhoven University of Technology); Broz, F. (TU Delft Interactive Intelligence); Barakova, Emilia I. (Eindhoven University of Technology)","","2023","There are numerous strategies for reducing the stress and anxiety associated with pain that children experience before and after surgery. There is a potential communication barrier between hospital staff and the child which may result in inadequate pain management. Social robots may reduce the gap between the support that personnel can provide and what the children's emotional needs are. This study qualitatively evaluates the interactions between children and their parents who interact with the social robot MiRo-E. In the overall interaction, the robot would act like a pet and show different behaviours based on the estimated pain level of the children. However, in the current study, only the quality of the robot interaction behaviours was tested with healthy children and no pain was measured. During this study, two usability tests were done. Each usability test evaluated a different robot interaction. In both tests, children and their parents evaluated the designed interactions. Results indicate that children initially have different responses to the robot. They can either be held back from immediately interacting or they are not afraid of the robot at all and start touching it and interacting immediately. Although the intended behaviours could be more elaborate and personalized, both children and their parents appeared to like the different emotions shown by the robot and how it responded to their touch. The parents also offered some ideas to enhance the interaction between a child and a robot in a medical context, such as by including more sounds, making some behaviours more distinct, and allowing kids to customize the robot's look.","Child-robot interaction; pain management for children; robots in healthcare","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:b10a86f6-14f1-4117-9a3e-4828cc06d855","http://resolver.tudelft.nl/uuid:b10a86f6-14f1-4117-9a3e-4828cc06d855","Human- or Machine-like Music Assistive Robots Effects on Fluency and Memory Recall","Li, Y. (TU Delft Interactive Intelligence); Broz, F. (TU Delft Interactive Intelligence); Neerincx, M.A. (TU Delft Interactive Intelligence)","","2023","Assistive robots are expected to contribute to the solution of major societal problems in healthcare, such as the increasing number of elderly who need informal and professional care over a long period of time. Most of the research focuses on the development of humanlike robots to facilitate human-robot interaction and strengthen the social, cognitive and affective processes. However, there are some possible downsides of this type of ""robot humanizing"", like raising high expectations and causing incorrect mental models of the robots. Machine-like robots, on the other hand, may help to build more realistic mental models and expectations but might bring about less fluent interactions and less pronounced experiences (i.e., less to remember). To test if a human-like robot indeed brings about better interaction fluency and memory recall, we designed two types of robots for a joint human-robot music listening activity: A human-like and a machine-like robot (Pepper). Thirty students participated in the experiment managed by a Wizard-of-Oz set-up. As expected, the human-like robot proved to perform better in terms of fluency and memory recall. Currently, we are preparing a follow-up experiment, consisting of longer sessions with the elderly to see whether this effect persists for this age group and how far the human- or machine-likeness influences the elderly's understanding and expectations of the robot's capabilities.","Fluency; Human-like robot; Machine-like robot; Memory recall; Music; Robot","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:fd2ee507-60a2-4f49-8350-0f644265c53b","http://resolver.tudelft.nl/uuid:fd2ee507-60a2-4f49-8350-0f644265c53b","Renamingless Capture-Avoiding Substitution for Definitional Interpreters","Poulsen, C.B. (TU Delft Programming Languages)","Lammel, Ralf (editor); Mosses, Peter D. (editor); Steimann, Friedrich (editor)","2023","Substitution is a common and popular approach to implementing name binding in definitional interpreters. A common pitfall of implementing substitution functions is variable capture. The traditional approach to avoiding variable capture is to rename variables. However, traditional renaming makes for an inefficient interpretation strategy. Furthermore, for applications where partially-interpreted terms are user facing it can be confusing if names in uninterpreted parts of the program have been changed. In this paper we explore two techniques for implementing capture avoiding substitution in definitional interpreters to avoid renaming.","Capture-avoiding substitution; definitional interpreter; lambda calculus","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Programming Languages","","",""
"uuid:3024d587-7c5d-44bd-8471-27b7c2e59160","http://resolver.tudelft.nl/uuid:3024d587-7c5d-44bd-8471-27b7c2e59160","Scope Graphs: The Story so Far","Zwaan, A.S. (TU Delft Programming Languages); van Antwerpen, H. (GitHub, Amsterdam)","Lammel, Ralf (editor); Mosses, Peter D. (editor); Steimann, Friedrich (editor)","2023","Static name binding (i.e., associating references with appropriate declarations) is an essential aspect of programming languages. However, it is usually treated in an unprincipled manner, often leaving a gap between formalization and implementation. The scope graph formalism mitigates these deficiencies by providing a well-defined, first-class, language-parametric representation of name binding. Scope graphs serve as a foundation for deriving type checkers from declarative type system specifications, reasoning about type soundness, and implementing editor services and refactorings. In this paper we present an overview of scope graphs, and, using examples, show how the ideas and notation of the formalism have evolved. We also briefly discuss follow-up research beyond type checking, and evaluate the formalism.","name binding; reference resolution; scope graph; static semantics; type system","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Programming Languages","","",""
"uuid:b8db7ae2-9a74-4b42-8d4c-1503961b5ed0","http://resolver.tudelft.nl/uuid:b8db7ae2-9a74-4b42-8d4c-1503961b5ed0","Conf Researchr: A Domain-Specific Content Management System for Managing Large Conference Websites","Groenewegen, D.M. (TU Delft Programming Languages); van Chastelet, E. (TU Delft Programming Languages); de Krieger, M.M. (TU Delft Programming Languages); Pelsmaeker, D.A.A. (TU Delft Programming Languages); Anslow, Craig (Victoria University of Wellington)","Lammel, Ralf (editor); Mosses, Peter D. (editor); Steimann, Friedrich (editor)","2023","Conferences are great opportunities for sharing research, debating solutions, and networking. For the organizing committee there is a considerable deal of complexity and effort required to provide attendees and organizers with ways to find and manage programs, sessions, papers, tracks, talks, and authors. Eelco Visser found an opportunity to provide an integrated solution to these problems by designing the Conf Researchr conference management system in 2014 using our own domain-specific web programming language WebDSL. In this paper, we highlight the impact Eelco had on conference management, and how Conf Researchr evolved to become the platform of choice for hosting over 900 conference and workshop editions in SIGPLAN and SIGSOFT, among other areas of computer science research.","Conf Researchr; conferences; Eelco Visser; WebDSL","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Programming Languages","","",""
"uuid:54353c5b-61ba-4137-8133-12bbf38fa6dd","http://resolver.tudelft.nl/uuid:54353c5b-61ba-4137-8133-12bbf38fa6dd","Eating Your Own Dog Food: WebDSL Case Studies to Improve Academic Workflows","Groenewegen, D.M. (TU Delft Programming Languages); van Chastelet, E. (TU Delft Programming Languages); de Krieger, M.M. (TU Delft Programming Languages); Pelsmaeker, D.A.A. (TU Delft Programming Languages)","Lammel, Ralf (editor); Mosses, Peter D. (editor); Steimann, Friedrich (editor)","2023","SDF, Stratego and Spoofax provide a platform for development of domain-specific programming languages. On this platform, the WebDSL project started out as a case study in language engineering, and grew into a reliable tool for rapid prototyping and continuous development of web applications. Our team led by Eelco Visser develops and operates several web applications to support academic workflows. EvaTool governs the process of course quality control, importing questionnaire data, and providing lecturers and education directors with a platform to discuss and agree on improvements. WebLab is an online learning management system with a focus on programming education, with support for lab work and digital exams, used by over 40 courses. Conf Researchr is a domain-specific content management system for creating and hosting integrated websites for conferences with multiple co-located events, used by all ACM SIGPLAN and SIGSOFT conferences. MyStudyPlanning is an application for composition of individual study programs by students and verification of those programs by the exam board, used by multiple faculties at the Delft University of Technology. These tools served as practical case studies for applying the research, and ensure the continued development of the underlying platform.","education; Eelco Visser; Spoofax; WebDSL; WebLab","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Programming Languages","","",""
"uuid:cfe5ad16-3938-4ab5-b438-a8220a15f068","http://resolver.tudelft.nl/uuid:cfe5ad16-3938-4ab5-b438-a8220a15f068","Dependently Typed Languages in Statix","Brouwer, Jonathan (Student TU Delft); Cockx, J.G.H. (TU Delft Programming Languages); Zwaan, A.S. (TU Delft Programming Languages)","Lammel, Ralf (editor); Mosses, Peter D. (editor); Steimann, Friedrich (editor)","2023","Static type systems can greatly enhance the quality of programs, but implementing a type checker that is both expressive and user-friendly is challenging and error-prone. The Statix meta-language (part of the Spoofax language workbench) aims to make this task easier by automatically deriving a type checker from a declarative specification of a type system. However, so far Statix has not been used to implement dependent types, which is a class of type systems which require evaluation of terms during type checking. In this paper, we present an implementation of a simple dependently typed language in Statix, and discuss how to extend it with several common features such as inductive data types, universes, and inference of implicit arguments. While we encountered some challenges in the implementation, our conclusion is that Statix is already usable as a tool for implementing dependent types.","Calculus of Constructions; Dependent Types; Scope Graphs; Spoofax; Statix","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Programming Languages","","",""
"uuid:a20ccc22-633e-4693-b482-ae7bd448ed80","http://resolver.tudelft.nl/uuid:a20ccc22-633e-4693-b482-ae7bd448ed80","Towards Modular Compilation Using Higher-Order Effects","Reinders, J.S. (TU Delft Programming Languages)","Lammel, Ralf (editor); Mosses, Peter D. (editor); Steimann, Friedrich (editor)","2023","Compilers transform a human readable source language into machine readable target language. Nanopass compilers simplify this approach by breaking up this transformation into small steps that are more understandable, maintainable, and extensible. We propose a semantics-driven variant of the nanopass compiler architecture exploring the use a effects and handlers to model the intermediate languages and the transformation passes, respectively. Our approach is fully typed and ensures that all cases in the compiler are covered. Additionally, by using an effect system we abstract over the control flow of the intermediate language making the compiler even more flexible. We apply this approach to a minimal compiler from a language with arithmetic and let-bound variables to a string of pretty printed X86 instructions. In the future, we hope to extend this work to compile a larger and more complicated language and we envision a formal verification framework from compilers written in this style.","algebraic effects and handlers; compilation; higher-order effects; modularity; monadic semantics; nanopass","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Programming Languages","","",""
"uuid:96eb56a4-bca2-4a42-abad-f644171f1ca1","http://resolver.tudelft.nl/uuid:96eb56a4-bca2-4a42-abad-f644171f1ca1","Getting Things Done: The Eelco Way","van Deursen, A. (TU Delft Software Technology)","Lammel, Ralf (editor); Mosses, Peter D. (editor); Steimann, Friedrich (editor)","2023","Eelco Visser (1966–2022) was a leading member of the department of Software Technology (ST) of the faculty of Electrical Engineering Mathematics, and Computer Science (EEMCS) of Delft University of Technology. He had a profound influence on the educational programs in computer science at TU Delft, built a highly successful Programming Languages Group from the ground up, and used his research results to develop widely used tools and services that have been used by thousands of students and researchers for more than a decade. He realized all these successes not just alone, but in close collaboration with a range of people, who he convinced to follow his lead. In this short reflection, I look back at his achievements, and at the way in which he worked with others to bring ambitious ideas to successful reality.","Leadership","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","Software Technology","","","",""
"uuid:19c911ff-c39c-40c2-8f92-5f8ac8bd1f73","http://resolver.tudelft.nl/uuid:19c911ff-c39c-40c2-8f92-5f8ac8bd1f73","Deterministic bibliometric disambiguation challenges in company names","Belz, Andrea (University of Southern California); Graddy-Reed, Alexandra (University of Southern California); Shweta, F. N.U. (University of Southern California); Giga, A. (TU Delft Delft Centre for Entrepreneurship); Murali, Shivesh Meenakshi (University of Southern California)","","2023","Peer-reviewed publications and patents serve as important signatures of knowledge generation, and therefore the authors and their organizations can represent agents of intellectual transformation. Accurate tracking of these players enables scholars to follow knowledge evolution. However, while author name disambiguation has been discussed extensively, less is known about the impact of organization name on bibliometric studies. We expand here on the recently defined phenomenon of onomastic profusion, high-frequency words used in organization names for semantic reasons, and thus contributing a non-random source of error to bibliographic studies. We use the Small Business Innovation Research (SBIR) Phase I awardees of the National Aeronautics and Space Administration (NASA) as a use case in the field of engineering innovation. We find that firms in California or Massachusetts experience a six percent decrease in the likelihood of using the word Technologies in their names. Furthermore, use of the words Research and Science is linked to doubling the number of awards. We illustrate that, in aggregate, firms executing rational strategic naming decisions can create deterministic bibliometric challenges.","bibliometric; disambiguation; names; NASA; NLP; patents; SBIR","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-20","","Delft Centre for Entrepreneurship","","","",""
"uuid:085b263c-1880-49e9-9022-c4e156990da2","http://resolver.tudelft.nl/uuid:085b263c-1880-49e9-9022-c4e156990da2","Searching for Quality: Genetic Algorithms and Metamorphic Testing for Software Engineering ML","Applis, L.H. (TU Delft Software Engineering); Panichella, A. (TU Delft Software Engineering); Marang, R.J. (Student TU Delft)","","2023","More machine learning (ML) models are introduced to the field of Software Engineering (SE) and reached a stage of maturity to be considered for real-world use; But the real world is complex, and testing these models lacks often in explainability, feasibility and computational capacities. Existing research introduced meta-morphic testing to gain additional insights and certainty about the model, by applying semantic-preserving changes to input-data while observing model-output. As this is currently done at random places, it can lead to potentially unrealistic datapoints and high computational costs. With this work, we introduce genetic search as an aid for metamorphic testing in SE ML. Exploiting the delta in output as a fitness function, the evolutionary intelligence optimizes the transformations to produce higher deltas with less changes. We perform a case study minimizing F1 and MRR for Code2Vec on a representative sample from java-small with both genetic and random search. Our results show that within the same amount of time, genetic search was able to achieve a decrease of 10% in F1 while random search produced 3% drop.","Search-based software engineering; Evolutionary algorithms; Metamorphic testing; Machine Learning","en","conference paper","ACM/IEEE","","","","","","","","","","Software Engineering","","",""
"uuid:ede25e1d-fa1e-4091-8f8d-3874c783d2a9","http://resolver.tudelft.nl/uuid:ede25e1d-fa1e-4091-8f8d-3874c783d2a9","Extending Source Code Pre-Trained Language Models to Summarise Decompiled Binaries","Al-Kaswan, A. (TU Delft Software Engineering); Ahmed, Toufique (University of California); Izadi, M. (TU Delft Software Engineering); Sawant, Anand Ashok (University of California); Devanbu, Premkumar (University of California); van Deursen, A. (TU Delft Software Technology)","Ceballos, Cristina (editor)","2023","Binary reverse engineering is used to understand and analyse programs for which the source code is unavailable. Decompilers can help, transforming opaque binaries into a more readable source code-like representation. Still, reverse engineering is difficult and costly, involving considering effort in labelling code with helpful summaries. While the automated summarisation of decompiled code can help reverse engineers understand and analyse binaries, current work mainly focuses on summarising source code, and no suitable dataset exists for this task. In this work, we extend large pre-trained language models of source code to summarise de-compiled binary functions. Further-more, we investigate the impact of input and data properties on the performance of such models. Our approach consists of two main components; the data and the model. We first build CAPYBARA, a dataset of 214K decompiled function-documentation pairs across various compiler optimisations. We extend CAPYBARA further by removing identifiers, and deduplicating the data. Next, we fine-tune the CodeT5 base model with CAPYBARA to create BinT5. BinT5 achieves the state-of-the-art BLEU-4 score of 60.83, 58.82 and, 44.21 for summarising source, decompiled, and obfuscated decompiled code, respectively. This indicates that these models can be extended to decompiled binaries successfully. Finally, we found that the performance of BinT5 is not heavily dependent on the dataset size and compiler optimisation level. We recommend future research to further investigate transferring knowledge when working with less expressive input formats such as stripped binaries.","Decompilation; Binary; Reverse Engineering; Summarization; Deep Learning; Pre-trained Language Models; CodeT5; Transformers","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-15","","Software Technology","Software Engineering","","",""
"uuid:27c7adb2-3bd1-48c1-bc53-87337e91ca8b","http://resolver.tudelft.nl/uuid:27c7adb2-3bd1-48c1-bc53-87337e91ca8b","Effect of microstructure heterogeneity shapes on constitutive behaviour of encapsulated self-healing cementitious materials","Sayadi, Sina (Cardiff University); Ricketts, Evan (Cardiff University); Schlangen, E. (TU Delft Materials and Environment); Cleall, Peter (Cardiff University); Mihai, Iulia (Cardiff University); Jefferson, Anthony (Cardiff University)","","2023","Self-healing cementitious materials with microcapsules are complex multiscale and multiphase materials. The random microstructure of these materials governs their mechanical and transport behaviour. The actual microstructure can be represented accurately with a discrete lattice model, but computational restrictions mean that the size of domain that can be considered with this approach is limited. By contrast, a smeared approach, based on a micromechanical formulation, provides an approximate representation of the material microstructure with low computational costs. The aim of this paper is to compare simulations of a microcapsule-based self-healing cementitious system with discrete-lattice and smeared-micromechanical models, and to assess the relative strengths and weaknesses of these models for simulating distributed fracture and healing in this type of self-healing material. A novel random field generation technique is used to represent the microstructure of a cementitious mortar specimen. The meshes and elements are created by the triangulation method and used to determine the input required for the lattice model. The paper also describes the enhancement of the TUDelft lattice model to include self-healing behaviour. The extended micromechanical model considers both microcracking and healing. The findings from the study provide insight into the relative merits of these two modelling approaches.","","en","conference paper","EDP Sciences","","","","","","","","","","Materials and Environment","","",""
"uuid:8debef0b-8f19-401b-9447-b3ae3578ebc8","http://resolver.tudelft.nl/uuid:8debef0b-8f19-401b-9447-b3ae3578ebc8","Tunable chitosan-alginate capsules for a controlled release of crystallisation inhibitors in mortars","Kamat, Ameya (TU Delft Heritage & Architecture; TU Delft Materials and Environment); Palin, D. (University of Cambridge); Lubelli, B. (TU Delft Heritage & Architecture); Schlangen, E. (TU Delft Materials and Environment)","Van Mullem, T. (editor); De Belie, N. (editor); Ferrara, L. (editor); Gruyaert, E. (editor); Van Tittelboom, K. (editor)","2023","Plasters and renders used in historic monuments are vulnerable to degradation caused by salt weathering. Crystallisation inhibitors (molecules/ions that alter salt crystallisation) mixed into mortars have shown promising results in mitigating salt damage by inhibiting salt crystallisation, promoting salt transport to the evaporating surface, and modifying crystal habit. However, past research suggests that inhibitors easily leach out from mortars, meaning their long-term positive effect is lost. Encapsulation of an inhibitor within a mortar is a potential solution to minimise leaching. Herein, capsules composed of a polyelectrolyte complex of calcium alginate coated in chitosan are investigated for the controlled diffusive release of sodium ferrocyanide, a known NaCl crystallisation inhibitor. Capsules with varying chitosan-calcium alginate ratios are prepared using the extrusion dripping technique. The release of the inhibitor from capsules in solutions of various pH values ranging from 7–13 is investigated. Results show that increasing the capsule’s chitosan to calcium alginate ratio reduces the inhibitor release for all studied solution pH values compared to pure calcium-alginate capsules. Therefore, a controlled inhibitor release can be obtained by tuning the chitosan-alginate ratio. In future, additional tests will be performed to find suitable capsule compositions for optimising their performance when mixed in mortars.","","en","conference paper","EDP Sciences","","","","","","","","","","Heritage & Architecture","","",""
"uuid:43e8a057-7c03-412b-a8a7-e51781d6dbde","http://resolver.tudelft.nl/uuid:43e8a057-7c03-412b-a8a7-e51781d6dbde","3D printing and self-healing concrete: a good match?","Šavija, B. (TU Delft Materials and Environment)","","2023","Self-healing concrete has shown excellent potential in improving the durability of (reinforced) concrete structures and reducing the need for their repair and maintenance. This has been further substantiated by several successful full-scale demonstrator projects. Nevertheless, industrial uptake of the technology is lagging behind, mainly due to the higher initial cost compared to traditional concrete. In addition, it is well known that some self-healing mechanisms can have detrimental effects on properties of concrete, such as e.g., the compressive strength, making some engineers sceptical about practical applicability. With these two issues in mind, one might wonder: shouldn’t we simply apply self-healing concrete only where it is needed? This has been done in the past in so-called hybrid structures, in which self-healing concrete was used in the cover zone as a stay-in-place mold, while traditional concrete was used as infill. Additive manufacturing (3D printing) techniques offer additional possibilities in selective placement and optimization of self-healing concrete composites. Additive manufacturing provides unprecedented freedom in design and optimization of structures at virtually no additional cost. This could allow customizing the placement of self-healing agents based on structural design and loading considerations of a given structure. In this talk, recent developments and potential applications of different additive manufacturing techniques for design and fabrication of self-healing concrete will be discussed.","","en","conference paper","EDP Sciences","","","","","","","","","","Materials and Environment","","",""
"uuid:6f12027f-6eda-44ba-870c-e5a9240c7160","http://resolver.tudelft.nl/uuid:6f12027f-6eda-44ba-870c-e5a9240c7160","Structural performance of reinforced concrete beams with self-healing cover zone","He, S. (TU Delft Materials and Environment); Lukovic, M. (TU Delft Concrete Structures); Jonkers, H.M. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment)","","2023","In the current study, experiments were carried out to investigate the structural performance of reinforced concrete (RC) beams with a self-healing cover zone. The cover zone consists of a 1.5-cm-thick layer of bacteria-embedded strain hardening cementitious composite (SHCC) for a combination of crack width control and crack healing. The aim is to bring together two emerging technologies (i.e., self-healing and strain-hardening) that show great potential for realizing highly efficient concrete structures. RC beam without the self-healing cover was also prepared as the control specimen for comparison purposes. The experimental program includes loading the beams to failure in four-point bending configuration and sawing the beams to segments for crack pattern analysis and crack healing. Results show that the beams with self-healing cover exhibited a 45-60% improvement in structural capacity. The crack patterns of the hybrid beams were also largely modified. While the reference beam formed only a few major cracks, the hybrid beams formed around 40 fine cracks in the constant bending moment region with an average crack width smaller than 0.2 mm even at maximum load. By having an improved cracking behavior and an enhanced self-healing capacity, it is expected that the beams with a self-healing cover will possess an extended service life at the expense of minimal additional cost.","","en","conference paper","EDP Sciences","","","","","","","","","","Materials and Environment","","",""
"uuid:6f7d5d8a-e834-4245-9673-622a69f54965","http://resolver.tudelft.nl/uuid:6f7d5d8a-e834-4245-9673-622a69f54965","23.3 A 51A Hybrid Magnetic Current Sensor with a Dual Differential DC Servo Loop and 43mArmsResolution in a 5MHz Bandwidth","Jouvaeian, Amirhossein; Fan, Q. (TU Delft Microelectronics); Motz, Mario (Infineon Technologies); Ausserlechner, Udo (Infineon Technologies); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","Magnetic current sensors are widely used in applications where galvanic isolation and wide bandwidth (BW) are desired, such as in switched-mode power supplies and motor drivers. By using Hall plates for low frequencies and pick-up coils for high frequencies, hybrid magnetic sensors can achieve high resolution (tens of textmA) over a wide frequency range (up to 15MHz) [1]-[3]. However, previous designs exhibit either poor gain flatness over frequency or limited energy efficiency. This work presents a hybrid magnetic current sensor that textachievespm 1. 1% gain flatness, which is 3times better than prior art [1]-[3]. Its energy efficiency is also 11times better than the state-of-the-art [1], [4], [5].","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","Microelectronics","","","",""
"uuid:9a827b32-b243-4b08-aed8-c17dfcd99eac","http://resolver.tudelft.nl/uuid:9a827b32-b243-4b08-aed8-c17dfcd99eac","23.5 A Sub-1V 810nW Capacitively-Biased BJT-Based Temperature Sensor with an Inaccuracy of ±0.15°C (3σ) from -55°C to 125°C","Tang, Z. (TU Delft Electronic Instrumentation); Pan, S. (TU Delft Electronic Instrumentation; Tsinghua University); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","BJT-based temperature sensors are widely used because they can achieve excellent accuracy after 1-point calibration. However, they typically dissipate mu textWs of power and require supply voltages above 1V [1]. Although sensors based on DTMOSTs [2], [3], capacitively biased (CB) diodes and BJTs [4,5] have demonstrated sub-1V operation, this comes at the expense of accuracy. This paper presents a sub-1V CB BJT-based temperature sensor that achieves a 1-point-trimmed inaccuracy of 0.15°C (3σ) from -55 circC to 125 circC, which is 4times better than the CB BJT state-of-the-art [4]. It also achieves a resolution FoM of 0.34pJ.K2, which is 6.8 times better than that of state-of-the-art BJT-based sensors with a similar accuracy [1], [6], (Fig. 23.5.6).","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","Microelectronics","Electronic Instrumentation","","",""
"uuid:3448500f-f87f-4875-b546-107f051d41f3","http://resolver.tudelft.nl/uuid:3448500f-f87f-4875-b546-107f051d41f3","Relevant Research Questions For Decentralised (Personal) Data Governance","Kurteva, A.K. (TU Delft Design for Sustainability); Pandit, Harshvardhan J. (Dublin City University)","","2023","Protecting and preserving individuals’ personal data is a legal obligation set out by the European Union’s General Data Protection Regulation (GDPR). However, the process of implementing data governance to support that, in a decentralised ecosystem, is still vague. Motivated by the need for lawful decentralised data processing, this paper outlines several relevant questions from legal, privacy and technology standpoints that need to be considered","Data governance; Privacy; Decentralisation; Semantic web; Ontology; User Interfaces and Human Computer Interaction; GDPR","en","conference paper","CEUR-WS","","","","","","","","","","Design for Sustainability","","",""
"uuid:6b0abf48-27db-465d-a7c2-af286c27a711","http://resolver.tudelft.nl/uuid:6b0abf48-27db-465d-a7c2-af286c27a711","30.3 A Bias-Flip Rectifier with a Duty-Cycle-Based MPPT Algorithm for Piezoelectric Energy Harvesting with 98% Peak MPPT Efficiency and 738% Energy-Extraction Enhancement","Yue, X. (TU Delft Electronic Instrumentation); Javvaji, L.S. (TU Delft Electronic Instrumentation); Tang, Z. (TU Delft Electronic Instrumentation); Makinwa, K.A.A. (TU Delft Microelectronics); Du, S. (TU Delft Electronic Instrumentation)","","2023","Synchronized bias-flip rectifiers, such as synchronized switch harvesting on inductor (SSHI) rectifiers, are widely used for piezoelectric energy harvesting (PEH) [1], which can replace the use of batteries in many loT applications, thus reducing both system volume and maintenance cost. However, the output power extracted by such rectifiers strongly depends on the impedance matching between the piezoelectric transducer (PT) and the circuit. To maximize this, two maximum power point tracking (MPPT) algorithms are often used. As shown in Fig. 30.3.1 (left), the Perturb & Observe (P&O) (a.k.a. hill-climbing) algorithm adjusts the rectified output power in a stepwise manner towards the maximum power point (MPP), thus establishing robust and continuous MPPT. However, accurately sensing the rectified output power often requires complex and power-hungry hardware [1], [2]. Another simpler algorithm is based on the fractional open-circuit voltage (FOCV) and involves periodically measuring the PT's open-circuit voltage amplitude (VOC) and regulating the rectified voltage (VREC) to a level (VMPP), which corresponds to the MPP [3-6]. However, the PT must be periodically disconnected from the rectifier to measure VOC, resulting in wasted energy, while the inherent delay in sensing VOC variations reduces the overall tracking efficiency. Furthermore, a calibration step is usually necessary to determine VMPP, since this depends on the actual PT voltage flip efficiency (etaF) of the bias-flip rectifier.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","Microelectronics","Electronic Instrumentation","","",""
"uuid:eb122028-53cf-4199-8b5f-4b97238d3636","http://resolver.tudelft.nl/uuid:eb122028-53cf-4199-8b5f-4b97238d3636","3.1 A 120.9dB DR, -111.2dB THD+N Digital-Input Capacitively-Coupled Chopper Class-D Audio Amplifier","Zhang, H. (TU Delft Electronic Components, Technology and Materials; TU Delft Electronic Instrumentation); Berkhout, Marco (Goodix Technologies); Makinwa, K.A.A. (TU Delft Microelectronics); Fan, Q. (TU Delft Microelectronics)","","2023","Class-D amplifiers (CDAs) are widely used in audio applications where a high power efficiency is required. As most audio sources are digital nowadays, implementing digital-input CDAs results in higher levels of integration and lower cost. However, prior open-loop digital-input CDAs suffer from high jitter sensitivity and output-stage distortion. In [1], jitter sensitivity at small signal levels is mitigated using a buck-boost converter that adaptively lowers the supply at the expense of extra external components and reduced power efficiency. Prior closed-loop digital-input CDAs employing multi-bit current-steering [2] or resistive [3] DACs are less sensitive to jitter, but their DR is limited to about 115dB. DAC non-idealities and intermodulation distortion are also challenges, and prior works only achieved a peak textTHD+N of about -98textdB [2], [3]. This paper presents a digital-input CDA that achieves high DR by combining a low-noise capacitive DAC (CDAC) with dedicated techniques to mitigate DAC mismatch, lSI, and intermodulation distortion. A prototype implemented in a 0.18mum BCD process achieves 120.9dB DR and -111.2textdB peak textTHD+N. Furthermore, it can deliver 13W/23W at 10% THD into an 8Omega/4Omega load with a 90%/86% efficiency.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:ee656097-d033-4b6b-8eb8-720d7f9878d1","http://resolver.tudelft.nl/uuid:ee656097-d033-4b6b-8eb8-720d7f9878d1","Integrated modelling of coastal landforms","van Westen, B. (TU Delft Coastal Engineering; Deltares); Leijnse, Tim (Deltares; Vrije Universiteit Amsterdam); de Schipper, M.A. (TU Delft Coastal Engineering); Cohn, Nicholas (Deltares); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares)","","2023","Traditionally, independent tools have been used to simulate wave- or wind-driven processes to simulate coastal morphology change. Coupled models that cross the land-sea division and integrate these collective processes can increase our knowledge on complex morphodynamic interactions and improve predictions of the foreshore, beach, and dune evolution. In this paper we present the initial development of a coupled modelling framework capable of numerically predicting the integrated development of coastal landforms, including both marine and aeolian processes, by using a generic model coupling approach that leverages the Basic Model Interface. The aim of this tool is to support the interdisciplinary design of Nature-based Solutions on varying spatiotemporal scales. As shown for the Marker Wadden case, the implemented model functionalities allow for the numerical description of the coast in an integrated manner and thus create opportunities for modeling coastal landform of the nearshore, beach, and dune that would not be possible with a discrete model approach. Specifically, by coupling two discrete numerical models, AeoLiS and XBeach, the aeolian and marine interaction resulted in a more realistic behavior of processes in the intertidal area. After coupling, bed levels compared better to the observations compared to the superpositioned results of both separate model components, which showed the added value and potential of coupled modelling. These findings have implications on the ability to predict spatio-temporal integrated coastal development – including these interacting aerodynamic, hydrodynamic, and ecological processes, which are essential in the interdisciplinary design of NbS.","","en","conference paper","World Scientific Publishing","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-11","","","Coastal Engineering","","",""
"uuid:38286b9a-d4ee-45cb-b4c6-b70b78c6d819","http://resolver.tudelft.nl/uuid:38286b9a-d4ee-45cb-b4c6-b70b78c6d819","Detecting moments of distraction during meditation practice based on changes in the EEG signal","Pandey, Pankaj (IIT Gandhinagar); Rodriguez-Larios, Julio (Columbia University); Miyapuram, Krishna Prasad (IIT Gandhinagar); Lomas, J.D. (TU Delft Design Aesthetics)","","2023","Electroencephalography (EEG) enables online monitoring brain activity, which can be used for neurofeedback. One of the growing applications of EEG neurofeedback is to facilitate meditation practice. Specifically, EEG neurofeedback can be used to alert participants whenever they get distracted during meditation practice based on changes in their brain activity. In this study, we develop machine learning models to detect moments of distraction (due to mind wandering or drowsiness) during meditation practice using EEG signals. We use EEG data of 24 participants while performing a breath focus meditation with experience sampling and extract twelve linear and nonlinear EEG features. Features are fed to ten supervised machine learning models to classify (i) Breath Focus Awake (BFA) vs Breath Focus Sleepy (BFS), and (ii) BFA vs Mind Wandering (MW). We observe that the linear features achieve a maximum accuracy of 86% for classifying awake (BFA) and sleepy (BFS), whereas non-linear features have more predictive ability for classifying between BFA and MW with a maximum accuracy of nearly 78%. In addition, visualization of unsupervised t-SNE lower embeddings supports the evidence of distinct clusters for each condition. Overall our results show that machine learning algorithms can successfully identify periods of distraction during meditation practice in novice meditators based on linear and non-linear features of the EEG signal. Consequently, our results have important implications for the development of mobile EEG neurofeedback protocols aimed at facilitating meditation practice.","Protocols; Machine learning algorithms; Machine learning; Feature extraction; Brain modeling; Electroencephalography; Sensors","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Design Aesthetics","","",""
"uuid:63fd55cb-8d37-43ee-8230-7ccad3509291","http://resolver.tudelft.nl/uuid:63fd55cb-8d37-43ee-8230-7ccad3509291","Towards a new surrogate model for predicting short-term NOx-O3 effects from aviation using Gaussian processes","Rao, P.V. (TU Delft Aircraft Noise and Climate Effects); Dwight, R.P. (TU Delft Aerodynamics); Singh, D. (TU Delft Wind Energy); Maruhashi, J. (TU Delft Aircraft Noise and Climate Effects); Dedoussi, I.C. (TU Delft Aircraft Noise and Climate Effects); Grewe, V. (TU Delft Aircraft Noise and Climate Effects; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Frömming, Christine (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2023","While efforts have been made to curb CO2 emissions from aviation, the more uncertain non-CO2 effects that contribute about two-thirds to the warming in terms of radiative forcing (RF), still require attention. The most important non-CO2 effects include persistent line-shaped contrails, contrail-induced cirrus clouds and nitrogen oxide (NOx) emissions that alter the ozone (O3) and methane (CH4) concentrations, both of which are greenhouse gases, and the emission of water vapour (H2O). The climate impact of these non-CO2 effects depends on emission location and prevailing weather situation; thus, it can potentially be reduced by advantageous re-routing of flights using Climate Change Functions (CCFs), which are a measure for the climate effect of a locally confined aviation emission. CCFs are calculated using a modelling chain starting from the instantaneous RF (iRF) measured at the tropopause that results from aviation emissions. However, the iRF is a product of computationally intensive chemistry-climate model (EMAC) simulations and is currently restricted to a limited number of days and only to the North Atlantic Flight Corridor. This makes it impossible to run EMAC on an operational basis for global flight planning. A step in this direction lead to a surrogate model called algorithmic Climate Change Functions (aCCFs), derived by regressing CCFs (training data) against 2 or 3 local atmospheric variables at the time of emission (features) with simple regression techniques and are applicable only in parts of the Northern hemisphere. It was found that in the specific case of O3 aCCFs, which provide a reasonable first estimate for the short-term impact of aviation NOx on O3 warming using temperature and geopotential as features, can be vastly improved [1]. There is aleatoric uncertainty in the full-order model (EMAC), stemming from unknown sources (missing features) and randomness in the known features, which can introduce heteroscedasticity in the data. Deterministic surrogates (e.g. aCCFs) only predict point estimates of the conditional average, thereby providing an incomplete picture of the stochastic response. Thus, the goal of this research is to build a new surrogate model for iRF, which is achieved by :
1. Expanding the geographical coverage of iRF (training data) by running EMAC simulations in more regions (North & South America, Eurasia, Africa and Australasia) at multiple cruise flight altitudes,
2. Following an objective approach to selecting atmospheric variables (feature selection) and considering the importance of local as well as non-local effects,
3. Regressing the iRF against selected atmospheric variables using supervised machine learning techniques such as homoscedastic and heteroscedastic Gaussian process regression.
We present a new surrogate model that predicts iRF of aviation NOx-O3 effects on a regular basis with confidence levels, which not only improves our scientific understanding of NOx-O3 effects, but also increases the potential of global climate-optimised flight planning.","","en","conference paper","","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:ffdff336-3201-40b3-8800-0f90c365dabe","http://resolver.tudelft.nl/uuid:ffdff336-3201-40b3-8800-0f90c365dabe","Investigating the Influence of Featured Snippets on User Attitudes","Bink, Markus (Universität Regensburg); Schwarz, Sebastian (Universität Regensburg); Draws, T.A. (TU Delft Web Information Systems); Elsweiler, David (Universität Regensburg)","","2023","Featured snippets that attempt to satisfy users' information needs directly on top of the first search engine results page (SERP) have been shown to strongly impact users' post-search attitudes and beliefs. In the context of debated but scientifically answerable topics, recent research has demonstrated that users tend to trust featured snippets to such an extent that they may reverse their original beliefs based on what such a snippet suggests; even when erroneous information is featured. This paper examines the effect of featured snippets in more nuanced and complicated search scenarios concerning debated topics that have no ground truth and where diverse arguments in favor and against can legitimately be made. We report on a preregistered, online user study (N = 182) investigating how the stances and logics of evaluation (i.e., underlying reasons behind stances) expressed in featured snippets influence post-task attitudes and explanations of users without strong pre-search attitudes. We found that such users tend to not only change their attitudes on debated topics (e.g., school uniforms) following whatever stance a featured snippet expresses but also incorporate the featured snippet's logic of evaluation into their argumentation. Our findings imply that the content displayed in featured snippets may have large-scale undesired consequences for individuals, businesses, and society, and urgently call for researchers and practitioners to examine this issue further.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-20","","","Web Information Systems","","",""
"uuid:9c10e1c7-4bf2-464c-a291-4645653802ce","http://resolver.tudelft.nl/uuid:9c10e1c7-4bf2-464c-a291-4645653802ce","Driven to Distraction: Examining the Influence of Distractors on Search Behaviours, Performance and Experience","Azzopardi, Leif (University of Strathclyde); Maxwell, D.M. (TU Delft Web Information Systems); Halvey, Martin (University of Strathclyde); Hauff, C. (TU Delft Web Information Systems)","","2023","Advertisements, sponsored links, clickbait, in-house recommendations and similar elements pervasively shroud featured content. Such elements vie for people's attention, potentially distracting people from their task at hand. The effects of such ""distractors""is likely to increase people's cognitive workload and reduce their performance as they need to work harder to discern the relevant from non-relevant. In this paper, we investigate how people of varying cognitive abilities (measured using Perceptual Speed and Cognitive Failure instruments) are affected by these different types of distractions when completing search tasks. We performed a crowdsourced within-subjects user study, where 102 participants completed four search tasks using our news search engine over four different interface conditions: (i) one with no additional distractors; (ii) one with advertisements; (iii) one with sponsored links; and (iv) one with in-house recommendations. Our results highlight a number of important trends and findings. Participants perceived the interface condition without distractors as significantly better across numerous dimensions. Participants reported higher satisfaction, lower workload, higher topic recall, and found it easier to concentrate. Behaviourally, participants issued queries faster and clicked results earlier when compared to the interfaces with distractors. When using the interfaces with distractors, one in ten participants clicked on a distractor - and despite engaging with a distractor for less than twenty seconds, their task time increased by approximately two minutes. We found that the effects were magnified depending on cognitive abilities - with a greater impact of distractors on participants with lower perceptual speed, and for those with a higher propensity of cognitive failures. Distractors - regardless of their type - have negative consequences on a user's search experience and performance. As a consequence, interfaces containing visually distracting elements are creating poorer search experiences due to the ""distractor tax""being placed on people's limited attention.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-20","","","Web Information Systems","","",""
"uuid:7cc0d2b3-471c-434e-a0f6-525112b5c5c5","http://resolver.tudelft.nl/uuid:7cc0d2b3-471c-434e-a0f6-525112b5c5c5","RULKNE: Representing User Knowledge State in Search-as-Learning with Named Entities","El Zein, Dima (Université Côte d'Azur); Câmara, Arthur (TU Delft Web Information Systems); Da Costa Pereira, Célia (Université Côte d'Azur); Tettamanzi, Andrea (Université Côte d'Azur)","","2023","A reliable representation of the user's knowledge state during a learning search session is crucial to understand their real information needs. When a search system is aware of such a state, it can adapt the search results and provide greater support for the user's learning objectives. A common practice to track the user's knowledge state is to consider the content of the documents they read during their search session(s). However, most current work ignores entity mentions in the documents, which, when linked to knowledge graphs, can be a source of valuable information regarding the user's knowledge. To fill this gap, we extend RULK - Representing User Knowledge in Search-as-Learning - with entity linking capabilities. The extended framework RULK represents and tracks user knowledge as a collection of such entities. It eventually estimates the user knowledge gain - learning outcome - by measuring the similarity between the represented knowledge and the learning objective. We show that our methods allow for up to 10% improvements when estimating user knowledge gains.","Interactive IR; Named Entities; Retrieval system; Search-As-Learning; User Knowledge","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-20","","","Web Information Systems","","",""
"uuid:4d01afef-e83e-4820-9bda-614fe3815790","http://resolver.tudelft.nl/uuid:4d01afef-e83e-4820-9bda-614fe3815790","Energy-efficient Edge Approximation for Connected Vehicular Services","Katare, D. (TU Delft Information and Communication Technology); Ding, Aaron Yi (TU Delft Information and Communication Technology)","","2023","Connected vehicular services depend heavily on communication as they frequently transmit data and AI models/weights within the vehicular ecosystem. Energy efficiency in vehicles is crucial to keep up with the fast-growing demand for vehicular data processing and communication. To tackle this rising challenge, we explore approximation and edge AI techniques for achieving energy efficiency for vehicular services. Focusing on data-intensive vehicular services, we present an experimental case study on the high-definition (HD) map using the model partition approach. Our study compares the AI model energy consumption using multiple approximation ratios over embedded edge devices. Based on experimental insights, we further discuss an envisioned approximate Edge AI pipeline for developing and deploying energy-efficient vehicular services.","3D maps; Approximation; Data Compression; Energy Efficiency; Edge AI; HD map; Model compression","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-10","","","Information and Communication Technology","","",""
"uuid:5ec5593d-9574-49a6-aa67-c85902fba3f3","http://resolver.tudelft.nl/uuid:5ec5593d-9574-49a6-aa67-c85902fba3f3","A Fast Multi-objective Evolutionary Approach for Designing Large-Scale Optical Mode Sorter","Panichella, A. (TU Delft Software Engineering); Di Domenico, Giuseppe (attocube systems AG)","Paquete, Luís (editor)","2023","Spatial mode division de-multiplexing of optical signals has many real-world applications, such as quantum computing and both classical and quantum optical communication. In this context, it is crucial to develop devices able to efficiently sort optical signals according to the optical mode they belong to and route them on different paths. Depending on the mode selected, this problem can be very hard to tackle. Recently, researchers have proposed using multi-objective evolutionary algorithms (MOEAs) ---and NSGA-II in particular--- combined with Linkage Learning (LL) to automate the process of design mode sorter. However, given the very large-search scale of the problem, the existing evolutionary-based solutions have a very slow convergence rate. In this paper, we proposed a novel approach for mode sorter design that combines (1) stochastic linkage learning, (2) the adaptive geometry estimation-based MOEA (AGE-MOEA-II), and (3) an adaptive mutation operator. Our experiments with two- and three-objectives (beams) show that our approach is faster (better convergence rate) and produces better mode sorters (closer to the ideal solutions) than the state-of-the-art approach. A direct comparison with the vanilla NSGA-II and AGE-MOEA-II also further confirms the importance of adopting LL in this domain.","Many-objective optimization; Mode Sorter; Optical and Photonics Technology; Evolutionary Algorithms; Machine learning","en","conference paper","ACM/IEEE","","","","","","","","","","Software Engineering","","",""
"uuid:144f8d8c-7406-4c60-a1f1-520bc42a04fa","http://resolver.tudelft.nl/uuid:144f8d8c-7406-4c60-a1f1-520bc42a04fa","Towards integrated digital twins for construction and manufacturing","Čustović, I. (TU Delft Design & Construction Management); Kuttantharappel Soman, R. (TU Delft Integral Design & Management; ETH Zürich); Pauwels, Pieter (Eindhoven University of Technology); Hall, Daniel M. (TU Delft Design & Construction Management)","Kassem, Mohamad (editor); Tagliabue, Lavinia Chiara (editor); Amor, Robert (editor); Sreckovic, Marijana (editor); Chassiakos, Athanasios (editor)","2023","A digital twin (DT) can enhance construction management with comprehensive real-time simulations. However, research rarely considers prefabrication factories, whose processes have a significant impact on cost and duration. It remains unclear how construction DTs can achieve their expected benefits without dynamically interacting with the DTs of manufacturing facilities. To address this, a DT integration model is proposed. It builds upon systems theory and describes integration across the three layers objectives, processes, and data & tools. A theoretical example demonstrates potential benefits of integrated DTs. This work can assist researchers and practitioners who are focusing on DTs in the execution phase.","Digital twins; Construction; Manufacturing; Production Planning and Control; Systems integration; Simulation","en","conference paper","","","","","","","","","","","Design & Construction Management","","",""
"uuid:a3d29cfa-d7f3-4a24-8b45-15a1329d93ef","http://resolver.tudelft.nl/uuid:a3d29cfa-d7f3-4a24-8b45-15a1329d93ef","A Continuously Updated Package-Degradation Model reflecting Thermomechanical Changes at Different Thermo-Oxidative Stages of Moulding Compound","Inamdar, A.S. (TU Delft Electronic Components, Technology and Materials); van Soestbergen, Michiel (NXP Semiconductors); Mavinkurve, Amar (NXP Semiconductors); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Moulding compounds used for encapsulating electronics typically occupy a large portion of package volume and are most exposed to the external environment. Under harsh conditions such as high temperature, humidity, and mechanical vibrations, constituent materials of electronic components degrade, resulting in a change in their thermal, mechanical, electrical, and chemical behaviour. High-temperature ageing of electronic packages causes the oxidation of epoxy moulding compounds (EMC), forming a layer exhibiting significantly different thermomechanical properties. This reflects in the modified mechanical behaviour of the entire package, which accelerates certain failure modes and affects component reliability. Thus, it is crucial to consider gradual degenerative changes in EMC for a more accurate estimation of the component lifetime. This paper proposes a three-step modelling approach to replicate thermo-chemical changes in package encapsulation. A parametric geometry of a test package was incorporated with the ageing stage-dependent changes in thermomechanical properties of the oxidized layer. The mechanical behaviour of oxidized EMC at multiple stages of thermal ageing (at 150°C for up to 3000 hours) was first experimentally characterized and then validated using warpage measurements on thermally aged test packages and Finite Element (FE) simulations. Lastly, a trend-based interpolation of material model parameters for intermediate stages of ageing was followed, and a continuously updated degradation model (physics-based Digital Twin) was achieved. The proposed model is capable of reproducing degraded stages of the test package under thermal ageing along with its modified thermomechanical behaviour. Its limitations and significance in the domain of health monitoring of microelectronics are also discussed.","Epoxy moulding compounds; thermal ageing; oxidation; experimental characterization; mechanical behavioural modelling; degradation model; parametric geometry; finite element analysis; package warpage","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-23","","","Electronic Components, Technology and Materials","","",""
"uuid:fc9af78c-e414-4f1c-951d-4d2df735a68e","http://resolver.tudelft.nl/uuid:fc9af78c-e414-4f1c-951d-4d2df735a68e","An Array of Bandpass Detectors for Measuring Beam Spectral Components","Jahangiri, M. (TU Delft Electronic Instrumentation); Sberna, P.M. (TU Delft EKL Processing); Sammak, A. (TU Delft BUS/TNO STAFF; QuTech; TNO); Nihtianova, S. (TU Delft Electronic Instrumentation)","","2023","A clear understanding of the spectral components of an irradiated beam, or captured optical emission, is essential to optimize an optical system and increase its performance. Logically, for this purpose a grating-based spectrometer could be the first choice. However, in the case of a wide range spectrum, and for radiation with one dominant wavelength, this option may not work well. In this paper, we present a technique based on an array of bandpass detectors to measure accurately the power of a number of beam-specific spectral components in a wide spectrum range: from soft X-ray to infrared. The main unique features of this technique are: customization for specific wavelengths of interest; vacuum compatibility; and high sensitivity to low-energy spectral components in the presence of one or more dominant highpower spectral components.","radiation beam spectral components; detector array; integrated optical windows","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Instrumentation","","",""
"uuid:a0ec155b-c10d-48c2-96a9-2b10a8689236","http://resolver.tudelft.nl/uuid:a0ec155b-c10d-48c2-96a9-2b10a8689236","ZVT Interleaved High Step-Up Converter For Renewable Energy Systems","Akhlaghi, Baharak (Isfahan University of Technology); Farzanehfard, Hosein (Isfahan University of Technology); Thiruvady, Dhananjay (Deakin University); Faraji, R. (TU Delft Electronic Components, Technology and Materials); Shiri, Fatemeh (Monash University)","","2023","In this paper, a novel interleaved high step-up (HSU) converter is presented. The proposed converter features a large voltage gain and common input-output grounding. In this interleaved HSU converter, by using only one zero voltage transition (ZVT) auxiliary circuit with one auxiliary switch and low number of elements, soft switching (SS) performance for all the semiconductors over a wide range of load variations is achieved. This leads to advantages of high efficiency and low complexity, expense, and size. The characteristics of the proposed converter are compared to similar state of the art converters, and to confirm its effectiveness, the simulation results of the proposed converter are presented.","High step-up (HSU) converter; interleaved converter; renewable energy (RE); soft switching (SS); zero voltage transition (ZVT)","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-05","","","Electronic Components, Technology and Materials","","",""
"uuid:0b831a5b-e551-4f03-8c58-4e1f3f0efa65","http://resolver.tudelft.nl/uuid:0b831a5b-e551-4f03-8c58-4e1f3f0efa65","Initial tests on reversed open filters on sand-covered rock mounds","van de Ven, Daan (Student TU Delft; Van Oord); Hofland, Bas (TU Delft Hydraulic Structures and Flood Risk); van Kester, Dennis (Van Oord); Smith, Greg (Van Oord); Antonini, A. (TU Delft Coastal Engineering)","","2023","When constructing land reclamations, often sand is placed on top of the coarse rock of the bund surrounding the reclamation. The use of a geometrically open filter between the interface of sand and rock could be cost effective. It is expected that even a geometrically open filter with sand on top of gravel might be stable due to the arching mechanism. For such a “reversed” open filter the actual stability is unknown. Hence this study focusses on the stability of a reversed geometrically open filter under cyclic loading. This paper mainly describes the development of the test setup. First the numerical model OpenFOAM was used to extract the gradients from a representative case study. Next a test setup was developed to generate these low-magnitude loads at full-scale. Various sand-filter combinations were tested, with a range of ratios of the diameters of the gravel filter (D15F) and the sandy base layer (D85B) and sand with a unimodal distribution. They were tested for both parallel (i//) and perpendicular (iꞱ) gradients. The order of magnitude of the occurring gradients obtained with the numerical model for the case-study were a parallel gradient of i//,2% ≈ 1%, decreasing to 0 going downward, and a rather constant perpendicular gradient of iꞱ,2% = 0.2-0.3 for the lowest 4 m of the reversed granular filter. The critical perpendicular gradients were estimated at iꞱc ≈ 0.2 to 0.1 for filter ratios of D85F/D15B = 7.5 to 9.5. The critical parallel gradients were measured at i//c ≈ 2% down to 1%, but might be influenced by simultaneously occurring perpendicular gradients. Even though for the test case no stable situation could be proven with respect to the perpendicular gradient, realistic situations with stable reversed open filters seem possible.","","en","conference paper","Institution of Civil Engineers","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-27","","","Hydraulic Structures and Flood Risk","","",""
"uuid:88317cb3-aa42-4bce-9bd3-c9e1b3d3f8d7","http://resolver.tudelft.nl/uuid:88317cb3-aa42-4bce-9bd3-c9e1b3d3f8d7","Embedded rocking measurement of single layer armour units: Development and first results","Houtzager, Daan (Student TU Delft; Reefy); Hofland, Bas (TU Delft Hydraulic Structures and Flood Risk; Deltares); Caldera, Ganga (Student TU Delft; Delta Marine Consultants); van der Lem, Cock (Royal HaskoningDHV); van Gent, M.R.A. (TU Delft Coastal Engineering; Deltares); Bakker, Pieter (Delta Marine Consultants); Antonini, A. (TU Delft Coastal Engineering)","","2023","Randomly placed breakwater armour units under wave loading can sometimes start rocking, which can lead to breakage of armour units. This failure mechanism can especially become important for single layer randomly placed armour units for which full displacement of units will only happen at higher stability numbers compared to older types of units, and where unit breakage can more easily lead to progressive damage to the armour layer. However, unlike older types of units, hardly any quantitative information is available on the impact velocities, and the number of impacts is mostly assessed using somewhat subjective visual observations. In design the observed number of rocking units is limited to the amount of visually observed rocking units. Hence a good quantification of impact velocities could lead to a more optimal design. This paper describes the further development of embedded rocking sensors to measure the motions of individual smart armour units. Multiple smart rocking sensors have been applied in a physical model of a breakwater and measurements were collected to determine the number of impacts and impact velocity of the armour units. The results have been compared to visual observations and the first results will be presented. It is concluded that the new technique can be used to obtain much more information on rocking, including impact velocities, and that more rocking occurs than is observed visually.","","en","conference paper","Institution of Civil Engineers","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-27","","","Hydraulic Structures and Flood Risk","","",""
"uuid:c3d5aca4-f989-42ec-afef-b27aae24a348","http://resolver.tudelft.nl/uuid:c3d5aca4-f989-42ec-afef-b27aae24a348","Experimental study of spray from wave impact","Chen, X. (TU Delft Hydraulic Structures and Flood Risk; Royal HaskoningDHV); Rivera-arreba, Irene (Norwegian University of Science and Technology (NTNU)); Hofland, Bas (TU Delft Hydraulic Structures and Flood Risk)","","2023","Overtopping plumes from wave impact is relevant to coastal defence for overtopping analysis of sea walls, levees, and gates. Improved insight into this phenomenon will further enhance the prediction of wave overtopping and its induced hazard, e.g., erosion, saltwater ingress, and a hindrance to traffic. A series of small-scale experiments have been carried out in the WaterLab at TU Delft to characterize the droplets formed by wave impacts. Focused waves were generated by the piston-type wavemaker to control the wave breaking point on the wall, which allowed the creation of different types of wave impact. Impacts were investigated respectively: non-breaking, flip-through, and air pocket. After the wave impact, all the stages of the plume formation were filmed using a high-speed camera at a frame rate of 500fps. In this study, the spray sheet breakup and droplet formation are investigated. A simple approach to estimate the maximum spray height is proposed, which can be used for the splash type overtopping in the future.","","en","conference paper","Institution of Civil Engineers","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-27","","","Hydraulic Structures and Flood Risk","","",""
"uuid:46924cc7-9cec-4033-981c-08cbc274dabb","http://resolver.tudelft.nl/uuid:46924cc7-9cec-4033-981c-08cbc274dabb","Securing Federated Sensitive Topic Classification against Poisoning Attacks","Chu, Tianyue (IMDEA Networks Institute); Garcia-Recuero, Alvaro (IMDEA Networks Institute); Iordanou, Costas (Cyprus University of Technology); Smaragdakis, G. (TU Delft Cyber Security); Laoutaris, Nikolaos (IMDEA Networks Institute)","","2023","We present a Federated Learning (FL) based solution for building a distributed classifier capable of detecting URLs containing sensitive content, i.e., content related to categories such as health, political beliefs, sexual orientation, etc. Although such a classifier addresses the limitations of previous offline/centralised classifiers, it is still vulnerable to poisoning attacks from malicious users that may attempt to reduce the accuracy for benign users by disseminating faulty model updates. To guard against this, we develop a robust aggregation scheme based on subjective logic and residual-based attack detection. Employing a combination of theoretical analysis, trace-driven simulation, as well as experimental validation with a prototype and real users, we show that our classifier can detect sensitive content with high accuracy, learn new labels fast, and remain robust in view of poisoning attacks from malicious users, as well as imperfect input from non-malicious ones.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-20","","","Cyber Security","","",""
"uuid:c18b4fcf-e79f-4b14-aecd-1cdf86b23b9a","http://resolver.tudelft.nl/uuid:c18b4fcf-e79f-4b14-aecd-1cdf86b23b9a","Contestable Camera Cars:: A Speculative Design Exploration of Public AI That Is Open and Responsive to Dispute","Alfrink, Kars (TU Delft Internet of Things); Keller, A.I. (TU Delft Design Conceptualization and Communication); Doorn, N. (TU Delft Ethics & Philosophy of Technology); Kortuem, G.W. (TU Delft Internet of Things)","","2023","Local governments increasingly use artificial intelligence (AI) for automated decision-making. Contestability, making systems responsive to dispute, is a way to ensure they respect human rights to autonomy and dignity. We investigate the design of public urban AI systems for contestability through the example of camera cars: human-driven vehicles equipped with image sensors. Applying a provisional framework for contestable AI, we use speculative design to create a concept video of a contestable camera car. Using this concept video, we then conduct semi-structured interviews with 17 civil servants who work with AI employed by a large northwestern European city. The resulting data is analyzed using reflexive thematic analysis to identify the main challenges facing the implementation of contestability in public AI. We describe how civic participation faces issues of representation, public AI systems should integrate with existing democratic practices, and cities must expand capacities for responsible AI development and operation.","artifcial intelligence; automated decision-making; camera cars; con- testability; local government; machine learning; public administra- tion; public AI; speculative design; urban AI; urban sensing; vehic- ular urban sensing","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Internet of Things","","",""
"uuid:ad171033-1e2f-45f6-a7cd-30977abab4f8","http://resolver.tudelft.nl/uuid:ad171033-1e2f-45f6-a7cd-30977abab4f8","Supporting Requesters in Writing Clear Crowdsourcing Task Descriptions Through Computational Flaw Assessment","Nouri, Zahra (Paderborn University); Prakash, Nikhil (Northeastern University); Gadiraju, Ujwal (TU Delft Web Information Systems); Wachsmuth, Henning (Leibniz Universität)","","2023","Quality control is an, if not the, essential challenge in crowdsourcing. Unsatisfactory responses from crowd workers have been found to particularly result from ambiguous and incomplete task descriptions, often from inexperienced task requesters. However, creating clear task descriptions with sufficient information is a complex process for requesters in crowdsourcing marketplaces. In this paper, we investigate the extent to which requesters can be supported effectively in this process through computational techniques. To this end, we developed a tool that enables requesters to iteratively identify and correct eight common clarity flaws in their task descriptions before deployment on the platform. The tool can be used to write task descriptions from scratch or to assess and improve the clarity of prepared descriptions. It employs machine learning-based natural language processing models trained on real-world task descriptions that score a given task description for the eight clarity flaws. On this basis, the requester can iteratively revise and reassess the task description until it reaches a sufficient level of clarity. In a first user study, we let requesters create task descriptions using the tool and rate the tool's different aspects of helpfulness thereafter. We then carried out a second user study with crowd workers, as those who are confronted with such descriptions in practice, to rate the clarity of the created task descriptions. According to our results, 65% of the requesters classified the helpfulness of the information provided by the tool high or very high (only 12% as low or very low). The requesters saw some room for improvement though, for example, concerning the display of bad examples. Nevertheless, 76% of the crowd workers believe that the overall clarity of the task descriptions created by the requesters using the tool improves over the initial version. In line with this, the automatically-computed clarity scores of the edited task descriptions were generally higher than those of the initial descriptions, indicating that the tool reliably predicts the clarity of task descriptions in overall terms.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-27","","","Web Information Systems","","",""
"uuid:71d7b664-5cf6-41d3-a98b-7b34ada6aa38","http://resolver.tudelft.nl/uuid:71d7b664-5cf6-41d3-a98b-7b34ada6aa38","DECI: A Tutorial on Designing Effective Conversational Interfaces","Gadiraju, Ujwal (TU Delft Web Information Systems); Abbas, T. (TU Delft Web Information Systems); Allen, G.M. (TU Delft Web Information Systems)","","2023","Conversational User Interfaces (CUIs) have been argued to have advantages over traditional GUIs due to having a more human-like interaction. The growing popularity of conversational agents has enabled humans to interact with machines more naturally. There is an increasing familiarity among people with conversational interactions mediated by technology due to the widespread use of mobile devices and messaging services and a hungry market for conversational agents. Based on the recent advances in conversational AI, as a result of the proliferation of large language models, the signs are that the future of human-computer interaction will have a significant conversational component. Today, over two-thirds of the population on our planet has access to the Internet, with ever-lowering barriers to accessibility. This tutorial will showcase the benefits of employing novel conversational interfaces for crowd computing, human-AI decision making, health and well-being, and information retrieval. Given the widespread adoption of AI systems across several domains, we will discuss the potential of conversational interfaces in facilitating and mediating people's interactions with AI systems. The tutorial will include interactive elements and discussions and provide participants with insights to inform the design of effective conversational interfaces.","conversational AI; conversational crowdsourcing; conversational user interfaces; human-AI decision making; human-AI interaction","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-27","","","Web Information Systems","","",""
"uuid:3266269f-cb93-4c28-8226-6580fff0a2f6","http://resolver.tudelft.nl/uuid:3266269f-cb93-4c28-8226-6580fff0a2f6","Perspective: Leveraging Human Understanding for Identifying and Characterizing Image Atypicality","Sharifi Noorian, S. (TU Delft Web Information Systems); Qiu, S. (Hunan Institute of Advanced Technology); Sayin, Burcu (Università di Trento); Balayn, A.M.A. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems); Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2023","High-quality data plays a vital role in developing reliable image classification models. Despite that, what makes an image difficult to classify remains an unstudied topic. This paper provides a first-of-its-kind, model-agnostic characterization of image atypicality based on human understanding. We consider the setting of image classification ""in the wild"", where a large number of unlabeled images are accessible, and introduce a scalable and effective human computation approach for proactive identification and characterization of atypical images. Our approach consists of i) an image atypicality identification and characterization task that presents to the human worker both a local view of visually similar images and a global view of images from the class of interest and ii) an automatic image sampling method that selects a diverse set of atypical images based on both visual and semantic features. We demonstrate the effectiveness and cost-efficiency of our approach through controlled crowdsourcing experiments and provide a characterization of image atypicality based on human annotations of 10K images. We showcase the utility of the identified atypical images by testing state-of-the-art image classification services against such images and provide an in-depth comparative analysis of the alignment between human- and machine-perceived image atypicality. Our findings have important implications for developing and deploying reliable image classification systems.","humans in the loop; image atypicality; Image classification; machine learning in the wild","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:160eacde-0c8a-4f9a-95a7-9c2758f50bf5","http://resolver.tudelft.nl/uuid:160eacde-0c8a-4f9a-95a7-9c2758f50bf5","The Circular Techno-Aesthetics of Woven Textile-forms: A Material and Process-driven Design Exploration.","McQuillan, H.L. (TU Delft Materials and Manufacturing); Voorwinden, M. (TU Delft Materials and Manufacturing; Studio Milou Voorwinden); Arts, Bente (Student TU Delft); Vroom, Barbara (Student TU Delft)","Niinimäki, Kirsi (editor); Cura, Kirsti (editor)","2023","Material-Driven Design (MDD) proposes that we value the behaviours, performance
properties, and aesthetics that emerge from a material’s inherent properties – an approach that provides a much-needed perspective for the textile and fashion industry as it develops new sustainable and circular systems. This research expands this material-led approach to include design-production processes framed within holistic notions of sustainability. In contrast to a conventional top-down design
research process, material-processual-driven design approaches may enable us to break from the trap of developing and evaluating the outcomes of new design systems through the lens of our existing (usually unsustainable) approaches. This paper reflects on the tensions experienced by the authors in navigating concerns of technological feasibility, aesthetic outcomes, and the sustainable goals framing
two sets of woven textile-form design experiments. Textile-forms are design-production processes that emerge from the simultaneous production of textile and form via the interlacement of matter/fibre/yarn and are designed to facilitate localised, on-demand production of textile-based objects. We will present the experiments, which were developed over six months, reflecting on the technical and evaluation processes that contributed to their development and the challenges that arose. This paper provides grounded examples of design researchers navigating this challenging space and the outcomes that emerge and aims to contribute to a greater understanding of circular techno-aesthetics that may support the industry as it develops the new systems it needs.","","en","conference paper","Aalto University","","","","","","","","","","Materials and Manufacturing","","",""
"uuid:291edbc2-371a-46ef-931c-d8861e3df242","http://resolver.tudelft.nl/uuid:291edbc2-371a-46ef-931c-d8861e3df242","Procedural generation of challenges for personalized gait rehabilitation","Lyu, Silong (Student TU Delft); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","Lopes, Phil (editor); Luz, Filipe (editor); Liapis, Antonios (editor); Engstrom, Henrik (editor)","2023","Conventional gait rehabilitation methods have the risk of alienating the patient due to their monotonous nature, thus negatively impacting the effectiveness of gait training. Modern technologies can help provide patients with better support, safety and immersive experience during training. However, physiotherapists cannot be required to master those technologies, nor to spend much time designing a more varied and engaging treatment for each patient. In this paper, we argue that adaptive gamified gait rehabilitation based on procedural content generation (PCG) can effectively support physiotherapists in achieving such customized outcomes. We propose a generic adaptation scheme to steer the generation of movement challenge levels based on player modeling and therapists' intervention. Our approach features two difficulty adjustment strategies: parameter progression schemes and integration of multiple therapy goals. These strategies are applicable to the personalization of a wide range of gait rehabilitation goals. We implemented this approach in a standalone prototype for supporting gait training with the RYSEN system, a three-dimensional overground body weight support system. From our assessment with physiotherapists, we conclude that our PCG-based adaptive method effectively assists therapists in (i) offering a broad diversity in gait exercises to a wide group of patients, and (ii) dynamically tailoring challenge levels for a variety of gait tasks.","Gait rehabilitation; Game adaptivity; Games for health; Player model; Procedural content generation","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-12","","","Computer Graphics and Visualisation","","",""
"uuid:b0e3b3a8-9c0b-4152-95a0-f30ae8f49bc7","http://resolver.tudelft.nl/uuid:b0e3b3a8-9c0b-4152-95a0-f30ae8f49bc7","Hierarchical Semantic Wave Function Collapse","Alaka, Shaad (Student TU Delft); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","Lopes, Phil (editor); Luz, Filipe (editor); Liapis, Antonios (editor); Engstrom, Henrik (editor)","2023","There are few proposals to improve the interactivity and control of wave function collapse (WFC) in a mixed-initiative setting. Moreover, most WFC algorithm variants operate on an simple, unstructured set of tiles. This limitation on the level of control provided to designers hampers their creative work in various ways. We propose Hierarchical Semantic WFC, a generalized approach to WFC that organizes its tile-set into a hierarchy akin to a taxonomy induced by the relation 'consists-of'. In such a hierarchical structure, abstract tiles (i.e. non-leaf nodes) can represent the first sketchy intentions of a designer (e.g. forest, urban, desert,...) This allows a designer to interactively collapse a given area into abstract tiles, while subsequently, (and repeatedly, if desired) WFC can resolve each area into a variety of particular instances, by further collapsing it into (a valid combination of) its children tiles (whether leaves or not). We identify how this subtle tile-set change affects the whole WFC algorithm, describe a number of novel exploratory and interactive functions that this enables, and showcase these with a variety of examples generated with our prototype implementation. We conclude that these new mixed-initiative content generation methods can considerably reduce design iteration times and improve the assistance given to designers in expressing their creative intent.","mixed-initiative; object semantics; procedural content generation; wave function collapse","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:93abc2e8-7b1f-4aa9-b188-b1601616838a","http://resolver.tudelft.nl/uuid:93abc2e8-7b1f-4aa9-b188-b1601616838a","Conformal, Seamless, Sustainable: Multimorphic Textile-forms as a Material-Driven Design Approach for HCI","McQuillan, H.L. (TU Delft Emerging Materials); Karana, E. (TU Delft Emerging Materials)","","2023","Technology embeddedness in HCI textiles has great potential for enabling novel interactions and enriched experiences, but unless carefully designed, could inadvertently worsen HCI’s sustainability problem. In an attempt to bridge sustainable debates and practical material-driven scholarship in HCI, we propose Multimorphic Textile-forms (MMTF), as a design approach developed through a lens of multiplicity and extended life cycles, that facilitate change in both design/production and use-time via the simultaneous thinking of the qualities and behaviour of material and form. We provide a number of cases, textile-form methods and vocabulary to enable exploration in this emerging design space. MMTF grants insights into textiles as complex material systems whose behaviour can be tuned across material, interaction and ecological scales for conformal, seamless, and sustainable outcomes.","HCI textiles; Sustainability; Textile-form; Material-driven design; Multimorphic textile-forms; Materials experience.","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Emerging Materials","","",""
"uuid:1e1f4b82-d68a-4008-bf56-37f613adf314","http://resolver.tudelft.nl/uuid:1e1f4b82-d68a-4008-bf56-37f613adf314","23.7 A BJT-Based Temperature Sensor with ±0.1 C(3σ) Inaccuracy from -55°C to 125°C and a 0.85pJ.K2Resolution FoM Using Continuous-Time Readout","Toth, N.G. (TU Delft Electronic Instrumentation); Tang, Z. (TU Delft Electronic Instrumentation); Someya, T. (TU Delft Electronic Instrumentation; SiTime, Tokyo); Pan, S. (TU Delft Electronic Instrumentation; Tsinghua University); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","BJT-based temperature sensors are widely used due to their high accuracy over a wide temperature range with a low-cost 1-point trim. Although resistor-based sensors can achieve better energy efficiency, they typically require a 2-point trim to achieve comparable accuracy, while thermal-diffusivity based sensors achieve superior accuracy at the cost of energy efficiency [1]. This paper presents a BJT-based temperature sensor that achieves both excellent accuracy and energy efficiency. To avoid the kTfC noise limitations of conventional discrete-time (OT) readout schemes [2], [3], it employs a compact continuous-time (CT) front-end. Component mismatch, which often limits the accuracy of CT front-ends [4], [5], is mitigated by a combination of dynamic element matching (OEM) and a low-cost resistor-ratio self-calibration scheme. As a result, the sensor achieves a resolution FoM of 0.85textpJcdotK 2, and a competitive inaccuracy of pm 0.1 circC (3sigma) from -55 circC tO 125 circC after a 1-point trim. This makes it 4times more energy-efficient than state-of-the-art BJT-based sensors with similar accuracy [2], [4], [5].","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","Microelectronics","Electronic Instrumentation","","",""
"uuid:948b4794-5e0b-4514-86d5-28c436ba5c76","http://resolver.tudelft.nl/uuid:948b4794-5e0b-4514-86d5-28c436ba5c76","23.2 A 40 A Shunt-Based Current Sensor with ±0.2% Gain Error from -40°C to 125°C and Self-Calibration","Tang, Z. (TU Delft Electronic Instrumentation); Toth, N.G. (TU Delft Electronic Instrumentation); Brito Zamparette, R.L. (TU Delft Electronic Instrumentation); Nezuka, Tomohiro (MIRISE Technologies); Furuta, Yoshikazu (MIRISE Technologies); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","Low-cost metal (e.g., PCB trace) shunts can be used to make accurate current sensors (< 1 % gain error) [1-3]. However, their reported maximum operating temperature (85 circC) is not high enough for automotive applications, and at higher temperatures, shunt resistance may exhibit increased drift, especially at high current levels. This paper presents a metal-shunt-based current sensor with a wide temperature range and a stable on-chip reference current (I textREF) source for shunt self-calibration. By employing a continuous-time (CT) front-end, it achieves an input noise density of 14textnV/sqrttextHz while consuming only 280mu A, making it > 10times more energy efficient than prior art [1], [2], with comparable gain error (pm0.2%) over a wider current (pm 40A) and temperature (-40 circC to 125 circC) range.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","Microelectronics","Electronic Instrumentation","","",""
"uuid:c1e6ea36-cac7-400b-b077-4f07f176fd52","http://resolver.tudelft.nl/uuid:c1e6ea36-cac7-400b-b077-4f07f176fd52","A 0.01 mm210MHz RC Frequency Reference with a 1-Point On-Chip-Trimmed Inaccuracy of ±0.28% from -45°C to 125°C in 0.18μm CMOS","An, X. (TU Delft Electrical Engineering, Mathematics and Computer Science); Pan, S. (TU Delft Electronic Instrumentation; Tsinghua University); Jiang, H. (TU Delft Electronic Instrumentation); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","CMOS frequency references based on RC oscillators are usually preferred over bulky crystals in loT applications [1-5]. However, due to the process spread and finite temperature coefficient (TC) of most on-chip resistors, RC oscillators require trimming and temperature compensation to achieve decent accuracy. Enabled by high-resolution trimming techniques such as DeltaSigma [1], [2] or pulse-density [3] modulation, recent designs can obtain good accuracy (<0.1 %) at the expense of large chip area. However, existing compact (<0.02mm2) designs suffer from frequency errors in the order of 1% or more [4], [5]. Moreover, their temperature compensation schemes usually require the use of resistors with complementary TCs, which are not available in all CMOS technologies.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","Electrical Engineering, Mathematics and Computer Science","Microelectronics","Electronic Instrumentation","","",""
"uuid:d646aa06-538a-4aa3-b844-7b6a60d323c4","http://resolver.tudelft.nl/uuid:d646aa06-538a-4aa3-b844-7b6a60d323c4","3.2 A Chopper-Stabilized Amplifier with a Relaxed Fill-In Technique and 22.6pA Input Current","Rooijers, C.T. (TU Delft Electronic Instrumentation); Huijsing, J.H. (TU Delft Electronic Instrumentation); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","In chopper amplifiers, the interaction between the input signal and the chopper clock can cause intermodulation distortion (IMD). This is due to amplifier delay, which causes signal transitions generated by the input chopper to arrive at the amplifier's output slightly later than the corresponding clock transitions of the output chopper. This causes large signal-dependent spikes in the final output, which can significantly degrade amplifier linearity, especially at input frequencies near even multiples of the chopping frequency FcH, which will cause IMD tones near DC. In [2-4], spread-spectrum clocks are used to convert such tones into noise-like signals. However, this increases the noise floor, without solving the underlying problem. Recently, it has been shown that such spikes can be eliminated by using the fill-in technique [1], in which two identical OTAs are chopped in quadrature, allowing a spike-free output to be generated by switching between their outputs in a ping-pong fashion.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","Microelectronics","Electronic Instrumentation","","",""
"uuid:8f19f4d5-90f1-4fe7-847a-745bc35dfb28","http://resolver.tudelft.nl/uuid:8f19f4d5-90f1-4fe7-847a-745bc35dfb28","Study on Sintering Mechanism and Mechanical Properties of Nano-Cu based on Molecular Dynamics Simulation","Qian, Cheng (Fudan University); Hu, D. (TU Delft Electronic Components, Technology and Materials); Liu, Xu (Fudan University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Fudan University); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Research Institute of Fudan University, Ningbo)","","2023","Nano-metal materials sintering has received increasing attention in recent years for its promising performance in the wide bandgap semiconductor packaging. In this paper, molecular dynamics (MD) simulation method were applied to simulate the nano-Cu sintering mechanism and the subsequent mechanical behavior. Hybrid sintering, comprising nanosphere (NS) and nanoflake (NF), was carried out at temperatures ranging from 500K to 650K. Furthermore, shearing simulations were conducted with constant strain rates on the sintered structure at multiple temperatures, and subsequently correlated the extracted mechanical properties with the sintering behavior. The results indicated that the mechanical properties of nano-Cu sintered structure were improved by tuning material composition and increasing the sintering temperature. We established a relationship between the sintered microstructure and mechanical response, the shear modulus and shear strength of the sintered structure with NF particles increased to 41.2GPa and 3.51GPa respectively. It offers valuable insights into the preparation phase of nano Cu paste for sintering technology.","Nano Cu sintering; molecular dynamics simulation; Nanoflake; Shearing simulation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:0a1ae4ac-11e8-4e29-ab51-294eb0cecfee","http://resolver.tudelft.nl/uuid:0a1ae4ac-11e8-4e29-ab51-294eb0cecfee","Temperature Field Simulation and optimization for Horizontal 6-inch 4H-SiC Epitaxial CVD Reactor by Induction Heating","Tang, Zhuorui (Fudan University; Jihua Laboratory); Tian, Jing (Fudan University); Mao, Chaobin (Jihua Laboratory); Zhang, Nan (Jihua Laboratory); Huang, Jiyu (Jihua Laboratory); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Research Institute of Fudan University, Ningbo); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Silicon carbide (SiC) epitaxial process is a key step in the fabrication of power devices, and the temperature field inside the reactor chamber plays an essential role in this process. In this paper, the temperature field in the horizontal chemical-vapor-deposition reactor chamber used for growing homo-epitaxial 4H-SiC material is studied using the finite-element method. A three-dimensional time-dependency model is built for the accuracy of simulation, and the effects of 11 relative coil locations (−50, −28, −18, −10, −4,0,4,10,18,28, and 50 mm) on heating efficiency and temperature uniformity of the substrate are analyzed. Results indicate that the suitable relative location between the center of coil and that of the substrate to achieve optimum temperature uniformity is −4 mm, and 18 mm to obtain the highest heating efficiency. To increase the heating efficiency and temperature uniformity of the substrate, the structure of the reactor was analyzed and optimized. It is observed that both heating efficiency and temperature uniformity can be effectively improved by adding a graphite pillar inside the down susceptor.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:ebf03802-4508-4d52-97f5-9cd586dab35d","http://resolver.tudelft.nl/uuid:ebf03802-4508-4d52-97f5-9cd586dab35d","Adaptive Optimal Flight Control for a Fixed-wing Unmanned Aerial Vehicle using Incremental Value Iteration","Li, Y. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation)","","2023","This paper deals with the design of an adaptive optimal controller for a fixed-wing Unmanned Aerial Vehicle(UAV) using an incremental value iteration algorithm. The incremental model is firstly introduced to linearize a nonlinear system. The recursive least squares(RLS) identification algorithm is then used to identify the incremental model. Based on incremental control, the incremental value iteration algorithm is developed for a nonlinear optimal control problem. Moreover, this algorithm is applied to longitudinal attitude tracking of a fixed-wing unmanned aerial vehicle. Simulation results show that the designed adaptive flight controller is robust to variations in initial value of the angle of attack.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-23","","","Control & Simulation","","",""
"uuid:021b6617-c9bd-4b61-9a93-18f43294fe2e","http://resolver.tudelft.nl/uuid:021b6617-c9bd-4b61-9a93-18f43294fe2e","Federated Learning for Online Resource Allocation in Mobile Edge Computing: A Deep Reinforcement Learning Approach","Zheng, Jingjing (CISTER Research Centre); Li, Kai (CISTER Research Centre); Mhaisen, N. (TU Delft Networked Systems); Ni, Wei (CSIRO: Commonwealth Scientific and Industrial Research); Tovar, Eduardo (CISTER Research Centre); Guizani, Mohsen (Mohamed Bin Zayed University of Artificial Intelligence)","","2023","Federated learning (FL) is increasingly considered to circumvent the disclosure of private data in mobile edge computing (MEC) systems. Training with large data can enhance FL learning accuracy, which is associated with non-negligible energy use. Scheduled edge devices with small data save energy but decrease FL learning accuracy due to a reduction in energy consumption. A trade-off between the energy consumption of edge devices and the learning accuracy of FL is formulated in this proposed work. The FL-enabled twin-delayed deep deterministic policy gradient (FL-TD3) framework is proposed as a solution to the formulated problem because its state and action spaces are large in a continuous domain. This framework provides the maximum accuracy ratio of FL divided by the device’s energy consumption. A comparison of the numerical results with the state-of-the-art demonstrates that the ratio has been improved significantly.","Federated learning; mobile edge computing; online resource allocation; deep reinforcement learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-12","","","Networked Systems","","",""
"uuid:2d2b8171-ddbd-4f22-9f54-a845330b35e6","http://resolver.tudelft.nl/uuid:2d2b8171-ddbd-4f22-9f54-a845330b35e6","Opportunity Charging of Electric Buses Directly from a DC Metro Catenary and Without Storage","Diab, I. (TU Delft DC systems, Energy conversion & Storage); Chandra Mouli, G.R. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","A typical approach to harvesting the excess braking energy of a railway car has been to use a storage system. However, research momentum has been growing in the direction of integrating smart loads like EV chargers into traction networks, and this can offer a more efficient and economical solution to the harvesting of braking energy. This paper examines the case study of a segment of the Amsterdam metro grid with two 350kW integrated DC opportunity chargers for charging electric buses from the traction grid. Of the charging episodes investigated, none of them broke the minimum line voltage requirements of the grid. They managed to greatly offset any additional line losses that they had caused by a successful recuperation of up to 1212kWh per day, depending on the charging duration. In all four schemes, about 22.8% of the picked-up charging energy of the buses per day came from harvesting otherwise-wasted metro braking energy.","Electric Buses; Opportunity Charging; Smart Grids; Storage; Transport","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-11","","","DC systems, Energy conversion & Storage","","",""
"uuid:e9fba20c-c71c-48c8-9867-9248eda9c06c","http://resolver.tudelft.nl/uuid:e9fba20c-c71c-48c8-9867-9248eda9c06c","DroneVLC: Exploiting Drones and VLC to Gather Data from Batteryless Sensors","de Groot, Lucan (Student TU Delft); Xu, M. (TU Delft Networked Systems); Zuniga, Marco (TU Delft Networked Systems)","","2023","We explore a new alternative for drones to gather information from sensors. Instead of using the traditional radio-frequency spectrum, whose broadcast nature makes it more difficult to poll specific objects, we utilize the light spectrum. In our system, the drone carries a light, and flies to an area that it is interested in polling. Only the sensor (tag) under the coverage of the light sends data back by backscattering the impinging light waves. Enabling this system poses two challenges. First, a reliable modulation method with light is required. The method must overcome noise dynamics introduced by the drone (mechanical oscillations), the object (backscattering effects) and the environment (interference from ambient light). Second, to facilitate the deployment of tags in pervasive applications, the design of the tag should be battery-less and have a small surface area. These requirements limit the amount of power available for reception, transmission and sensing, since the energy harvested by solar cells is proportional to their surface area. Regarding the first challenge, we show that the amplitude-based modulation methods used in state-of-the-art studies do not work in our scenario, and investigate instead a frequency-based approach. For the second challenge, we optimize the computation, reception and transmission of the tag to create a battery-less design that operates with frequency-modulated signals generated from light. We build a prototype for the drone and the tag, and test them under different lighting scenarios: dark, indoors, and outdoors with sunlight. The results show that, under standard indoor lighting, our system can attain a polling range of 1.1 m with a data rate of 120 bps, while the tag operates with small solar cells and consumes less than 1 mW.","Visible Light Communication; Backscatter; Bat-teryless; Drones; UAV; Dynamic Channel","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-18","","","Networked Systems","","",""
"uuid:dafa329e-d89a-478f-90fc-ffcd86dcd134","http://resolver.tudelft.nl/uuid:dafa329e-d89a-478f-90fc-ffcd86dcd134","Microstructure Analysis Based on 3D reconstruction Model and Transient Thermal Impedance Measurement of Resin-reinforced Sintered Ag layer for High power RF device","Hu, X. (TU Delft Electronic Components, Technology and Materials; Ampleon); Martin, H.A. (TU Delft Electronic Components, Technology and Materials; Chip Integration Technology Center); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); Huang, J.L. (Ampleon); Rijckevorsel, H. (Ampleon); Scholten, H. (Ampleon); Smits, E.C.P. (Chip Integration Technology Center); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Resin-reinforced silver (Ag) sintering material is an effective and highly reliable solution for power electronics packaging. The hybrid material’s process parameters strongly influence its microstructure and pose a significant challenge in estimating its effective properties as a thin interconnect layer. This research demonstrates a novel 3D reconstruction methodology for the microstructural investigation of the resin-reinforced Ag sintering material from OverMolded Plastic (OMP) packages. Based on the reconstructed models with different sintering parameters (temperature and time), the fraction of Ag and Resin volume distribution, the connectivity of silver particles, and the tortuosity factors were estimated. A 99% connectivity of sintered Ag particles was achieved with various sintering conditions, such as 200°C for 2 hours, 200°C for 4 hours, and 250°C for 2 hours. However, coarsening of Ag particles was promoted when sintered at 250°C. Increasing the sintering time at 200°C had insignificant changes. The estimated tortuosity factor also indicated that sintering at 250°C provides the shortest heat transport path between the semiconductor die and the package substrate. In order to quantify the microstructural findings, the OMP packages’ thermal performance with different sintering conditions (temperature, time, and interconnect thickness) was experimentally assessed. Although the experimental measurements were less sensitive to the effective interface thermal resistances’, the measurement results show a good correlation with the microstructural analysis. Sintering the Resin-reinforced Ag sintering material at higher temperatures (250°C) seems to improve the package thermal performance, and increasing the sintering time at 200°C has a negligible effect.","Hybrid Ag Sintering; Pressureless Sintering; 3D Reconstruction; Microstructure Analysis; Tortuosity; Transient Thermal Impedance; LDMOS Body Diode Measurement","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:fb74f355-e21e-4385-b1a1-d72a3bd24f94","http://resolver.tudelft.nl/uuid:fb74f355-e21e-4385-b1a1-d72a3bd24f94","Hydrolysis Mechanism Analysis of (Ca, Sr)AlSiN₃:Eu²⁺ Red Phosphor Aged Under Pressure Cooker Test and 85°C&85%RH Test: Kinetics Modeling and First-principles Calculation","Wen, Minzhen (Fudan University); Guo, Baotong (Fudan University); Chen, Shanghuan (Hohai University); Hu, X. (TU Delft Electronic Components, Technology and Materials); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Chinese Academy of Sciences; Fudan Zhangjiang Institute,)","","2023","The (Ca, Sr) AlSiN₃:Eu²⁺(CSASN:Eu) red phosphor is widely used to improve color rendering of high-power phosphor-converted lighting diode (pc-WLED), but it is always unstable under high temperature and high humidity environments. Therefore, the studies on the temperature and humidity resistance of red phosphors and their aging mechanism have become essential to evaluate its reliability in harsh applications. In this paper, the pressure cooker test (PCT) and 85°C&85% RH aging test were carried out for the CSASN:Eu red phosphors. And, its hydrolysis reaction-driven degradation mechanism was simulated and analyzed based on first-principle calculation, in which the optimized adsorption of simplified CaAlSiN3(CASN) and H2 O was simulated based on Density Function Theory (DFT) and the specific aging process was analyzed by the charge density difference and ab initio molecular dynamics (AIMD). The experimental results showed that the photoluminescence performance of CSASN:Eu red phosphor dropped gradually and finally disappeared under PCT aging, and its temperature-dependent degradation kinetics followed the Arrhenius model well. Meanwhile, the simulation results indicate that the CASN, reacted with H2 O when the H atoms had a tendency to approach N atoms. Both the temperature and humidity could accelerate the hydrolysis reaction rate.","Degradation; Resistance; Simulation; Phosphors; Humidity; Aging; Rendering (computer graphics)","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:7079b39d-3c3e-4469-897a-975309d5255d","http://resolver.tudelft.nl/uuid:7079b39d-3c3e-4469-897a-975309d5255d","Effect of Thermomigration on Electromigration in SWEAT Structures","Cui, Z. (TU Delft Electronic Components, Technology and Materials); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","This paper investigates thermomigration (TM) and electromigration (EM) in SWEAT structure. Firstly, the distribution of temperature along SWEAT structure during EM is obtained by using finite element (FE) simulation. The FE simulation results show that the temperature is almost uniformly distributed in the most region of narrow line in SWEAT structure, but temperature decreases rapidly at both sides of conductor. Accordingly, the temperature gradient in the narrow line of SWEAT structures is calculated. Then, we apply the obtained temperature and temperature gradient in the governing equation of EM in terms of atomic concentration. The numerical results show that the TM caused by temperature gradient causes the material depletion near both ends of conductor. At the same time, atoms diffuse from the middle region of conductor to both sides driven by the atomic concentration, causing the voids in middle of conductor.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:4c536315-7881-47cb-92b2-d9c19e9f0a51","http://resolver.tudelft.nl/uuid:4c536315-7881-47cb-92b2-d9c19e9f0a51","Manufacturing of an In-Package Relative Humidity Sensor for Epoxy Molding Compound Packages","Sattari, R. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","This study presents the design and fabrication of an in-package relative humidity sensor for epoxy molding compound (EMC) packages. The sensor comprises shielded interdigital electrodes (SIDE) for in-situ monitoring of humidity absorption/desorption in the package encapsulation layer. A novel approach is employed in the device fabrication to maximize the electrical field lines to pass through the EMC and enhance the sensitivity. The manufactured wafer includes 6×6mm2 dies, each containing six identical capacitive sensors with an area of 480 × 620 μ m2. SU-8 through polymer vias (TPVs) with high aspect ratio were created to locally mold the sensors by EMC. The linear capacitance change with the relative humidity level is simulated in COMSOL Multiphysics. Three designs were compared, and the calibration results show the capacitance value of 1.54 pF and 5.85 pF before and after molding, respectively. The capacitance value stays within the range of 5.85 to 5.86 pF with less than 7 aF variation under different biasing voltages, indicating the stability and robustness of the capacitance.","Electromagnetic compatibility; In-package relative humidity sensor; epoxy molding compound; shielded interdigital electrodes; encapsulation layer; electrical field lines; through polymer vias","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:fa1ec7be-2440-4e7f-9824-f0dc8c5ed984","http://resolver.tudelft.nl/uuid:fa1ec7be-2440-4e7f-9824-f0dc8c5ed984","Micro-cantilever Bending Test of Sintered Cu nanoparticles for Power Electronic Devices","Du, L. (TU Delft Electronic Components, Technology and Materials); Hu, D. (TU Delft Electronic Components, Technology and Materials); Poelm, René (Nexperia); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","The application of microporous sintered copper (Cu) as a bonding material to replace conventional die-attach materials in power electronic devices has attracted considerable interest. Many previous studies have focused on the effect of processing parameters (temperature, time, pressure) on the microstructure evolution of sintered Cu. However, there are only a few studies with regard to the mechanical properties of sintered Cu. As the die-attach layer undergoes thermal and mechanical stress during its application, it is essential to investigate the micro-scale mechanical properties of sintered Cu. Fracture toughness is a measure of the resistance of a material to crack propagation under predominantly linear-elastic conditions, which is an essential parameter for predicting fracture failure. As cracks and defects are difficult to avoid during fabrication and application processing for sintered Cu, which will definitely cause a significant effect on micromechanical properties. Thus, it is essential to reveal the effect of microstructure on fracture toughess of sintered Cu nanoparticles.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:d38f576a-664e-48fd-9742-99ae4bb3a118","http://resolver.tudelft.nl/uuid:d38f576a-664e-48fd-9742-99ae4bb3a118","Application-level performance of cross-layer scheduling for social VR in 5G","Du, Z. (Student TU Delft); van den Berg, J.L. (University of Twente); Dimitrovski, T. (TNO); Litjens, R. (TU Delft Network Architectures and Services; TNO)","","2023","Social VR aims at enabling people located at different places to communicate and interact with each other in a natural way. It poses extremely strong throughput and latency requirements on the underlying communication networks. This paper investigates the potential of using cross-layer design approaches for radio access scheduling in order to realize these challenging requirements in (beyond) 5G networks. In particular, we provide an in-depth simulation study of the performance/capacity gains that can be achieved by exploiting the end-to-end latency budget and/or video frame type as cross-layer information in the scheduling decisions, and show how the benefits depend on the actual social VR scenario. This study further reveals the importance of using application-level metrics such as PSNR or SSIM rather than traditional network-level metrics like the packet drop rate in the performance assessment.","Social VR; cross-layer scheduling; application-level performance; 5G","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-12","","","Network Architectures and Services","","",""
"uuid:464c9671-d1f6-4057-aeaf-994aa39f8c94","http://resolver.tudelft.nl/uuid:464c9671-d1f6-4057-aeaf-994aa39f8c94","LinoSPAD2: A 512×1 linear SPAD camera with system-level 135-ps SPTR and a reconfigurable computational engine for time-resolved single-photon imaging","Bruschini, Claudio (EPFL Neuchâtel); Burri, Samuel (EPFL Neuchâtel); Bernasconi, Ermanno (EPFL Neuchâtel); Milanese, Tommaso (EPFL Neuchâtel); Ulku, Arin C. (EPFL Neuchâtel); Homulle, Harald (TU Delft QCD/DiCarlo Lab); Charbon-Iwasaki-Charbon, E. (EPFL Neuchâtel)","Razeghi, Manijeh (editor); Khodaparast, Giti A. (editor); Vitiello, Miriam S. (editor)","2023","The LinoSPAD2 camera combines a 512×1 linear single-photon avalanche diode (SPAD) array with an FPGA-based photon-counting and time-stamping platform, to create a reconfigurable sensing system capable of detecting single photons. The read-out is fully parallel, where each SPAD is connected to a different FPGA input. The hardware can be reconfigured to achieve different functionalities, such as photon counters, time-to-digital converter (TDC) arrays and histogramming units. Time stamping is performed by an array of 64 TDCs, with 20 ps resolution (LSB), serving 256 channels by means of 4:1 sharing. At sensor level, the pixel pitch is 26.2 μm with a fill factor of 25.1%. The median dark count rate of each SPAD at room temperature is below 100 cps at 6V excess bias, the single-photon timing resolution (SPTR) of each channel is 50 ps FWHM, and the peak photon detection probability reaches ~50% at 510 nm at the same excess bias. The fill factor can be increased by 2.3× by means of microlenses, with good spatial uniformity and flat spectral response above 400 nm. At system level, the average instrument response function (IRF) is 135 ps FWHM. The LinoSPAD2 camera enables a wide range of time-of-flight and time-resolved applications, including 3D imaging, fluorescence lifetime imaging microscopy (FLIM), heralded spectroscopy, and compressive Raman imaging, to name a few. Thanks to its features, LinoSPAD2 is a novel generation of reconfigurable single-photon image sensors capable of adapting their read-out and processing to match application-specific requirements, and combining SPAD arrays with advanced, massively-parallel computational functionalities.","Compressive Raman imaging; Heralded spectroscopy; Microlenses; Reconfigurable camera system; Single-photon avalanche diodes (SPADs); Time-resolved imaging; Time-todigital converters (TDCs) on FPGA","en","conference paper","SPIE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-15","","","QCD/DiCarlo Lab","","",""
"uuid:b669fb5f-975f-4471-849a-2aa671535e8a","http://resolver.tudelft.nl/uuid:b669fb5f-975f-4471-849a-2aa671535e8a","Perceiving Grown Bacterial Cellulose: An Aesthetic and Sensorial Evaluation of a Bio-Fabricated Material","Papile, Flavia (Politecnico di Milano); Bolzan, Patrizia (Politecnico di Milano); Parisi, S. (TU Delft Materials and Manufacturing); Pollini, Barbara (Politecnico di Milano)","Duarte, Emilia (editor); Di Roma, Annalisa (editor)","2023","Biofabricated and grown materials are an emergent trend in the design discipline. The push towards the re-search of innovative and sustainable material solutions has recently increased since there is a real necessity to find solutions compatible with sustainable production paradigms. In the presented work, bacterial cellulose (BC) from kombucha tea fermentation has been chosen for investigation. The biofabrication of this peculiar material enables the realisation of several textural and aesthetical features, giving the designer important freedom. However, to properly look for possible applications in products design, some precise characterisations must be observed and discussed. In this contribution, the authors analysed the sensorial and aesthetical dimensions of six different BC samples to highlight and assess the peculiar element of this promising material.","Circular Economy; Growing Materials; Sensorial Material Characterisation; Bacterial cellulose; Material designer","en","conference paper","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-09","","","Materials and Manufacturing","","",""
"uuid:109da31e-54c6-47b2-8b6e-8232daa5eb72","http://resolver.tudelft.nl/uuid:109da31e-54c6-47b2-8b6e-8232daa5eb72","Graph Greenifier: Towards Sustainable and Energy-Aware Massive Graph Processing in the Computing Continuum","Iosup, Alexandru (Vrije Universiteit Amsterdam); Prodan, Radu (Aau Klagenfurt, Klagenfurt); Varbanescu, Ana Lucia (University of Twente); Talluri, Sacheendra (Aau Klagenfurt, Klagenfurt); Magalhaes, Gilles (Aau Klagenfurt, Klagenfurt); Hokstam, Kailhan (Aau Klagenfurt, Klagenfurt); Zwaan, Hugo (Vrije Universiteit Amsterdam); van Beek, V.S. (TU Delft Dataintensive Systems); Farahani, Reza (Aau Klagenfurt, Klagenfurt)","","2023","Our society is increasingly digital, and its processes are increasingly digitalized. As an emerging technology for the digital society, graphs provide a universal abstraction to represent concepts and objects, and the relationships between them. However, processing graphs at a massive scale raises numerous sustainability challenges; becoming energy-aware could help graph-processing infrastructure alleviate its climate impact. Graph Greenifier aims to address this challenge in the conceptual framework offered by the Graph Massivizer architecture. We present an early vision of how Graph Greenifier could provide sustainability analysis and decision-making capabilities for extreme graph-processing workloads. Graph Greenifier leverages an advanced digital twin for data center operations, based on the OpenDC open-source simulator, a novel toolchain for workload-driven simulation of graph processing at scale, and a sustainability predictor. The input to the digital twin combines monitoring of the information and communication technology infrastructure used for graph processing with data collected from the power grid. Graph Greenifier thus informs providers and consumers on operational sustainability aspects, requiring mutual information sharing, reducing energy consumption for graph analytics, and increasing the use of electricity from renewable sources.","computing continuum; digital twin; energy-awareness; graph greenifier; graph massivizer; graph processing; scalability; sustainability","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Dataintensive Systems","","",""
"uuid:069a1937-431c-49a6-83a6-01af8a5722f7","http://resolver.tudelft.nl/uuid:069a1937-431c-49a6-83a6-01af8a5722f7","Modelling and Eigenanalysis of Sub-synchronous Oscillations Excited by Large Wind Power Plants","van Vledder, C.A. (TU Delft Intelligent Electrical Power Grids); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Stefanov, Alexandru (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Intelligent Electrical Power Grids); Anaya-Lara, Olimpo (Norwegian University of Science and Technology (NTNU)); Kruimer, B. (DNV Energy Systems); Gonzalez-Longatt, Francisco (University of Exeter)","","2023","The amount of power electronic interfaced generation (PEIG) is significantly proliferating in modern cyber-physical energy systems (CPESs). The limited capabilities (e.g. inertia, over-current) of PEIG, together with their location and technology-specific designed control systems, alter the dynamic properties of different types of stability phenomena, e.g. sub-synchronous oscillations (SSOs). A poorly damped SSO can emerge, within a sub-second time scale, through conflicting inter-actions between the controls of PEIG and the dynamic response of the surrounding electrical network. This paper focuses on the modelling and assessment of such interactions, with emphasis on the integration of large-size full converter (a.k.a. type-4) based wind power plants (WPPs). By combining different analysis tools, the implemented model supports sensitivity assessment of the occurrence and observability of a poorly damped SSO. State-space model based eigenanalysis is iteratively used to ascertain damping variability of a dominant SSO, excited by inappropriate controller settings of the WPP. Power spectral density (PSD) analysis is used to qualitatively estimate the degree of observability of the poorly damped SSO across different buses of a CEPS. Numerical tests are performed on a modified version of the IEEE-39 bus system by using DIgSILENT PowerFactory 2022 SP1. Suggestions are provided for the deployment of data generated from phasor measurement units (PMUs) in the monitoring and wide-area damping control of critical SSOs.","Sub-synchronous oscillations; observability; phasor measurement units; control interaction; wide area monitoring and control","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-15","","","Intelligent Electrical Power Grids","","",""
"uuid:06dbed70-90c5-42d8-9138-fe63a6220b58","http://resolver.tudelft.nl/uuid:06dbed70-90c5-42d8-9138-fe63a6220b58","More-Than-Human Perspectives and Values in Human-Computer Interaction","Yoo, Daisy (Eindhoven University of Technology); Bekker, Tilde (Eindhoven University of Technology); Dalsgaard, Peter (Aarhus University); Eriksson, Eva (Aarhus University); Fougt, Simon Skov (Aarhus University); Frauenberger, Christopher (University of Salzburg); Friedman, Batya (University of Washington); Giaccardi, Elisa (TU Delft Human Information Communication Design); Hansen, Anne Marie (Malmö University)","","2023","In this special interest group (SIG) we invite researchers, practitioners, and educators to share their perspectives and experiences on the expansion of human-centred perspective to more-than-human design orientation in human-computer interaction (HCI). This design for and with more-than-human perspectives and values cover a range of fields and topics, and comes with unique design opportunities and challenges. In this SIG, we propose a forum for exchange of concrete experiences and a range of perspectives, and to facilitate reflective discussions and the identification of possible future paths.","HCI; more-than-human; values","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-19","","","Human Information Communication Design","","",""
"uuid:5e4d33ea-4f0b-4aeb-a706-d748498202be","http://resolver.tudelft.nl/uuid:5e4d33ea-4f0b-4aeb-a706-d748498202be","Piecewise Affine Curvature model: a Reduced-Order Model for Soft Robot-Environment Interaction Beyond PCC","Stella, F. (TU Delft Learning & Autonomous Control; EPFL Switzerland); Guan, Qinghua (EPFL Switzerland; Harbin Institute of Technology); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Hughes, Josie (EPFL Switzerland)","","2023","Soft robot are celebrated for their propensity to enable compliant and complex robot-environment interactions. Soft robotic manipulators, or slender continuum structure robots have the potential to exploit these interactions to enable new exploration and manipulation capabilities and safe human-robot interactions. However, the interactions, or perturbations by external forces cause the soft structure to deform in an infinite degree of freedom (DOF) space. To control such system, reduced order models are needed; typically models consider piecewise sections of constant curvature although external forces often deform the structure out of the constant curvature hypothesis. In this work we perform an analysis of the trade-off between computational treatability and modelling accuracy. We then propose a new kinematic model, the Piecewise Affine Curvature (PAC) which we validate theoretically and experimentally showing that this higher-order model better captures the configuration of a soft continuum body robot when perturbed by the external forces. In comparison to the current state of the art Piecewise Constant Curvature (PCC) model we demonstrate up to 30% reduction in error for the end position of a soft continuum body robot.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-15","","","Learning & Autonomous Control","","",""
"uuid:21c95f7d-5185-419b-bd82-25d888e30841","http://resolver.tudelft.nl/uuid:21c95f7d-5185-419b-bd82-25d888e30841","WebAndTheCity'23: 9th International Workshop on The Web and Smart Cities","Anthopoulos, Leonidas (University of Thessaly); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Weerakkody, Vishanth (Bradford University)","","2023","This is the 9th edition of the workshop series labeled ""AW4City - Web Applications and Smart Cities"", which started back in Florence in 2015 and kept on taking place every year in conjunction with the WWW conference series. Last year the workshop was held virtually in Lyon, France. The workshop series aims to investigate the Web and Web applications' role in establishing smart city (SC) promises. The workshop series aim to investigate the role of the Web and of Web applications in SC growth. This year, the workshop focuses on the role of the web in social coherence. cities appear to play a crucial role in securing humanity against social threats and generating sustainable and circular cities. In this regard, cities attempt to secure social sustainability and coherence (e.g., deal with affordable energy, poverty, hunger, equal opportunities in education, jobs, and health, etc.) and enhance their performance to become friendlier and able to host their increasing populations. Additionally, new types of business appear (e.g., for smart energy), while the co-existence of autonomous things and people generate another challenge that cities have started phasing. This workshop aims to demonstrate how web applications Apps can Web intelligence serve communities.","smart citizens; smart city; smart living; web Apps","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","Engineering, Systems and Services","","","",""
"uuid:f6a94190-d0cb-4526-973b-b7191de247c4","http://resolver.tudelft.nl/uuid:f6a94190-d0cb-4526-973b-b7191de247c4","The doughnut economic approach in architecture","Medici, P. (TU Delft Theory, Territories & Transitions); Cavallo, R. (TU Delft Theory, Territories & Transitions); van Bennekom, H.A. (TU Delft History, Form & Aesthetics)","","2023","","","en","conference paper","","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:9d16b556-3943-4fcf-8fff-59ed2abd3950","http://resolver.tudelft.nl/uuid:9d16b556-3943-4fcf-8fff-59ed2abd3950","Steering Stories: Confronting Narratives of Driving Automation through Contestational Artifacts","Lupetti, M.L. (TU Delft Design Aesthetics); Cavalcante Siebert, L. (TU Delft Interactive Intelligence); Abbink, D.A. (TU Delft Human-Robot Interaction)","","2023","In this paper, we problematize popular narratives of driving automation. Whether positive or negative, these propagate simplistic assumptions about human abilities and reinforce technocratic approaches to mobility innovation. We build on narrative approaches to participatory research and adversarial design, to explore how design-led confrontation can create opportunities for reflection on implicit assumptions and narratives that stakeholders may refer to when discussing and making decisions about automated driving technologies. Specifically, we discuss the results of four focus groups where we used contestational artifacts to promote critical discussions and confront taken-for-granted beliefs among stakeholders. We reflect on the results to distill methodological insight and design recommendations for conducting adversarial participatory design research as a way towards confronting dominant narratives. Together with the methodological approach, the main contribution of this work, we also provide a set of narrative tensions that can be used to question common beliefs surrounding automated driving futures.","Adversarial Design; Automated Driving; Critical Design; Narratives of Technology; Political Design","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Design Aesthetics","","",""
"uuid:4dc40d4a-a481-4708-843e-7934b86266ba","http://resolver.tudelft.nl/uuid:4dc40d4a-a481-4708-843e-7934b86266ba","What is Sensitive About (Sensitive) Data? Characterizing Sensitivity and Intimacy with Google Assistant Users","Gomez Ortega, A. (TU Delft Internet of Things); Bourgeois, J. (TU Delft Internet of Things); Kortuem, G.W. (TU Delft Internet of Things)","","2023","Digital technologies have increasingly integrated into people's lives, continuously capturing their behavior through potentially sensitive data. In the context of voice assistants, there is a misalignment between experts, regulators, and users on whether and what data is 'sensitive', partly due to how data is presented to users; as single interactions. We investigate users' perspectives on the sensitivity and intimacy of their Google Assistant speech records, introduced comprehensively as single interactions, patterns, and inferences. We collect speech records through data donation and explore them in collaboration with 17 users during interviews based on predefined data-sharing scenarios. Our results indicate a tipping point in perceived sensitivity and intimacy as participants delve deeper into their data and the information derived from it. We propose a conceptualization of sensitivity and intimacy that accounts for the fuzzy nature of data and must disentangle from it. We discuss the implications of our findings and provide recommendations.","Intimate Data; Personal Data; Sensitive Data; Voice Assistants","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Internet of Things","","",""
"uuid:bbe040ce-f9db-4fca-9efd-5b11e1719b9e","http://resolver.tudelft.nl/uuid:bbe040ce-f9db-4fca-9efd-5b11e1719b9e","Using Empathy-Centric Design in Industry: Reflections from the UX Researcher, the Client, and the Method Expert","Drouet, Luce (University of Luxembourg); Sleeswijk Visser, F. (TU Delft Design Conceptualization and Communication); Lallemand, Carine (University of Luxembourg)","","2023","Empathic design provides tools and frameworks supporting designers to understand users’ experiences with products or services. However, how does one hand over this empathic understanding of users to other internal stakeholders shaping the service experience? In this contribution, we reflect on a three-year implementation of an empathy-centric design approach in an industrial context with a low user experience maturity from three different professional viewpoints: ours as UX researchers, the one of a company manager, and an expert researcher on empathy in design. These narrative introspective accounts unveil some of the main benefits, opportunities, and challenges of implementing an empathy-centric design approach in the industry. We discuss and confront them to prior work.We contribute to the field of empathic design with rich in-situ research insights and principles for a successful empathic approach.","Empathic research method; Service design; UX; Empathy-Centric Design; Industry insights; Introspection","en","conference paper","","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:e83889c7-ffc0-4d1a-966f-89e87e0cfa0d","http://resolver.tudelft.nl/uuid:e83889c7-ffc0-4d1a-966f-89e87e0cfa0d","EMGSense: A Low-Effort Self-Supervised Domain Adaptation Framework for EMG Sensing","Duan, Di (Shenzhen Research Institute; City University of Hong Kong); Yang, Huanqi (Shenzhen Research Institute; City University of Hong Kong); Lan, G. (TU Delft Embedded Systems); Li, Tianxing (Michigan State University); Jia, Xiaohua (Shenzhen Research Institute; City University of Hong Kong); Xu, Weitao (City University of Hong Kong; Shenzhen Research Institute)","","2023","This paper presents EMGSense, a low-effort self-supervised domain adaptation framework for sensing applications based on Electromyography (EMG). EMGSense addresses one of the fundamental challenges in EMG cross-user sensing—the significant performance degradation caused by time-varying biological heterogeneity—in a low-effort (data-efficient and label-free) manner. To alleviate the burden of data collection and avoid labor-intensive data annotation, we propose two EMG-specific data augmentation methods to simulate the EMG signals generated in various conditions and scope the exploration in label-free scenarios. We model combating biological heterogeneity-caused performance degradation as a multi-source domain adaptation problem that can learn from the diversity among source users to eliminate EMG heterogeneous biological features. To relearn the target-user-specific biological features from the unlabeled data, we integrate advanced self-supervised techniques into a carefully designed deep neural network (DNN) structure. The DNN structure can seamlessly perform two training stages that complement each other to adapt to a new user with satisfactory performance. Comprehensive evaluations on two sizable datasets collected from 13 participants indicate that EMGSense achieves an average accuracy of 91.9% and 81.2% in gesture recognition and activity recognition, respectively. EMGSense outperforms the state-of-the-art EMG-oriented domain adaptation approaches by 12.5%-17.4% and achieves a comparable performance with the one trained in a supervised learning manner.","EMG sensing; biological heterogeneity; domain adaptation; self-supervised learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-18","","","Embedded Systems","","",""
"uuid:0797fccc-d436-40f1-af00-2b0f2d43c23d","http://resolver.tudelft.nl/uuid:0797fccc-d436-40f1-af00-2b0f2d43c23d","A 13.56MHz Fully Integrated 91.8% Efficiency Single-Stage Dual-Output Regulating Voltage Doubler for Biomedical Wireless Power Transfer","Lu, T. (TU Delft Electronic Instrumentation); Chang, Z.Y. (TU Delft Electronic Instrumentation); Jiang, Junmin (Southern University of Science and Technology); Makinwa, K.A.A. (TU Delft Microelectronics); Du, S. (TU Delft Electronic Instrumentation)","","2023","Dual-output regulating rectifier is highly desired in wireless power transfer (WPT) for sub-100mW bioimplants. Such rectifiers perform voltage rectification and dual-output regulation simultaneously, thus avoiding post DC-DC conversions and cascaded power losses [1 –4]. However, the conventional dual-output structure suffers from a low voltage conversion ratio (VCR) (< 1) due to the full bridge rectifier (FBR) topology (Fig. 1), severely limiting the receiver operation when wireless link condition varies [1–2]. In order to extend the operational range without increasing the power demand from the transmitter, [3] presents a charge-pump based dual-output rectifier; however, it uses 10 power transistors (PTs) and 8 off-chip capacitors, degrading the power conversion efficiency (PCE) and increasing the integration cost. Alternatively, the current-mode dual-output rectifier can realize a VCR higher than 1, but the output power is limited to less than 10mW [4], which is insufficient for advanced bioimplants. In this work, a 13. 56MHz single-stage dual-output voltage doubler (DOVD) is proposed to address the above limitations, which employs only two PTs and a fully integrated design. lt can achieve a peak VCR of1.78 and outputs power up to 8lmWwith a 91.8% peak PCE.","Wireless communication; Transmitters; Rectifiers; Wireless power transfer; Receivers; Regulation; Topology","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-11","","Microelectronics","Electronic Instrumentation","","",""
"uuid:45f2badf-27c8-423b-a377-cd4d1a907ae5","http://resolver.tudelft.nl/uuid:45f2badf-27c8-423b-a377-cd4d1a907ae5","Scanning dynamic light scattering optical coherence tomography for measurement of high omnidirectional flow velocities","Cheishvili, K. (TU Delft ImPhys/Kalkman group); Kalkman, J. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Kalkman group)","Izatt, Joseph A. (editor); Fujimoto, James G. (editor)","2023","We show scanning dynamic light scattering optical coherence tomography (OCT) omnidirectional flow measurements. Our method improves the velocity measurement limit over conventional correlation-based or phase-resolved Doppler OCT by more than a factor of 2. Our technique is applicable without a-priori knowledge of the flow geometry as our method works both for non-zero Doppler angle and non-ideal scan alignment. In addition, the method improves the particle diffusion coefficient estimation for particles under flow.","","en","conference paper","SPIE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-08","","","ImPhys/Kalkman group","","",""
"uuid:befeb509-aa70-48f4-bc01-4055e05f5880","http://resolver.tudelft.nl/uuid:befeb509-aa70-48f4-bc01-4055e05f5880","Sub-diffusion flow velocimetry with number fluctuation optical coherence tomography","Cheishvili, K. (TU Delft ImPhys/Kalkman group); Kalkman, J. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Kalkman group)","Izatt, Joseph A. (editor); Fujimoto, James G. (editor)","2023","We show number fluctuations dynamic light scattering optical coherence tomography (OCT) for measuring extremely slow, sub-diffusion flows of dilute particle suspensions. Our method removes the minimum measurable velocity limitation of conventional correlation-based or phase-resolved Doppler OCT, set by flowing particles’ Brownian motion. Our technique works for any Doppler angle, is applicable to 2D flow imaging with scanning OCT systems and can be used to determine concentration of particles under flow.","","en","conference paper","SPIE","","","","","","","","","","ImPhys/Kalkman group","","",""
"uuid:fe41300f-ed71-4470-9bf2-3fc6af4177cf","http://resolver.tudelft.nl/uuid:fe41300f-ed71-4470-9bf2-3fc6af4177cf","Artificial Potential Field-Based Path Planning for Cluttered Environments","Diab, Mosab (Student TU Delft); Mohammadkarimi, M. (TU Delft Signal Processing Systems); Rajan, R.T. (TU Delft Signal Processing Systems)","","2023","In this paper, we study path planning algorithms of resource constrained mobile agents in unknown cluttered environments, which include but are not limited to various terrestrial missions e.g., search and rescue missions by drones in jungles, and space missions e.g., navigation of rovers on the Moon. In particular, we focus our attention on artificial potential field (APF) based methods, in which the target is attractive while the obstacles are repulsive to the mobile agent. In this paper, we propose two major updates to the classical APF algorithm which significantly improve the performance of path planning using APF. First, we propose to improve an existing classical method that replaces the gradient descent optimization of the potential field cost function on a continuous domain with a combinatorial optimization on a set of predefined points (called bacteria points) around the agent's current location. Our proposition includes an adaptive hyperparameter that changes the value of the potential function associated to each bacteria point based on the current environmental measurements. Our proposed solution improves the navigation performance in terms of convergence to the target at the expense of minimal increase in computational complexity. Second, we propose an improved potential field cost function of the bacteria points by introducing a new branching cost function which further improves the navigation performance. The algorithms were tested on a set of Monte Carlo simulation trials where the environment changes for each trial. Our simulation results show 25% lower navigation time and around 300% higher success rate compared to the conventional potential field method, and we present future directions for research.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-15","","","Signal Processing Systems","","",""
"uuid:2ccf6a1b-2b53-4e99-b863-999c2173ff5c","http://resolver.tudelft.nl/uuid:2ccf6a1b-2b53-4e99-b863-999c2173ff5c","Distributed Particle Filter Based on Particle Exchanges","Tang, Rui (Student TU Delft); Riemens, E.H.J. (TU Delft Signal Processing Systems); Rajan, R.T. (TU Delft Signal Processing Systems)","","2023","Multi-agent networks are known for their scalability, robustness, flexibility, and are typically tasked with a variety of tasks such as target tracking, surveillance, traffic control, and environmental monitoring. Distributed Particle Filters (DPF) are often employed when the for non-linear parameter estimation with non-Gaussian noise. In this paper, we propose a novel distributed particle filter whose transmitted quantities are particles. The fusion process of particles is implemented in a distributed and iterative fashion. To reduce the communication overhead, we adopt the Gaussian process-enhanced resampling algorithm, which reduces the size of local particle set, while still ensures acceptable filtering performance. To determine the local particle set after the communication, we propose two solutions. Our first algorithm (GP-DPF) adopts a “scoring mechanism”, allowing local agents score the received particles and using the scores as the selection criterion. Our second proposed solution (FA-DPF) is a meta-heuristic approach, which uses the well known firefly algorithm as a selection method for particle-based distributed particle filtering. Our simulations demonstrate the superiority of our proposed algorithms under the condition of limited communication and computational resources against other state-of-the-art distributed particle filters.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-15","","","Signal Processing Systems","","",""
"uuid:0946c61c-00be-4744-8b99-4d161f8ffed8","http://resolver.tudelft.nl/uuid:0946c61c-00be-4744-8b99-4d161f8ffed8","Failed yet successful: Learning from discontinued civic tech initiatives","Hamm, Andrea (Weizenbaum Institute for the Networked Society - German Internet Institute); Shibuya, Yuya (University of Tokyo); Cerratto Pargman, Teresa (Stockholm University); Bendor, R. (TU Delft Design Conceptualization and Communication); Hansen, Nicolai Brodersen (Aalborg University); Raetzsch, Christoph (Aarhus University); Shoji, Masahiko (Musashi University); Bieber, Christoph (Center for Advanced Internet Studies); Hendawy, Mennatullah (Ain Shams University; Center for Advanced Internet Studies)","","2023","The design of civic tech is often confronted with impediments, barriers, and a lack of resources. These and other causes may lead to the discontinuation and even abandonment of initiatives. Since seemingly failed projects are much more difficult to publish as articles, this workshop will provide academics and practitioners with a rare opportunity to exchange experiences and insights on discontinued civic tech initiatives. The goal of the workshop is to develop a better understanding of why some civic tech initiatives fail and ask whether discontinued initiatives may still somehow contribute to social change and the growth of digital civics. A variety of sub-questions around discontinued civic tech will be addressed in the workshop, including matters of participation, citizen science, public management, power structures and biases, and communication.","Bottom-up; Civic Design; Civic Tech; Digital Civics; Engaged Communities","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-30","","","Design Conceptualization and Communication","","",""
"uuid:fe5b7e48-cf52-4ccb-a607-ff7e53deff04","http://resolver.tudelft.nl/uuid:fe5b7e48-cf52-4ccb-a607-ff7e53deff04","Living Bits and Radical Aminos: A Workshop on Bio-Digital Interfaces for Human-Computer Interaction","Forman, Jack (Massachusetts Institute of Technology); Pataranutaporn, Pat (Massachusetts Institute of Technology); Gough, Phillip (University of Sydney); Kim, Raphael (TU Delft Materials and Manufacturing); Bell, Fiona (University of Colorado); Ofer, Netta (University of Colorado); Lu, Jasmine (University of Chicago); Vujic, Angela (Massachusetts Institute of Technology); Bai, Muqing (Harvard University)","","2023","As knowledge around bio-digital interaction continues to unfold, there are new opportunities for HCI researchers to integrate biology as a design and computational material. Our motivation for the workshop is to bring together interdisciplinary researchers with interest in exploring the next generation of biological HCI and exploring novel bio-digital interfaces implicating diverse contexts, scales, and stakeholders. The workshop aims to provide a space for interactive discussions, presentations, and brainstorming regarding opportunities and approaches for HCI around bio-digital interfaces. We invite researchers from both academia and industry to submit a short position paper in the following areas: Synthetic Biology, Biological Circuits, Do-It-Yourself Biology (DIYBio), Biomimetic Interfaces, Living Interfaces, Living Artefacts, and Bio-ethics. We will evaluate submissions on fit, ability to stimulate discussion, and contribution to HCI. On our website we have included examples of past work in this area to help inspire and inform position papers. Our website will host a recording of the entire workshop session with accepted papers to support asynchronous viewing for participants who are unable to attend in-person or synchronously.","Bio-HCI; Biological Interfaces; Biomaterials; Biotechnology; Living Artefacts; Microbial-HCI; Microorganism; Synthetic Biology","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","","Materials and Manufacturing","","",""
"uuid:6090e5a4-b642-4f59-b06a-6ca3761c4d2d","http://resolver.tudelft.nl/uuid:6090e5a4-b642-4f59-b06a-6ca3761c4d2d","Development and Integration of Self-Adaptation Strategies for Robotics Software","Alberts, E.G. (TU Delft Robot Dynamics; Vrije Universiteit Amsterdam)","","2023","Robots are becoming more prevalent in industry and society as a whole. Alongside this growth their application domain is also broadening. Each application brings with it a host of potential uncertainties that the robots should be able to handle at runtime. To tackle this, the doctoral thesis outlined in this paper proposes to address three main problems. First, the current ad-hoc state of robotics software which impedes its evolution. Second, the inability to imagine every possible uncertainty at design time leading to unexpected scenarios at runtime. Third, unexpected scenarios resulting from the reality gap between the simulated environments in which robots are developed versus the real world. These unexpected scenarios may cause a system to violate its requirements, especially in our case non-functional requirements. In an attempt to solve these problems, we plan to implement a variety of self-adaptation strategies. These strategies allow systems to change their composition to handle the afore-mentioned unexpected events during operation autonomously. To accomplish this we will need to reason about how best to integrate these strategies into the software of existing robots, as well as how existing information available to designers regarding the robots can best be utilized to improve the strategies. Lastly, these strategies and the process through which they are integrated will be assessed in their impact across different robotic case studies. Preliminary results from the work towards the thesis are also presented, alongside a consideration of its potential industrial impact.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-24","","","Robot Dynamics","","",""
"uuid:b50663ab-0bc8-4be7-bd7b-d36e690f1718","http://resolver.tudelft.nl/uuid:b50663ab-0bc8-4be7-bd7b-d36e690f1718","Trust and Perceived Control in Burnout Support Chatbots","Degachi, C. (TU Delft Internet of Things); Al Owayyed, M. (TU Delft Interactive Intelligence); Tielman, M.L. (TU Delft Interactive Intelligence)","","2023","Increased levels of user control in learning systems is commonly cited as good AI development practice. However, the evidence as to the effect of perceived control over trust in these systems is mixed. This study investigated the relationship between different trust dimensions and perceived control in postgraduate student burnout support chatbots, and modelled the moderating factors therein. We present an in-between subject controlled experiment using simulated therapy-goal learning to study the effect of perceived control (as manipulated by feedback incorporation) on perceived agent benevolence, competence, and trust. Our results showed that perceived control was moderately correlated with benevolence (r = 0.448, BF10 = 7.150), and weakly correlated with competence and trust.","chatbots; human-in-the-loop; percieved control; trust modelling","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-31","","","Internet of Things","","",""
"uuid:96791609-6264-482e-9112-705de3ee02e1","http://resolver.tudelft.nl/uuid:96791609-6264-482e-9112-705de3ee02e1","Control Architectures for Metamaterials in Vibration Control","Buskes, V. F. (Student TU Delft); Kaczmarek, M.B. (TU Delft Mechatronic Systems Design); Veenstra, J. C. (Universiteit van Amsterdam); Coulais, C. (Universiteit van Amsterdam); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2023","Metamaterials are artificial structures with properties that are rare or non-existent in nature. These properties are created by the geometry and interconnection of the metamaterial unit cells. In active metamaterials, sensors and actuators are embedded in each unit cell to achieve greater design freedom and tunability of properties after the fabrication. While active metamaterials have been used in vibration control applications, the influence of applied control architectures on damping performance has not been thoroughly studied yet. This paper discusses the relationship between suitable control architectures for increased damping in finite active metamaterials and the number of damped modes. A metamaterial beam consisting of links with measured and actuated joints is considered. Optimal controllers for each of the considered scenarios are designed in the modal domain using linear-quadratic regulator (LQR). We show that, when all modes of a structure should be damped, the optimal solution can be reduced to a decentralised controller. When modes in a smaller range of frequencies are targeted, distributed controllers show better performance. The results are confirmed with experiments.","Active metamaterials; Decentralised control; Mechatronic Systems; Metamaterials; Vibration Control","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Mechatronic Systems Design","","",""
"uuid:cfa209c7-042d-44ef-b4d8-d59e19f0f5cd","http://resolver.tudelft.nl/uuid:cfa209c7-042d-44ef-b4d8-d59e19f0f5cd","Computationally-efficient Motion Cueing Algorithm via Model Predictive Control","Chadha, Akhil (Student TU Delft); Jain, V. (TU Delft Intelligent Vehicles); Lazcano, Andrea Michelle Rios (Toyota Motor Europe); Shyrokau, B. (TU Delft Intelligent Vehicles)","","2023","Driving simulators have been used in the automotive industry for many years because of their ability to perform tests in a safe, reproducible and controlled immersive virtual environment. The improved performance of the simulator and its ability to recreate in-vehicle experience for the user is established through motion cueing algorithms (MCA). Such algorithms have constantly been developed with model predictive control (MPC) acting as the main control technique. Currently, available MPC-based methods either compute the optimal controller online or derive an explicit control law offline. These approaches limit the applicability of the MCA for real-time applications due to online computational costs and/or offline memory storage issues. This research presents a solution to deal with issues of offline and online solving through a hybrid approach. For this, an explicit MPC is used to generate a look-up table to provide an initial guess as a warm-start for the implicit MPC-based MCA. From the simulations, it is observed that the presented hybrid approach is able to reduce online computation load by shifting it offline using the explicit controller. Further, the algorithm demonstrates a good tracking performance with a significant reduction of computation time in a complex driving scenario using an emulator environment of a driving simulator.","driving simulator; model predictive control; Motion cueing algorithm","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Intelligent Vehicles","","",""
"uuid:7b78abf5-03f6-4b4f-a85b-52b21df977e8","http://resolver.tudelft.nl/uuid:7b78abf5-03f6-4b4f-a85b-52b21df977e8","Frequency-domain Analysis for Infinite Resets Systems∗","Zhang, X. (TU Delft Mechatronic Systems Design); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2023","Reset control systems have possessed the potential to meet the demands of machines, such as faster response times, improved disturbance rejection and enhanced tracking performance. However, prior research on the analysis and design of reset controllers has been restricted to the assumption of two resets per period, neglecting multiple-reset scenarios. In light of this, we focus on the frequency-domain analysis of Infinite-reset Control Systems, which serve as the limit case of multiple-reset control systems, and propose a new model for their analysis. Through this model, the sensitivity functions of Infinite-reset Control Systems are characterised, linking their frequency-domain and time-domain behaviour. The effectiveness of the infinite-reset system is evaluated through simulation of a reset control system case. The results reveal that the infinitereset system demonstrates improved accuracy in prediction in multiple-reset systems compared to the previous analysis methods. Furthermore, this study provides a deeper understanding of the reset systems.","frequency-domain analysis; infinite-reset systems; reset control system; sensitivity functions","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Mechatronic Systems Design","","",""
"uuid:600fd3dc-9069-48e6-8911-f4cd2253e714","http://resolver.tudelft.nl/uuid:600fd3dc-9069-48e6-8911-f4cd2253e714","On the Effect of Transitivity and Granularity on Vulnerability Propagation in the Maven Ecosystem","Mir, S.A.M. (TU Delft Software Engineering); Keshani, M. (TU Delft Software Engineering); Proksch, S. (TU Delft Software Engineering)","Ceballos, Cristina (editor)","2023","Reusing software libraries is a pillar of modern software engineering. In 2022, the average Java application depends on 40 third-party libraries. Relying on such libraries exposes a project to potential vulnerabilities and may put an application and its users at risk. Unfortunately, research on software ecosystems has shown that the number of projects that are affected by such vulnerabilities is rising. Previous investigations usually reason about dependencies on the dependency level, but we believe that this highly inflates the actual number of affected projects. In this work, we study the effect of transitivity and granularity on vulnerability propagation in the Maven ecosystem. In our research methodology, we gather a large dataset of 3M recent Maven packages. We obtain the full transitive set of dependencies for this dataset, construct whole-program call graphs, and perform reachability analysis. This approach allows us to identify Maven packages that are actually affected by using vulnerable dependencies. Our empirical results show that: (1) about 1/3 of packages in our dataset are identified as vulnerable if and only if all the transitive dependencies are considered. (2) less than 1% of packages have a reachable call path to vulnerable code in their dependencies, which is far lower than that of a naive dependency-based analysis. (3) limiting the depth of the resolved dependency tree might be a useful technique to reduce computation time for expensive fine-grained (vulnerability) analysis. We discuss the implications of our work and provide actionable insights for researchers and practitioners.","software vulnerabilities; Maven; fine-grained analysis; software ecosystem","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-15","","","Software Engineering","","",""
"uuid:161767b4-242d-4a01-a1fd-8a95f2c3c611","http://resolver.tudelft.nl/uuid:161767b4-242d-4a01-a1fd-8a95f2c3c611","Analyzing Components of a Transformer under Different Dataset Scales in 3D Prostate CT Segmentation","Tan, Yicong (Student TU Delft); Mody, P. (Leiden University Medical Center); van der Valk, Viktor (Leiden University Medical Center); Staring, M. (Leiden University Medical Center); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","Colliot, Olivier (editor); Isgum, Ivana (editor)","2023","Literature on medical imaging segmentation claims that hybrid UNet models containing both Transformer and convolutional blocks perform better than purely convolutional UNet models. This recently touted success of hybrid Transformers warrants an investigation into which of its components contribute to its performance. Also, previous work has a limitation of analysis only at fixed dataset scales as well as unfair comparisons with other models where parameter counts are not equivalent. Here, we investigate the performance of a hybrid Transformer network i.e. the nnFormer for organ segmentation in prostate CT scans. We do this in context of replacing its various components and by constructing learning curves by plotting model performance at different dataset scales. To compare with literature, the first experiment replaces all the shifted-window(swin) Transformer blocks of the nnFormer with convolutions. Results show that the convolution prevails as the data scale increases. In the second experiment, to reduce complexity, the self-attention mechanism within the swin-Transformer block is replaced with an similar albeit simpler spatial mixing operation i.e. max-pooling. We observe improved performance for max-pooling in smaller dataset scales, indicating that the window-based Transformer may not be the best choice in both small and larger dataset scales. Finally, since convolution has an inherent local inductive bias of positional information, we conduct a third experiment to imbibe such a property to the Transformer by exploring two kinds of positional encodings. The results show that there are insignificant improvements after adding positional encoding, indicating the hybrid swin-Transformers deficiency in capturing positional information given our dataset at its various scales. Through this work, we hope to motivate the community to use learning curves under fair experimental settings to evaluate the efficacy of newer architectures like Transformers for their medical imaging tasks. Code is available on https://github.com/prerakmody/ window-transformer-prostate-segmentation.","3D Swin-Transformer; Convolution; Pooling; Positional Encoding Learning curves; Radiotherapy; Segmentation","en","conference paper","SPIE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-03","","","Pattern Recognition and Bioinformatics","","",""
"uuid:2f5c95b5-303d-4ba8-bc33-725d996250de","http://resolver.tudelft.nl/uuid:2f5c95b5-303d-4ba8-bc33-725d996250de","Smell Driven Navigation for Soft Robotic Arms: Artificial Nose and Control","Piqué, F. (Scuola Superiore Sant’Anna); Stella, F. (TU Delft Learning & Autonomous Control; EPFL Switzerland); Hughes, Josie (EPFL Switzerland); Falotico, Egidio (Scuola Superiore Sant’Anna); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2023","Elephants and other animals heavily rely on the sense of smell to operate. Soft robots would also benefit from an artificial sense of smell, which could be helpful in typical soft robotic tasks such as search and rescue, pipe inspection, and all the tasks involving unstructured environments. This work proposes an artificial nose on a soft robotic arm that ensures separate smell concentration readings. We propose designing the nose to generate a one-to-one matching between the sensors' inputs and the actuators. This design choice allows us to implement a simple control strategy tailored to reach a dynamically varying smell in the environment, which we validate on a two-segment tendon-driven soft robotic arm equipped with the proposed artificial nose. We also propose and validate in simulation a control strategy for reaching tasks in the case of a stationary smell","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-15","","","Learning & Autonomous Control","","",""
"uuid:52e6b03d-d0aa-41e0-b31f-d081bfcfa7b6","http://resolver.tudelft.nl/uuid:52e6b03d-d0aa-41e0-b31f-d081bfcfa7b6","Design of a Soft Bio-Inspired Tissue Transport Mechanism","Kortman, V.G. (TU Delft Medical Instruments & Bio-Inspired Technology); Jovanova, J. (TU Delft Transport Engineering and Logistics); Sakes, A. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","In the medical field, it is essential to remove delicate tissues from the body without damaging them or disturbing the surroundings. Current tissue transport mechanisms depend on the tissue composition and shape of the transported tissue, which results in problems such as clogging. This study presents a soft transportation mechanism for tissues inspired by the longitudinal muscles associated with the peristaltic movement of the gastrointestinal tract. The mechanism is designed as a conveying toroid that turns itself inside out in a continuous motion. A fabrication method was developed to manufacture a small-sized silicone toroid, filled with lubricating liquid. Comparable to the peristaltic movement, the silicone toroid adapts its shape to the transported tissue which results in a soft seal around the tissue. The toroid conveys the tissue while locking it at a stationary spot. A prototype was built to evaluate the transport efficiency of the conveying toroid in differently curved pathways. The preliminary experiments showed good transport efficiency, revealing the potential of the proposed soft transport mechanism for medical, and other transport applications.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-15","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:2a8e1693-e4b6-442e-86d7-25dae7896b27","http://resolver.tudelft.nl/uuid:2a8e1693-e4b6-442e-86d7-25dae7896b27","Stronger correlation of music features with brain signals predicts increased levels of enjoyment","Pandey, Pankaj (Indian Institute of Technology Gandhinagar); Bedmutha, Poorva Satish (University of California); Miyapuram, Krishna Prasad (Indian Institute of Technology Gandhinagar); Lomas, J.D. (TU Delft Design Aesthetics)","","2023","Music recommendation systems struggle with predicting the aesthetic responses of listeners based solely on acoustic characteristics, which are dependent on the listener's perception. This research correlates acoustic music features with brain responses to report the neural aesthetic hypothesis that the intensity of an aesthetic experience can be decoded based on the degree of correlation to brain responses. We employ hybrid encoding-decoding model (Canonical Correlation Analysis) to identify music features that maximally covary with brain responses. EEG signals of 20 participants are analyzed while they listen to 12 songs and mark their enjoyment on a scale of 1 to 5. Firstly, 18 acoustic features are extracted from music signals and transformed into the first principal component (PC1). In addition, two other features used for analysis are root mean square (RMS) and Spectral Flux (Flux). The first principal canonical component (CC1) with PC1 determines significant (p<0.05) evidence of correlating with brain responses that increasing correlation reflects increased enjoyment. We consider each participant's average CC1 values and enjoyment rating over all 12 songs, followed by plotting a correlation graph to decode the relationship. We observe a significant (p<0.05) positive linear correlation with increasing CC1 scores of PC1 features against increased enjoyment rating. PC1 shows the maximum Pearson correlation (r = 0.48, p = 0.03). In addition, we segregate the brain responses based on low (1,2) and high (3,4) enjoyment ratings and find that higher CC1 values correspond to brain responses of high enjoyment and low values to low enjoyment in all three features. Our experiments reveal that Canonical correlation reflects music-induced pleasure and can be employed in EEG-enabled headphones to decode the user experience, leading to better recommendations.","Aesthetic; CCA; EEG; Music","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","","","2023-10-17","","","Design Aesthetics","","",""
"uuid:5023154a-53c6-44ca-9d09-1670ba0ded31","http://resolver.tudelft.nl/uuid:5023154a-53c6-44ca-9d09-1670ba0ded31","Endogenous Macrodynamics in Algorithmic Recourse","Altmeyer, P. (TU Delft Multimedia Computing); Giovan, Angela (Student TU Delft); Buszydlik, Aleksander (Student TU Delft); Dobiczek, Karol (Student TU Delft); van Deursen, A. (TU Delft Software Technology); Liem, C.C.S. (TU Delft Multimedia Computing)","Ceballos, Cristina (editor)","2023","Existing work on Counterfactual Explanations (CE) and Algorithmic Recourse (AR) has largely focused on single individuals in a static environment: given some estimated model, the goal is to find valid counterfactuals for an individual instance that fulfill various desiderata. The ability of such counterfactuals to handle dynamics like data and model drift remains a largely unexplored research challenge. There has also been surprisingly little work on the related question of how the actual implementation of recourse by one individual may affect other individuals. Through this work, we aim to close that gap. We first show that many of the existing methodologies can be collectively described by a generalized framework. We then argue that the existing framework does not account for a hidden external cost of recourse, that only reveals itself when studying the endogenous dynamics of recourse at the group level. Through simulation experiments involving various state-of-the-art counterfactual generators and several benchmark datasets, we generate large numbers of counterfactuals and study the resulting domain and model shifts. We find that the induced shifts are substantial enough to likely impede the applicability of Algorithmic Recourse in some situations. Fortunately, we find various strategies to mitigate these concerns. Our simulation framework for studying recourse dynamics is fast and open-sourced.","Algorithmic Recourse; Counterfactual Explanations; Explainable AI; Dynamic Systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","Software Technology","Multimedia Computing","","",""
"uuid:1ef0a0b6-81cc-4ee2-b1a8-7baa3f30d327","http://resolver.tudelft.nl/uuid:1ef0a0b6-81cc-4ee2-b1a8-7baa3f30d327","A 155W −95.6 dB THD+N GaN-based Class-D Audio Amplifier With LC Filter Nonlinearity Compensation","Chen, Minggang (Southeast University); Zhang, H. (TU Delft Electronic Components, Technology and Materials); Fan, Q. (TU Delft Microelectronics)","","2023","Silicon MOSFETs-based medium-power (< 50W) Class-D amplifiers (CDAs) switching in the MHz range have gained popularity in recent years, which achieves better linearity thanks to a higher loop gain in the audio band while enabling the use of LC filters with higher cut-off frequencies. However, for high-power (>100 W) CDAs, such switching frequency and high load current could lead to significant power loss. Furthermore, in the presence of a large current and voltage applied to the load, the linearity of the system can quickly degrade due to LC filter component voltage/current dependency. Without any LC filter nonlinearity compensation technique, LC components with high voltage/current rating must be used to reach high system linearity, which are often expensive and bulky. This paper presents a CDA using a GaN-based output stage to achieve high switching frequency and good efficiency simultaneously, and an integrated controller implemented in a 180nm CMOS technology to compensate for the LC filter nonlinearity. Switching at 1.8 MHz, the CDA can deliver a maximum of 155W from a 50V supply into a $4\Omega$ load with a peak efficiency of 91.7%. It achieves a peak THD+N of −95.6 dB (0.0017%) while allowing the use of cheaper and smaller nonlinear LC components.","Audio power amplifier; Class-D amplifier; GaN; THD; feedback-after-LC","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:3aea7b70-0dd3-4e4b-82d3-7e3b29f687db","http://resolver.tudelft.nl/uuid:3aea7b70-0dd3-4e4b-82d3-7e3b29f687db","Brain Activity Recognition using Deep Electroencephalography Representation","Johri, Riddhi (Indian Institute of Technology Gandhinagar); Pandey, Pankaj (Indian Institute of Technology Gandhinagar); Miyapuram, Krishna Prasad (Indian Institute of Technology Gandhinagar); Lomas, J.D. (TU Delft Design Aesthetics)","","2023","Advances in neurotechnology have enhanced and simplified our ability to research brain activity with low-cost and effective equipment. One such scalable and noninvasive technique is Electroencephalography (EEG), which detects and records electrical brain activity. Brain activity recognition is one of the emerging problems as EEG wearables become more readily available. Our research has modeled EEG signals to classify three states (i) music listening, (ii) movie watching, and (iii) meditating. The datasets incorporating the brain signals induced while performing these activities are NMED-T for music listening, SEED for movie watching, and VIP_Y_HYT for meditating. EEG activity is transformed into deep representation using a convolutional neural network comprising three different types of 2D convolutions: Temporal, Spatial, and Separable, to capture dependencies and extract high-level features from the data. The Depthwise Convolution function is responsible for learning spatial filters within each temporal convolution, and combining these spatial filters across all temporal bands optimally is learned by the Separable Convolutions. EEGNet and EEGNet-SSVEP are specially designed for EEG Signal Processing and Classification, and the DeepConvNet has incorporated more convolution layers. Our finding demonstrates that increasing the number of layers in the Network provided a higher accuracy of 99.94% using DeepConvNet. In contrast, the accuracy of EEGNet and EEGNet-SSVEP resulted in 85.63% and 75.76%, respectively.","Brain Activity; EEG Sensor; Human-Centered Computing; Machine Learning","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","","","2023-10-17","","","Design Aesthetics","","",""
"uuid:0244b2f6-57e6-4992-9fbf-171912aadcb2","http://resolver.tudelft.nl/uuid:0244b2f6-57e6-4992-9fbf-171912aadcb2","Monitoring daily and seasonal movement of an immersed tunnel","Broere, W. (TU Delft Geo-engineering); Zhang, X. (TU Delft Geo-engineering)","","2023","Daily and seasonal deformation behavior of immersed tunnels potentially impacts the structural integrity. In this study, distributed optical fiber sensors (DOFS) are used to instrument both dilation and immersion joints of the Heinenoordtunnel, an immersed tunnel in the Netherlands. This DOFS system proves capable of measuring joint opening and uneven settlement at half-hour intervals. The field monitoring shows the Heinenoordtunnel behaves more like a rigid body and exhibits a cyclic vertical movement under daily tide impacts over a period of 12 hours. Moreover, the joints show a cyclic seasonal opening which is negatively correlation with temperature variations, i.e. the tunnel joints are compressed when the outside temperature rises and vice versa. These monitoring results","","en","conference paper","CRC Press","","","","","","","","","","Geo-engineering","","",""
"uuid:95b8b5a6-d367-4356-b640-6cd484b22711","http://resolver.tudelft.nl/uuid:95b8b5a6-d367-4356-b640-6cd484b22711","Proprioceptive Sensing of Soft Tentacles with Model Based Reconstruction for Controller Optimization","Vicari, Andrea (EPFL Switzerland; Scuola Superiore Sant’Anna; University of Pisa); Obayashi, Nana (EPFL Switzerland); Stella, F. (TU Delft Learning & Autonomous Control; EPFL Switzerland); Raynaud, Gaetan (EPFL Switzerland); Mulleners, Karen (EPFL Switzerland); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Hughes, Josie (EPFL Switzerland)","","2023","The success of soft robots in displaying emergent behaviors is tightly linked to the compliant interaction with the environment. However, to exploit such phenomena, proprioceptive sensing methods which do not hinder their softness are needed. In this work we propose a new sensing approach for soft underwater slender structures based on embedded pressure sensors and use a learning-based pipeline to link the sensor readings to the shape of the soft structure. Using two different modeling techniques, we compare the pose reconstruction accuracy and identify the optimal approach. Using the proprioceptive sensing capabilities we show how this information can be used to assess the swimming performance over a number of metrics, namely swimming thrust, tip deflection, and the traveling wave index. We conclude by demonstrating the robustness of the embedded sensor on a free swimming soft robotic squid swimming at a maximum velocity of 9.5 cm/s, with the absolute tip deflection being predicted within an error less than 9% without the aid of external sensors.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-15","","","Learning & Autonomous Control","","",""
"uuid:f49cce17-15b9-4c9b-b100-6b6b78da1dd0","http://resolver.tudelft.nl/uuid:f49cce17-15b9-4c9b-b100-6b6b78da1dd0","FinFix: A Soft Gripper With Contact-Reactive Reflex for High-Speed Pick and Place of Fragile Objects","Heeringa, W.M. (Student TU Delft); Della Santina, C. (TU Delft Learning & Autonomous Control); Smit, G. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","Industrial automation calls for precise tasks with cycle times reduced to the minimum. At the same time, when handling delicate products such as fruits and vegetables, accelerations must be kept low to keep interaction forces under a certain threshold to avoid damage. This trade-off hinders the penetration of automation in many relevant application fields. This paper investigates using soft technology to solve this challenge. We propose the FinFix gripper, a non-anthropomorphic soft gripper capable of handling delicate objects at high acceleration using a contact-reactive grasping approach. This gripper has two entirely passive sensorized fingers that establish contact and two active fingers that are actuated pneumatically through a rigid mechanism allowing for rapid closure. We provide exhaustive experimental validation by connecting the gripper to a delta robot. The system can reliably execute pick-and-place cycles in ∼1 s when the distance between the pick and the place locations is 400 mm, resulting in a peak speed of ∼10ms . None of the fragile objects used during the experiments showed any damage. The only information needed is a rough estimation of the object's position to be grasped and a contact event to trigger the reflex. The test results show that the gripper can hold fragile objects during lateral accelerations of 10g.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-15","","","Learning & Autonomous Control","","",""
"uuid:44689022-b5d3-4474-8e38-aa8bc78f8995","http://resolver.tudelft.nl/uuid:44689022-b5d3-4474-8e38-aa8bc78f8995","Een Nieuwe Economiemodule Voor Goederenvervoermodel Basgoed Op Basis Van Een Multiregionale Input Output Tabel","Wesseling, B. (Significance); de Bok, M.A. (TU Delft Transport and Planning); Van den Berg, M. (Rijkswaterstaat); van der Beek, J. (Rijkswaterstaat)","","2023","Rijkswaterstaat gebruikt het goederenvervoermodel BasGoed om lange termijn prognoses te maken van het goederenvervoer. Economische verwachtingen spelen hierbij een belangrijke rol. Het model BasGoed begint dan ook met een module waarin de economische groei bepaald wordt en vervolgens vertaald wordt naar de hoeveelheid vervoerde goederen. De vorige versie van de economie module was verouderd. Deze paper beschrijft de nieuw ontwikkelde module op basis van multiregionale input-output analyses, aansluitend bij de manier waarop het Planbureau voor de leefomgeving economische analyses maakt. De werking van de module wordt toegelicht, en de eerste resultaten worden vergeleken met de uitkomsten van de oude module.","","nl","conference paper","","","","","","","","","","","Transport and Planning","","",""
"uuid:b9bf9219-9edc-4f46-9650-bda462a87f28","http://resolver.tudelft.nl/uuid:b9bf9219-9edc-4f46-9650-bda462a87f28","De Distributiestructuur Van Het Goederen Wegvervoer In NL","de Bok, M.A. (TU Delft Transport and Planning); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics); Nadi Najafabadi, A. (TU Delft Transport and Planning); Mohammed, R.A. (TU Delft Transport and Planning)","","2023","Goederenvervoer is het transport gedeelte van het logistieke systeem: goederen worden van A naar B vervoerd. Een groot gedeelte is onderdeel van logistieke ketens. Waar data over goederenvervoer in toenemende mate beschikbaar is voor onderzoek en planningsdoeleinden, blijft de beschikbaarheid van logistieke data schaars.
In deze bijdrage presenteren wij de resultaten van een data gedreven onderzoek waarbij ‘big’ tripdata van transporteurs zijn geanalyseerd op distributiestructuren. Uitdaging daarbij is de transport data te verrijken met logistieke informatie: vond deze rit plaats vanuit een multimodale terminal, een distributiecentra, of kwam deze vanaf een producent? Op de TU Delft hebben we een effectieve methode opgezet om structurele distributiepatronen te ontdekken, ondanks de data-inefficiënties.
De resultaten geven een relevante inkijk in distributiestructuren voor verschillende segmenten in het goederenvervoer: informatie die tot nog toe nog ontbreekt.","","nl","conference paper","","","","","","","","","","","Transport and Planning","","",""
"uuid:dca912f7-44a7-4a48-891a-94e9a6e0358e","http://resolver.tudelft.nl/uuid:dca912f7-44a7-4a48-891a-94e9a6e0358e","Persuading to Prepare for Quitting Smoking with a Virtual Coach: Using States and User Characteristics to Predict Behavior","Albers, N. (TU Delft Interactive Intelligence); Neerincx, M.A. (TU Delft Interactive Intelligence; TNO); Brinkman, W.P. (TU Delft Interactive Intelligence)","","2023","Despite their prevalence in eHealth applications for behavior change, persuasive messages tend to have small effects on behavior. Conditions or states (e.g., confidence, knowledge, motivation) and characteristics (e.g., gender, age, personality) of persuadees are two promising components for more effective algorithms for choosing persuasive messages. However, it is not yet sufficiently clear how well considering these components allows one to predict behavior after persuasive attempts, especially in the long run. Since collecting data for many algorithm components is costly and places a burden on users, a better understanding of the impact of individual components in practice is welcome. This can help to make an informed decision on which components to use. We thus conducted a longitudinal study in which a virtual coach persuaded 671 daily smokers to do preparatory activities for quitting smoking and becoming more physically active, such as envisioning one’s desired future self. Based on the collected data, we designed a Reinforcement Learning (RL)-approach that considers current and future states to maximize the effort people spend on their activities. Using this RL-approach, we found, based on leave-one-out cross-validation, that considering states helps to predict both behavior and future states. User characteristics and especially involvement in the activities, on the other hand, only help to predict behavior if used in combination with states rather than alone. We see these results as supporting the use of states and involvement in persuasion algorithms. Our dataset is available online.","Persuasion Algorithm; Reinforcement Learning; Conversational Agent; eHealth; Smoking; Behavior Change; Physical Activity","en","conference paper","International Foundation for Autonomous Agents and Multiagent Systems (IFAAMAS)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-30","","","Interactive Intelligence","","",""
"uuid:31dfdabd-b56b-4c07-89c4-ac1addcba9c6","http://resolver.tudelft.nl/uuid:31dfdabd-b56b-4c07-89c4-ac1addcba9c6","Experimental and Numerical Assessment of an Improved Plywood-Based In-Plane Retrofitting Method for Timber Floors in Highly Seismic Areas","Mirra, M. (TU Delft Bio-based Structures & Materials); Gerardini, A. (Gerardini Ingegneria Sismica)","Papadrakakis, M. (editor); Fragiadakis, M. (editor)","2023","Wood-based retrofitting techniques for seismic upgrading and architectural conservation of existing buildings have found increasing application in the last decades. With reference to the in-plane seismic strengthening of existing timber floors, a particularly efficient solution consists of an overlay of plywood panels fastened to the sheathing. This technique allows a great improvement in strength, stiffness, and energy dissipation of the floors. Yet, when adopting this strengthening solution for existing floors in highly seismic regions, the target design loads could require large values of in-plane strength and stiffness for the retrofitted diaphragms, and this could cause their beneficial, dissipative potential to be reduced. Thus, in this work, a strengthening solution is presented, able to retrieve high strength and at the same time activate large energy dissipation in the floors. The proposed technique consists of the creation of two independent shear planes by means of two different superimposed overlays of plywood panels. Previously developed analytical and numerical models describing the in-plane response of floors retrofitted with a single plywood overlay were adapted for the present case with two overlays, validating the results against an experimental test conducted on a sample representing a floor portion. Very good agreement was obtained between experimental and analytical as well as numerical results, thus the proposed approaches enable an efficient design process and an accurate simulation of the proposed retrofitting technique.","timber floors; plywood panels; seismic retrofitting; existing buildings; numerical modelling","en","conference paper","ECCOMAS","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:686ff697-4ff5-4141-8eb7-dd97503b2ba3","http://resolver.tudelft.nl/uuid:686ff697-4ff5-4141-8eb7-dd97503b2ba3","Enhancing the sensitivity of silicon photonic ultrasound sensors by optimizing the stiffness of polymer cladding","Erdoğan, R.T. (TU Delft Dynamics of Micro and Nano Systems); Filonenko, G.A. (TU Delft Team Georgy Filonenko); Picken, S.J. (TU Delft ChemE/Advanced Soft Matter); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems); Westerveld, W.J. (TU Delft Dynamics of Micro and Nano Systems)","","2023","Ultrasound is widely used in medical imaging, and photo-acoustics is an upcoming imaging modality for the diagnosis of diseases. Future applications require a large matrix of small, sensitive, and broadband ultrasound sensors. However, current high-end systems still use piezo-electric material to detect ultrasound, with limited sensitivity and bandwidth. Silicon photonic circuits can meet the requirements of size, bandwidth, and scalability when designed as ultrasound sensors. Namely, a silicon photonic waveguide deforms when the ultrasound pressure waves impinge on it, leading to a change in effective refractive index, ne, due to geometrical and photo-elastic effects [1]. However, these effects are weak, which limits the intrinsic sensitivity of silicon photonic ultrasound sensors [2]. To significantly enhance sensitivity, silicon waveguides have been combined with acousto-mechanical structures, which achieved acoustomechanical-noise-limited sensing [3], but this is not compatible with standard photonic platforms. Besides that, recent demonstrations of waveguides coated with polymers also improved sensitivity of the silicon photonic ultrasound sensors significantly, but not sufficient to reach acoustomechnical-noise-limited sensing [4]. Here, we study the effect of mechanical and opto-mechanical properties of polymer claddings on the sensitivity of silicon photonic ultrasound sensors. Our aim is to enhance the sensitivity of these devices by implementing tailored polymer coatings. First, we model the refractive index sensitivity of these type of waveguides, i.e. the change in effective refractive index ne due to the incident ultrasound plane-wave with a pressure P, and we (Equation presented) where nc, p12, E, and v are refractive index, elasto-optic coefficient, Young's modulus (stiffness), and Poisson's ratio of the cladding material, respectively. We assume the change in cladding index dominates sensitivity.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","Dynamics of Micro and Nano Systems","","",""
"uuid:515d5877-1927-40d6-be7b-c57e363df61d","http://resolver.tudelft.nl/uuid:515d5877-1927-40d6-be7b-c57e363df61d","Methods for Designing Woven Textile-forms: Examples from a pedagogical textile design workshop","Drews, Juri-Apollo (Université PSL); McQuillan, H.L. (TU Delft Materials and Manufacturing); Mosse, Aurélie (Université PSL)","","2023","When designing Woven Textile-forms, both the 3D object and the textile it is composed of need to be developed simultaneously, a process requiring an adaptation of currently established methods from both textile and fashion design. This paper provides an overview of existing examples for woven formconstruction approaches through the lens of fabric (non-)rectangularity. It subsequently presents the method of flattening a 3D form into a weaveable 2D format, and the alternative weaving methods of Modular Weaving, Non-binary Weaving and Multi-selvedge Weaving destined at constructing nonrectangular seamless Woven Textile-forms. It further describes a range of associated prototyping and visualisation techniques including Maps of Bindings, paper models, Thread Maps and miniature nail looms. These are destined at helping textile designers in conceiving textiles in the shape of the final object instead of flat rectangular surfaces, and at providing woven form designers with alternatives to conventional cut-and-assemble approaches, thus creating new grounds for cooperation between both disciplines. The utility of these methods and techniques was tested during a workshop for textile design Masters’ students at École nationale supérieure des Arts Décoratifs in Paris, the results of which show that the integration of form-thinking into the textile design process is possible and generates new opportunities for form-making beyond cut-and-assemble.","Woven Textile-forms; Weaving; Design Methodology; Textile design","en","conference paper","Design Research Society","","","","","","","","","","Materials and Manufacturing","","",""
"uuid:7eec0f7e-07fd-48ab-9874-147c919930a8","http://resolver.tudelft.nl/uuid:7eec0f7e-07fd-48ab-9874-147c919930a8","Aerodynamics and Far-field Noise Emissions of a Propeller in Positive and Negative Thrust Regimes at Non-zero Angles of Attack","Goyal, J. (TU Delft Wind Energy); Sinnige, T. (TU Delft Flight Performance and Propulsion); Ferreira, Carlos (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy; Politecnico di Torino)","","2023","This paper studies the effect of operation at non-zero angles of attack on the aerodynamic performance and far-field noise emissions of an isolated propeller operating at positive and negative thrust conditions. To achieve this, scale-resolved lattice-Boltzmann very large eddy simulations coupled with the Ffowcs Williams & Hawkings analogy have been used. The results show that when the propeller operates with a 10◦ angle of attack at the positive thrust condition, the blade loading increases on the advancing side and decreases on the retreating side, leading to a 9.6% increase in integrated thrust (when computed along the propeller axis) and a negligible increase (0.1%) in propeller efficiency. Conversely, at the negative thrust condition, the operation at 10 deg angle of attack results in a 7.9% decrease in thrust magnitude and an 11.1% reduction in energy-harvesting efficiency. In this condition, the positively cambered blade sections exhibit dynamic stall at the 10◦ angle of attack, resulting in broadband fluctuations of up to 10% of the mean loading. As a result of the opposite change in absolute blade loading in the negative thrust condition compared to the positive thrust condition at the 10◦ angle of attack, the change in the noise directivity is also the opposite. Whereas in the positive thrust case, the noise increases in the region from which the propeller is tilted away (i.e., below the propeller at a positive angle of attack), in the negative thrust case, it is the other way around. This study highlights the need to account for non-zero angles of attack in propeller design and optimization analyses.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Wind Energy","","",""
"uuid:75ccf160-59c0-431d-9b18-1cce8eecac91","http://resolver.tudelft.nl/uuid:75ccf160-59c0-431d-9b18-1cce8eecac91","Submodeling Method-Based Thermal Investigation of the Battery Energy Storage System Integrated in a 450 kW EV Charger","Guan, H. (TU Delft DC systems, Energy conversion & Storage); Wu, Y. (TU Delft DC systems, Energy conversion & Storage); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","The electric vehicle (EV) market is expanding rapidly. However, the main barriers to EV adoption are high vehicle costs, range issues, and charging infrastructure. Meanwhile, energy storage systems (ESS) appear as a promising solution to preventing grid overload during charging and reducing infrastructure costs. In this paper, the integration of the battery energy storage system (BESS) in a 450 kW EV charger is designed and investigated via modeling and simulation mainly from the perspective of thermal management. To explore the heat dissipation and the temperature distribution across the pack, the thermal model based on the sub-modeling technique is developed via COMSOL, and a preliminary layout and cooling strategy are determined.","fast charging; battery energy storage system; system integration; thermal management","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","DC systems, Energy conversion & Storage","","",""
"uuid:3ade322c-d4ae-4873-836b-c53f6713cdcc","http://resolver.tudelft.nl/uuid:3ade322c-d4ae-4873-836b-c53f6713cdcc","Comparison of Military Handbook and the FIDES Methodology for Failure Rate Estimation of Modular Multilevel Converters","Ahmadi, M. (TU Delft DC systems, Energy conversion & Storage); Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","Power electronics converters are crucial for power generation, transmission, and distribution. The modular multilevel converter (MMC) is highly valued for its ability to handle high power levels, versatility in reconfiguration, high efficiency through small-capacity submodules (SMs), and robust control capabilities. A failure of a power electronics converter could result in disruptions in the flow of electrical power, which could have severe consequences for people and equipment relying on it. Thus, the reliability of power electronics converters is critical to maintaining the reliability of the electrical power system. Two well-known methodologies, the military handbook (MIL) and the more recent FIDES, can be used to evaluate the MMC's reliability. Both methods consider various factors to estimate the component's failure rate, resulting in different reliability parameters. In this paper, the reliability of the MMC is estimated using both methods, and the results are compared for standby and active redundancy strategies. Lastly, a generalized cost form that considers operational cost, capital cost, redundancy strategies, reliability methods (MIL and FIDES), and the MMC's annual average loading is presented.","cost; failure rate; FIDES; MIL handbook; MMC; redundancy; reliability","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","DC systems, Energy conversion & Storage","","",""
"uuid:276ebf09-6adb-4b83-bfa8-4e27c2da5988","http://resolver.tudelft.nl/uuid:276ebf09-6adb-4b83-bfa8-4e27c2da5988","Mapping biotic and abiotic seafloor habitat characteristics with multi-spectral multi-beam backscatter data","Mestdagh, S.M.F. (TU Delft Aircraft Noise and Climate Effects); Bai, Q. (TU Delft Aircraft Noise and Climate Effects); Snellen, M. (TU Delft Control & Operations)","","2023","Detailed knowledge of both the sedimentological and ecological characteristics of the seafloor is essential when undertaking bottom-disturbing activities, but can be a challenge to obtain. Through backscatter data at different frequencies, collected with a multi-spectral multi-beam sonar, information on the structure of both the sediment surface and subsurface, and potentially also on the presence and distribution of benthic organisms, can be derived. We conducted two surveys at sea in summer 2021, in which we used an R2Sonic 2026 multi-spectral multi-beam sonar in the southern North Sea. Boxcore samples were taken to gather information on macrobenthos densities and sediment characteristics. The two studied areas were found to differ in seafloor morphology and correspondingly in the composition of the sediment composition and benthos distribution. Backscatter strength was used to classify the seafloor via the Bayesian method and via hierarchical clustering of angular variation. Relationships between the classification results for three frequencies and sediment and ecological variables were studied through redundancy analysis (RDA), for which hierarchical clustering of the angular variation in backscatter strength showed a higher model fit than Bayesian classification. We found that the density of the sand mason worm Lanice conchilega and percentages of dead shells, gravel and sand contributed most to the backscatter-based classification, with lower contributions of the percentages of mud and living bivalves. Our results suggest that acoustic backscatter can be used to delineate distinct seafloor regions, corresponding with concurrent gradients in ecological and sedimentological variables.","backscatter; benthos; multi-beam; multi-spectral; sediment characteristics","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","Control & Operations","Aircraft Noise and Climate Effects","","",""
"uuid:e4fe1a0e-c5b0-4f77-af0f-4ea349f8e0f2","http://resolver.tudelft.nl/uuid:e4fe1a0e-c5b0-4f77-af0f-4ea349f8e0f2","Resonant Magnetoelastic Coupling between Magnetic Vortex and Lattice Breathing Modes","Bondarenko, A.V. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft; National Academy of Sciences of Ukraine); Kounalakis, M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft; Rheinisch-Westfälische Technische Hochschule); Kusminskiy, Silvia Viola (Rheinisch-Westfälische Technische Hochschule; Max Planck Institute for the Science of Light); Bauer, G.E. (TU Delft QN/Bauer Group; Tohoku University; Kavli Institute for Theoretical Sciences; University of Chinese Academy of Sciences); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft)","","2023","Optical photons are ideal carriers for long-distance transmission, while state-of-the-art quantum processors, such as supercon-ducting qubits, operate at microwave frequencies. An important requirement for networked quantum computation is therefore the ability to coherently convert the quantum information from microwave to optical frequencies and vice-versa. We theoretically address a scheme to achieve this via an intermediate conversion to magnons that enhances the weak direct magneto-optical coupling. We wish to demonstrate the feasibility of such a scheme by employing the magnetoelastic coupling between the modes of a magnetic vortex (vortex breathing mode, VBM) and that of the lattice (elastic breathing mode, EBM), which requires no additional external bias field. In our setup all but the opto-mechanical coupling can be made resonant. We propose an alternative Mumax3 simulation post-processing procedure for semi-classical normalization, where we use regression analysis of the the internal energy dependency on excitation amplitude in a limit cycle motion. We provide estimates for direct resonant coupling between the VBM and the EBM.","breathing mode; magnetic vortex; magnetostriction; nanomagnetism","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","QN/Blanter Group","","",""
"uuid:19477322-7c3d-4736-8c92-5116c5b735bb","http://resolver.tudelft.nl/uuid:19477322-7c3d-4736-8c92-5116c5b735bb","Multi-Trace Multi-Screen Methods for Mixed Transmission/Scattering Problems","Cools, K. (Universiteit Gent); Urzúa-Torres, Carolina (TU Delft Numerical Analysis)","","2023","In this contribution a novel fast-converging integral equation method is introduced that can be used to solve the mixed transmission/scattering problems by composite structures including multiple domains and metallic coatings that can contain junctions. This is achieved by combining the global multi-trace method with the quotient space discretisation of the multi-screen boundary integral equation. The method is illustrated by means of a sufficiently general geometry, the discretisation is discussed, and an effective Calderon multiplicative preconditioner is introduced. Numerical results corroborate the correctness and efficiency of the method.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-07","","","Numerical Analysis","","",""
"uuid:5a93f427-df88-4734-b7a8-38605cbee71c","http://resolver.tudelft.nl/uuid:5a93f427-df88-4734-b7a8-38605cbee71c","Bandwidth Characterization of c-Si Solar Cells as VLC Receiver under Colored LEDs","Zhou, Y. (TU Delft Photovoltaic Materials and Devices); Ibrahim, Aya (Student TU Delft); Muttillo, M. (TU Delft Photovoltaic Materials and Devices); Manganiello, P. (TU Delft Photovoltaic Materials and Devices); Ziar, H. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","This paper presents the fundamental study on the relationship between LED light sources and the performance of solar cell as receivers in visible light communication (VLC) links. Here, different colors of LEDs are modulated with a sinusoidal signal, and the bandwidth of the VLC system based on various c-Si PV architectures is characterized at different bias voltages. The preliminary results show that the bandwidth of such VLC system is highly influenced by the bias voltage, where higher bias voltage leads to lower bandwidth. This means that there is a trade-off between energy harvesting and communication performance when solar cells are used as VLC receivers. Meanwhile, we also observed that the bandwidth decreases as the LED irradiance level increases, and the color of LED (currently red and blue LEDs are characterized) does not pose a significant impact on the bandwidth. The highest bandwidth is found at 50 kHz for a VLC system using a 6-in TOPCON solar cell under 100 W/m2 with 100 mV bias voltage.","visible light communication (VLC); solar cells; LED colors; Photovoltatronics","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-01","","","Photovoltaic Materials and Devices","","",""
"uuid:69e1c1f3-9049-432f-acb1-e40b8b65184f","http://resolver.tudelft.nl/uuid:69e1c1f3-9049-432f-acb1-e40b8b65184f","Rethinking the Trigger-injecting Position in Graph Backdoor Attack","Xu, J. (TU Delft Cyber Security); Abad, Gorka (Radboud Universiteit Nijmegen; Ikerlan research centre); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen)","","2023","Backdoor attacks have been demonstrated as a security threat for machine learning models. Traditional backdoor attacks intend to inject backdoor functionality into the model such that the backdoored model will perform abnormally on inputs with predefined backdoor triggers and still retain state-of-the-art performance on the clean inputs. While there are already some works on backdoor attacks on Graph Neural Networks (GNNs), the backdoor trigger in the graph domain is mostly injected into random positions of the sample. There is no work analyzing and explaining the backdoor attack performance when injecting triggers into the most important or least important area in the sample, which we refer to as trigger-injecting strategies MIAS and LIAS, respectively. Our results show that, generally, LIAS performs better, and the differences between the LIAS and MIAS performance can be significant. Furthermore, we explain these two strategies’ similar (better) attack performance through explanation techniques, which results in a further understanding of backdoor attacks in GNNs.","backdoor attack; trigger-injecting position; graph neural networks","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-02","","","Cyber Security","","",""
"uuid:7594321d-8642-4244-9716-328c914572a1","http://resolver.tudelft.nl/uuid:7594321d-8642-4244-9716-328c914572a1","GLM-Regularized Low-Rank Factorization For Extracting Functional Response From Swept-3D Functional Ultrasound","Erol, A. (TU Delft Signal Processing Systems); Generowicz, Bastian (Erasmus MC); Kruizinga, P. (Erasmus MC); Hunyadi, Borbala (TU Delft Signal Processing Systems)","","2023","Functional ultrasound (fUS) is an emerging neuroimaging modality that indirectly measures neural activity by detecting fluctuations in local blood dynamics. fUS acquisitions typically rely on the use of a 1D array transducer, which records hemodynamic activity in a single plane. A new technique named swept-3D fUS imaging obtains a full 3D volume of the brain by continuously moving a 1D array back-and-forth over the volume of interest. The standard procedure in fUS imaging involves filtering and averaging a number of ultrasound frames obtained at a single location to compute power-Doppler images, yet, in case of swept-3D fUS, the location of the recorded slice shifts at each time instant due to probe motion. In this work, we aim at discovering task-relevant components from 3D fUS data while taking into account the spatiotemporal differences in adjacent slices. We propose an alternating optimization scheme with general liner model-based regularization, and validate our method on swept-3D fUS data by identifying active regions and time traces within the mouse brain during a visual experiment.","3D functional ultrasound; brain; mouse; regularized factorization","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-02","","","Signal Processing Systems","","",""
"uuid:da2c45eb-3ef7-42f3-8b6c-a7a65474fb35","http://resolver.tudelft.nl/uuid:da2c45eb-3ef7-42f3-8b6c-a7a65474fb35","A Co-simulation Procedure for Optimal Reactive Power Control in Active Distribution Networks","Tricarico, Gioacchino (Polytechnic University of Bari); Wagle, Raju (The Arctic University of Norway; University of Tromsø); Martinez, Jesus Castro (Carlos III University of Madrid); Gonzalez-Longatt, Francisco (University of Exeter); Dicorato, Maria (Polytechnic University of Bari); Forte, Giuseppe (Polytechnic University of Bari); Rueda, José L. (TU Delft Intelligent Electrical Power Grids)","","2023","Distribution grids are subject to a drastic evolution in their operating conditions due to the high integration of renewable energy resources (RES) and their ability to regulate voltage. To cope with this issue, modern solar photovoltaic (PV) systems are equipped with smart inverters enabled with communication capabilities that allow the coordinated operation to offer services such as controlling voltage by appropriately setting the reactive power production. This paper proposes a co-simulation framework for smart converter reactive power control in active distribution grids. The proposed framework is used to appropriately control smart inverters installed in PV systems to inject/withdrew reactive power ensuring voltage control at the time that minimises active power losses of the active distribution grid (ADG). The proposed approach has been tested in a modified version of the Kumamoto distribution system. The suitability of the proposed framework has been demonstrated.","Co-simulation; differential evolution; hosting capacity; reactive power control; smart inverters","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-03","","","Intelligent Electrical Power Grids","","",""
"uuid:16bdf0f4-24d1-4f33-bcbe-a44ea44e9a45","http://resolver.tudelft.nl/uuid:16bdf0f4-24d1-4f33-bcbe-a44ea44e9a45","Effect of Passivation and Mechanical Constraint on Electromigration in Interconnect","Cui, Z. (TU Delft Electronic Components, Technology and Materials); Fan, X. (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","In this paper, we apply the Eshelby's solution to study the effect of passivation layer on electromigration (EM) failure in a conductor. The passivation layer is considered as an elastic material, not a rigid layer anymore. Thus, the deformation and stress evolution in the conductor during EM are related to the mechanical property of the passivation layer. One-dimensional (1D) analytical solution for the passivated conductor is obtained. The numerical results show that the conductor covered with the stiffer passivation layer has much less EM damage. And the steady-state solution shows that the magnitude of (jL)c increases with increasing Young's modulus of passivation material. The present study provides a way to predict the EM performances taking into account various passivation materials.","coupling theory; electromigration; Eshelby's solution; passivation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-03","","","Electronic Components, Technology and Materials","","",""
"uuid:a124953d-7e22-41af-9e72-e4c7f08ae9ff","http://resolver.tudelft.nl/uuid:a124953d-7e22-41af-9e72-e4c7f08ae9ff","A Thin-film Reconfigurable SiC Thermal Test Chip for Reliability Monitoring in Harsh Environments","Sattari, R. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Wide bandgap (WBG) semiconductor technologies enable significant progress in the emergence of power modules. Power cycling at elevated temperatures causes crack or delamination failure, especially at the die-attached bonded interface in the long term. Therefore, the in-situ reliability investigation of power modules, materials, and semiconductor packages is of great significance for modern industries. The silicon carbide's higher bandgap energy, intrinsic thermal conductivity, and mechanical strength make it a great candidate for the next generation of semiconductor, designed to operate in harsh conditions. In this study, a thin-film reconfigurable silicon carbide (SiC) thermal test chip (TTC) is designed and fabricated for reliability assessment in harsh environments. The proposed TTC realizes in-situ power/thermal cycling tests at elevated temperatures as well as characterization of novel materials such as nanoparticle-based sintering materials in die-attach technology and high-temperature-compatible epoxy molding compounds. The chip is equipped with thin-film platinum microheaters to realize modular power mappings, and platinum resistive temperature detectors (RTD) to examine the thermal reliability by monitoring the precise changes of the internal junction-to-case thermal resistance.","bandgap energy; die-attach; in-situ reliability investigation; junction-to-case thermal resistance; nanoparticle-based sintering; power cycling; power modules; resistive temperature detectors; thermal conductivity; Wide bandgap semiconductor technology","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-03","","","Electronic Components, Technology and Materials","","",""
"uuid:4952bd91-34e9-4690-a89a-22acce3343dd","http://resolver.tudelft.nl/uuid:4952bd91-34e9-4690-a89a-22acce3343dd","A Low-Complexity Digital Predistortion Technique for Digital I/Q Transmitters","Beikmirza, M.R. (TU Delft Electronics); de Vreede, L.C.N. (TU Delft Electronics); Alavi, S.M. (TU Delft Electronics)","","2023","This paper presents an advanced yet simple digital pre-distortion (DPD) technique for digital I/Q transmitters (DTXs). Exploiting the I/Q orthogonality, an effective 2×1-D DPD procedure is proposed to bypass the exhaustive 2-D search of the entire constellation diagram. Utilizing this technique, a four-way Doherty DTX is linearized. Measurement results demonstrate that for a non-contiguous six-carrier OFDM-QAM signal with aggregated bandwidth of 150MHz, the ACPR is better than -47.3dBc, and EVM is better than -41/-40dB for channel-1/-6, respectively.","constellation; Digital pre-distortion; digital transmitter; DPD; mapping; RF-DAC","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-04","","","Electronics","","",""
"uuid:334f5d9a-68de-4ae9-951a-a8fd40c78215","http://resolver.tudelft.nl/uuid:334f5d9a-68de-4ae9-951a-a8fd40c78215","NanoFlowNet: Real-time Dense Optical Flow on a Nano Quadcopter","Bouwmeester, Rik J. (Student TU Delft); Paredes-Vallés, Federico (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation)","","2023","Nano quadcopters are small, agile, and cheap platforms that are well suited for deployment in narrow, cluttered environments. Due to their limited payload, these vehicles are highly constrained in processing power, rendering conventional vision-based methods for safe and autonomous navigation incompatible. Recent machine learning developments promise high-performance perception at low latency, while dedicated edge computing hardware has the potential to augment the processing capabilities of these limited devices. In this work, we present NanoFlowNet, a lightweight convolutional neural network for real-time dense optical flow estimation on edge computing hardware. We draw inspiration from recent advances in semantic segmentation for the design of this network. Additionally, we guide the learning of optical flow using motion boundary ground truth data, which improves performance with no impact on latency. Validation results on the MPI-Sintel dataset show the high performance of the proposed network given its constrained architecture. Additionally, we successfully demonstrate the capabilities of NanoFlowNet by deploying it on the ultra-low power GAP8 microprocessor and by applying it to vision-based obstacle avoidance on board a Bitcraze Crazyflie, a 34 g nano quadcopter.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Control & Simulation","","",""
"uuid:415500ce-2915-4162-9a37-7ea124756701","http://resolver.tudelft.nl/uuid:415500ce-2915-4162-9a37-7ea124756701","Autonomous Control for Orographic Soaring of Fixed-Wing UAVs","Suys, T. (TU Delft Control & Operations); Hwang, S. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation); Remes, B.D.W. (TU Delft Control & Simulation)","","2023","We present a novel controller for fixed-wing UAVs that enables autonomous soaring in an orographic wind field, extending flight endurance. Our method identifies soaring regions and addresses position control challenges by introducing a target gradient line (TGL) on which the UAV achieves an equilibrium soaring position, where sink rate and updraft are balanced. Experimental testing validates the controller's effectiveness in maintaining autonomous soaring flight without using any thrust in a non-static wind field. We also demonstrate a single degree of control freedom in a soaring position through manipulation of the TGL.","au-tonomous control; orographic soaring; UAV; wind hovering","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","Control & Operations","Control & Simulation","","",""
"uuid:bbb70857-5bd0-4e18-9a5e-1ab498afaefd","http://resolver.tudelft.nl/uuid:bbb70857-5bd0-4e18-9a5e-1ab498afaefd","PA Output Power and Efficiency Enhancement Across the 2:1 VSWR Circle using Static Active Load Adjustment","Singh, G.D. (TU Delft Electronics); Nemati, Hossein Mashad (Huawei Technologies); Alavi, S.M. (TU Delft Electronics); de Vreede, L.C.N. (TU Delft Electronics)","","2023","This paper proposes a power amplifier (PA) correction technique to recover from load mismatch. It utilizes a main PA, two auxiliary PAs, and a coupler. By adjusting the input drive levels of the PAs it can recover the output power and to a great extent the efficiency of the main PA even when exposed to 2:1 VSWR mismatch conditions. When connected to 50O loading, only the main PA is active, for impedances below or above 50 O, besides the main amplifier, one of the auxiliary PAs is also activated. The power generated by the auxiliary PA adds in phase to the output power of the main PA, as such allowing the output power to be constant at the expense of a minor efficiency penalty.","Coupler; Gallium Nitrite (GaN); HEMT; Power Amplifier; VSWR","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Electronics","","",""
"uuid:75c3f28d-c3b0-4b29-b1a5-5dee7e293c6c","http://resolver.tudelft.nl/uuid:75c3f28d-c3b0-4b29-b1a5-5dee7e293c6c","AvoidBench: A high-fidelity vision-based obstacle avoidance benchmarking suite for multi-rotors","Yu, H.Y. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation); de Wagter, C. (TU Delft Control & Simulation)","","2023","Obstacle avoidance is an essential topic in the field of autonomous drone research. When choosing an avoidance algorithm, many different options are available, each with their advantages and disadvantages. As there is currently no consensus on testing methods, it is quite challenging to compare the performance between algorithms. In this paper, we propose AvoidBench, a benchmarking suite which can evaluate the performance of vision-based obstacle avoidance algorithms by subjecting them to a series of tasks. Thanks to the high fidelity of multi-rotors dynamics from RotorS and virtual scenes of Unity3D, AvoidBench can realize realistic simulated flight experiments. Compared to current drone simulators, we propose and implement both performance and environment metrics to reveal the suitability of obstacle avoidance algorithms for environments of different complexity. To illustrate AvoidBench's usage, we compare three algorithms: Ego-planner, MBPlanner, and Agile-autonomy. The trends observed are validated with real-world obstacle avoidance experiments. Code is available at: https://github.com/tudelft/AvoidBench","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Control & Simulation","","",""
"uuid:d8d0069d-6847-43bc-955e-8521b50d26a0","http://resolver.tudelft.nl/uuid:d8d0069d-6847-43bc-955e-8521b50d26a0","Embedding a Long Short-Term Memory Network in a Constraint Programming Framework for Tomato Greenhouse Optimisation","van Bokkem, Dirk (Delphy B.V.); van den Hemel, Max (Delphy B.V.); Dumančić, S. (TU Delft Algorithmics); Yorke-Smith, N. (TU Delft Algorithmics)","Williams, Brian (editor); Chen, Yiling (editor); Neville, Jennifer (editor)","2023","Increasing global food demand, accompanied by the limited number of expert growers, brings the need for more sustainable and efficient horticulture. The controlled environment of greenhouses enable data collection and precise control. For optimally controlling the greenhouse climate, a grower not only looks at crop production, but rather aims at maximising the profit. However this is a complex, long term optimisation task. In this paper, Constraint Programming (CP) is applied to task of optimal greenhouse economic control, by leveraging a learned greenhouse climate model through a CP embedding. In collaboration with an industrial partner, we demonstrate how to model the greenhouse climate with an LSTM model, embed this LSTM into a CP optimisation framework, and optimise the expected profit of the grower. This data-to-decision pipeline is being integrated into a decision support system for multiple greenhouses in the Netherlands.","","en","conference paper","American Association for Artificial Intelligence (AAAI)","","","","","","","2024-02-05","","","Algorithmics","","",""
"uuid:5cf905c3-af99-493c-9c84-dd66f244e88f","http://resolver.tudelft.nl/uuid:5cf905c3-af99-493c-9c84-dd66f244e88f","Modeling and Inference of Sparse Neural Dynamic Functional Connectivity Networks Underlying Functional Ultrasound Data","Wijnands, R. (TU Delft Signal Processing Systems); Dauwels, J.H.G. (TU Delft Signal Processing Systems); Serra, Ines (Erasmus MC); Kruizinga, P. (Erasmus MC); Badura, Aleksandra (Erasmus MC); Hunyadi, Borbala (TU Delft Signal Processing Systems)","","2023","Functional ultrasound (fUS) is a novel neuroimaging technique that measures brain hemodynamics through a time series of Doppler images. The measured spatiotemporal hemodynamic changes reflect changes in neural activity through the neurovascular coupling (NVC). Often, such image time series is used to analyze dynamic functional connectivity (dFC) by directly computing a connectivity metric between the measured hemodynamic signals, ignoring the functional connectomics of underlying neural populations. This work proposes a novel fUS signal model, consisting of a hidden Markov model (HMM) cascaded with a convolutive model, that captures how fUS signals arise from a generative perspective while incorporating high-level biological functioning of neural populations. Consequently, the developed model enables inference of functional connectivity networks, being co-activation patterns (CAPs) of neural populations. Our results show that our methods can identify biologically plausible networks of functional connectivity. Furthermore, this method captures a difference in brain dynamics between wild-type and ${Shank2}^{-/-}$ mouse mutants.","co-activation patterns; deconvolution; Dynamic functional connectivity; functional ultrasound; hidden Markov models","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Signal Processing Systems","","",""
"uuid:aa798a29-690f-4388-b692-bc17fb270780","http://resolver.tudelft.nl/uuid:aa798a29-690f-4388-b692-bc17fb270780","Combining Runtime Monitoring and Machine Learning with Human Feedback","Lukina, A. (TU Delft Algorithmics)","Williams, Brian (editor); Chen, Yiling (editor); Neville, Jennifer (editor)","2023","State-of-the-art machine-learned controllers for autonomous systems demonstrate unbeatable performance in scenarios known from training. However, in evolving environments-changing weather or unexpected anomalies-, safety and interpretability remain the greatest challenges for autonomous systems to be reliable and are the urgent scientific challenges. Existing machine-learning approaches focus on recovering lost performance but leave the system open to potential safety violations. Formal methods address this problem by rigorously analysing a smaller representation of the system but they rarely prioritize performance of the controller. We propose to combine insights from formal verification and runtime monitoring with interpretable machine-learning design for guaranteeing reliability of autonomous systems.","","en","conference paper","American Association for Artificial Intelligence (AAAI)","","","","","","","2024-02-05","","","Algorithmics","","",""
"uuid:1466a92f-6321-47fe-af57-879916a8a080","http://resolver.tudelft.nl/uuid:1466a92f-6321-47fe-af57-879916a8a080","Grammar-Based Evolutionary Fuzzing for JSON-RPC APIs","Veldkamp, L.S. (Student TU Delft); Olsthoorn, Mitchell (TU Delft Software Engineering); Panichella, A. (TU Delft Software Engineering)","","2023","Web Application Programming Interfaces (APIs) allow systems to be addressed programmatically and form the backbone of the internet. RESTful and RPC APIs are among the most common API architectures used. In the last decades, researchers have proposed various techniques for automated testing of RESTful APIs, however, to the best of the authors' knowledge there exists no work on testing JSON-RPC (one of the two data formats supported by RPC) APIs. To address this limitation, we propose a grammar-based evolutionary fuzzing approach for testing JSON-RPC APIs that uses a novel black-box heuristic. Specifically, we use a diversity-based fitness function based on hierarchical clustering to quantity the differences in API method responses. Our hypothesis is that responses that are unlike previously seen ones are an indication that new uncovered code paths are reached. We evaluate our approach on the XRP ledger, a large-scale industrial blockchain system that uses JSON-RPC APIs. Our results show that the proposed approach performs significantly better than the baseline (grammar-based fuzzer) and covers an additional 240 branches.","Search-based Software Engineering; Fuzzing; Test Case Generation; API Testing; Hierarchical Clustering","en","conference paper","IEEE / ACM","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Software Engineering","","",""
"uuid:44972319-b624-470a-8ea6-fa758ac6cec3","http://resolver.tudelft.nl/uuid:44972319-b624-470a-8ea6-fa758ac6cec3","The (ab)use of Open Source Code to Train Large Language Models","Al-Kaswan, A. (TU Delft Software Engineering); Izadi, M. (TU Delft Software Engineering)","","2023","In recent years, Large Language Models (LLMs) have gained significant popularity due to their ability to generate human-like text and their potential applications in various fields, such as Software Engineering. LLMs for Code are commonly trained on large unsanitized corpora of source code scraped from the Internet. The content of these datasets is memorized and emitted by the models, often in a verbatim manner. In this work, we will discuss the security, privacy, and licensing implications of memorization. We argue why the use of copyleft code to train LLMs is a legal and ethical dilemma. Finally, we provide four actionable recommendations to address this issue.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Software Engineering","","",""
"uuid:9f002d6e-cd23-4f43-ab46-2b2c14866d73","http://resolver.tudelft.nl/uuid:9f002d6e-cd23-4f43-ab46-2b2c14866d73","Heterogeneous Integration of Diamond Heat Spreaders for Power Electronics Application","Martin, H.A. (Chip Integration Technology Center (CITC)); Reintjes, Marcia (Mintres B.V.); Reijs, Dave (Chip Integration Technology Center (CITC)); Dorrestein, Sander (Chip Integration Technology Center (CITC)); Kengen, Martien (Chip Integration Technology Center (CITC)); Libon, Sebastien (Chip Integration Technology Center (CITC)); Smits, Edsger (Chip Integration Technology Center (CITC)); Tang, Xiao (Mintres B.V.); Koelink, Marco (Chip Integration Technology Center (CITC)); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Integrated Circuits and Electronic Modules experience concentrated thermal hot spots, which require advanced thermal solutions for effective distribution and dissipation of heat. The superior thermal properties of diamonds are long known, and it is an ideal material for heat-spreading applications. However, growing diamond films to the electronic substrate require complex processing at high temperatures. This research investigates a heterogeneous method of integrating diamond heat spreaders during the back-end packaging process. The semiconductor substrate and the heat spreader thicknesses were optimized based on simulations to realize a thermally enhanced Power Quad-Flat No-Lead package. The performance of the thermally enhanced PQFN was assessed by monitoring the temperature distribution across the active device surface and compared to a standard PQFN (without a heat spreader). Firstly, the thermally enhanced PQFN indicated a 9.6% reduction in junction temperature for an input power of 6.6W with a reduced thermal gradient on the active device surface. Furthermore, the diamond heat spreader's efficiency was observed to increase with increasing power input. Besides, the reliability of the thermally enhanced PQFN was tested by thermal cycling from -55°C to 150°C, which resulted in less than 2% thermal degradation over two-hundred cycles. Such choreographed thermal solutions are proven to enhance the packaged device's performance, and the superior thermal properties of the diamond are beneficial to suffice the increasing demand for high power.","Advanced packaging; Advanced thermal solutions; CVD Diamonds; Thermal Test Chips","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Electronic Components, Technology and Materials","","",""
"uuid:d115c5ba-f671-4713-9513-a0c7c5abf7f1","http://resolver.tudelft.nl/uuid:d115c5ba-f671-4713-9513-a0c7c5abf7f1","Retrain AI Systems Responsibly! Use Sustainable Concept Drift Adaptation Techniques","Poenaru-Olaru, L. (TU Delft Software Engineering); Sallou, J. (TU Delft Software Engineering); Cruz, Luis (TU Delft Software Engineering); Rellermeyer, Jan S. (TU Delft Data-Intensive Systems; Leibniz Universität); van Deursen, A. (TU Delft Software Technology)","","2023","Deployed machine learning systems often suffer from accuracy degradation over time generated by constant data shifts, also known as concept drift. Therefore, these systems require regular maintenance, in which the machine learning model needs to be adapted to concept drift. The literature presents plenty of model adaptation techniques. The most common technique is periodically executing the whole training pipeline with all the data gathered until a particular point in time, yielding a massive energy footprint. In this paper, we propose a research path that uses concept drift detection and adaptation to enable sustainable AI systems.","concept drift adaptation; sustainable model maintenance; sustainable model retraining","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","Software Technology","Software Engineering","","",""
"uuid:38aa5658-5ac0-4af1-9148-6059e7da86b0","http://resolver.tudelft.nl/uuid:38aa5658-5ac0-4af1-9148-6059e7da86b0","Practical Verifiable & Privacy-Preserving Double Auctions","Memar Zahedani, Armin (Student TU Delft); Vos, J.V. (TU Delft Cyber Security); Erkin, Z. (TU Delft Cyber Security)","","2023","Double auctions are procedures to trade commodities such as electricity or parts of the wireless spectrum at optimal prices. Buyers and sellers inform the auctioneer what quantity they want to buy or sell at specific prices. The auctioneer aggregates these offers into demand and supply curves and finds the intersection representing the optimal price. In this way, commodities exchange owners in an economically-efficient manner. Ideally, the auctioneer is a trusted third party that does not abuse the information they gain. However, the offers reveal sensitive information about the traders, which the auctioneer may use for economic gain as insider information. These concerns are not theoretical; investigations against auctioneers in electricity and advertisement auctions for manipulating auctions are ongoing. These concerns call for solutions that conduct double auctions in a privacy-preserving and verifiable way. However, current solutions are impractical: To the best of our knowledge, the only solutions satisfying these properties require full interaction of all participants. In this work, we design a more practical solution. We propose the first privacy-preserving and verifiable double auction scheme that does not require traders to interact actively, tailored to electricity trading on (inter)national exchanges. Our solution relies on homomorphic encryption, commitments, and zero-knowledge proofs. In a simulated auction with 256 traders, we observe that traders take up to 10 seconds to generate their order, the auctioneer takes 10 seconds to verify an order, and the auction result is computed and verified in 30 seconds. We extrapolate these results to larger auctions to show the practical potential.","Homomorphic Encryption; Periodic Double Auctions; Privacy; Zero-knowledge Proofs","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Cyber Security","","",""
"uuid:fb249e73-6d68-4ed9-a7f0-dd77f59212b4","http://resolver.tudelft.nl/uuid:fb249e73-6d68-4ed9-a7f0-dd77f59212b4","STACC: Code Comment Classification using SentenceTransformers","Al-Kaswan, A. (TU Delft Software Engineering); Izadi, M. (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Technology)","","2023","Code comments are a key resource for information about software artefacts. Depending on the use case, only some types of comments are useful. Thus, automatic approaches to clas-sify these comments have been proposed. In this work, we address this need by proposing, STACC, a set of SentenceTransformers- based binary classifiers. These lightweight classifiers are trained and tested on the NLBSE Code Comment Classification tool competition dataset, and surpass the baseline by a significant margin, achieving an average Fl score of 0.74 against the baseline of 0.31, which is an improvement of 139%. A replication package, as well as the models themselves, are publicly available.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-29","","Software Technology","Software Engineering","","",""
"uuid:5c09430d-79a4-460b-a176-7931ba278188","http://resolver.tudelft.nl/uuid:5c09430d-79a4-460b-a176-7931ba278188","Comparative analysis of the dynamic amplifications due to inhomogeneities at railway transition zones","Jain, A. (TU Delft Dynamics of Structures); van Dalen, K.N. (TU Delft Dynamics of Structures); Metrikine, A. (TU Delft Offshore Engineering; TU Delft Engineering Structures); Faragau, Andrei B. (TU Delft Dynamics of Structures); Steenbergen, M.J.M.M. (TU Delft Mechanics and Physics of Structures)","Pombo, J. (editor)","2023","ransition zones in railway tracks experience strong amplification of stress and strain fields due to the passage of train over inhomogeneity. The inhomogeneity in these zones can be attributed to changes in mechanical properties of material along the longitudinal direction of the track, and to displacement/traction discontinuities at interfaces leading to an amplified response in railway transition zones (TZ) with respect to the open tracks. In this paper, different kinds of inhomogeneities are considered in isolation and in combination to study the effects on railway track components in transition zone. The first type of inhomogeneity considered is non-uniformity of materials at various levels of the track along the longitudinal direction. The second type of inhomogeneity that will be considered arises from displacement and traction discontinuities at the interface of soil and structure and at the interface of sleepers and ballast (hanging sleepers). The results provide necessary insight for the design of effective mitigation measures to prevent the amplified response in railway TZ.","railway transition zones; finite element analysis; track components; stress amplification","en","conference paper","Civil-Comp Press","","","","","","","","","Engineering Structures","Dynamics of Structures","","",""
"uuid:284b17af-71ee-4e79-b75e-0cc3b9ce6079","http://resolver.tudelft.nl/uuid:284b17af-71ee-4e79-b75e-0cc3b9ce6079","Optimizing ML Inference Queries Under Constraints","Li, Z. (TU Delft Web Information Systems); Sun, W. (TU Delft Web Information Systems); Hai, R. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence); Katsifodimos, A (TU Delft Web Information Systems)","","2023","The proliferation of pre-trained ML models in public Web-based model zoos facilitates the engineering of ML pipelines to address complex inference queries over datasets and streams of unstructured content. Constructing optimal plan for a query is hard, especially when constraints (e.g. accuracy or execution time) must be taken into consideration, and the complexity of the inference query increases. To address this issue, we propose a method for optimizing ML inference queries that selects the most suitable ML models to use, as well as the order in which those models are executed. We formally define the constraint-based ML inference query optimization problem, formulate it as a Mixed Integer Programming (MIP) problem, and develop an optimizer that maximizes accuracy given constraints. This optimizer is capable of navigating a large search space to identify optimal query plans on various model zoos.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-25","","","Web Information Systems","","",""
"uuid:0449bade-c218-438f-85bd-e68fc9c088c1","http://resolver.tudelft.nl/uuid:0449bade-c218-438f-85bd-e68fc9c088c1","Mitigating Mainstream Bias in Recommendation via Cost-sensitive Learning","Li, Roger Zhe (TU Delft Multimedia Computing); Urbano, Julián (TU Delft Multimedia Computing); Hanjalic, A. (TU Delft Intelligent Systems)","","2023","Mainstream bias, where some users receive poor recommendations because their preferences are uncommon or simply because they are less active, is an important aspect to consider regarding fairness in recommender systems. Existing methods to mitigate mainstream bias do not explicitly model the importance of these non-mainstream users or, when they do, it is in a way that is not necessarily compatible with the data and recommendation model at hand. In contrast, we use the recommendation utility as a more generic and implicit proxy to quantify mainstreamness, and propose a simple user-weighting approach to incorporate it into the training process while taking the cost of potential recommendation errors into account. We provide extensive experimental results showing that quantifying mainstreamness via utility is better able at identifying non-mainstream users, and that they are indeed better served when training the model in a cost-sensitive way. This is achieved with negligible or no loss in overall recommendation accuracy, meaning that the models learn a better balance across users. In addition, we show that research of this kind, which evaluates recommendation quality at the individual user level, may not be reliable if not using enough interactions when assessing model performance.","bias mitigation; mainstream bias; recommender systems","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","Intelligent Systems","Multimedia Computing","","",""
"uuid:f592cf54-f8b7-49c5-9b69-dc8816a799ee","http://resolver.tudelft.nl/uuid:f592cf54-f8b7-49c5-9b69-dc8816a799ee","Influence of moisture content on the assessment of decay levels by micro-drilling measurements in wooden foundation piles","Mirra, M. (TU Delft Bio-based Structures & Materials); Pagella, G. (TU Delft Bio-based Structures & Materials); Gard, W.F. (TU Delft Bio-based Structures & Materials); Ravenshorst, G.J.P. (TU Delft Bio-based Structures & Materials); van de Kuilen, J.W.G. (TU Delft Bio-based Structures & Materials; Technische Universität München)","Nyrud, Anders Q. (editor); Malo, Kjell Arne (editor); Nore, Kristine (editor); Alsen, Knut Werner Lindeberg (editor); Tulebekova, Saule (editor); Staehr, Efthymia Ratsou (editor); Bergh, Gabrielle (editor); Wuyts, Wendy (editor)","2023","In the historic city centre of Amsterdam (NL), the most widespread foundation system consists of wooden piles. With the aim of modelling and predicting remaining service life of these foundations and the piles in particular, one of the possible methods for collecting data and monitoring their condition consists of micro-drilling (MD) measurements. This work evaluates the reliability of MD measurements in identifying decayed portions and specific features of wooden foundation piles, considering different moisture content (MC) values. To this end, 24 segments were selected, sawn from wooden piles extracted from site, and having time in service (TS) of 2 to 294 years (with reference to 2021, the year of extraction). 240 MD measurements were conducted at varying MC values of 7% to 212%. The obtained MD profiles showed for all TS a slight decrease in drilling resistance when increasing MC. However, from the MD signals it is possible to reliably detect the areas affected by biodegradation phenomena (e.g. bacterial decay) along the drilling depth, regardless the MC of the segment or its gradient along the drilling depth. The present study contributes to research aiming at utilizing (in-situ) MD techniques for reliably assessing and quantifying decay and to be used in remaining service life planning of wooden foundation piles.","Wooden foundation piles; Micro-drilling; Moisture content; Biodegradation; Service life prediction","en","conference paper","World Conference on Timber Engineering (WCTE)","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:d4277b7d-3cd7-43da-ae6c-30be3aa33b6b","http://resolver.tudelft.nl/uuid:d4277b7d-3cd7-43da-ae6c-30be3aa33b6b","Weaving Textile-form Interfaces: A Material-Driven Design Journey","Buso, A. (TU Delft Emerging Materials); McQuillan, H.L. (TU Delft Materials and Manufacturing); Voorwinden, M. (TU Delft Materials and Manufacturing); Karana, E. (TU Delft Emerging Materials; Avans University of Applied Sciences)","","2023","A woven textile-form is a form that is constructed simultaneously as the textile is woven. Interfaces designed with this approach hold undisclosed potential for rich interactions. However, the design of woven textile-form interfaces requires specialised tacit knowledge, which is limited even in craft and practice spaces; and it is therefore inaccessible to HCI designers. To bridge this gap, we present the material-driven journey of a multidisciplinary team to design a woven textile-form interface using various techniques such as paper models and diagrams to design for multi-layer weaving. Replacing traditional yarns with conductive yarn, we achieved woven textile-forms with electronic sensing capabilities. By outlining our process, the pictorial highlights the challenges and opportunities of textile-form thinking for HCI designers. Additionally, its printed version serves as a ‘paper prototyping tool’ for designers to gain hands-on experience developing textile-form interfaces.","Textile-form Interfaces; weaving; HCI textiles; Material-Driven Design; design tools; prototyping","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-10","","","Emerging Materials","","",""
"uuid:1b398fb2-d8b1-423e-bddd-08e50867a59b","http://resolver.tudelft.nl/uuid:1b398fb2-d8b1-423e-bddd-08e50867a59b","Optimal Decision Tree Policies for Markov Decision Processes","Vos, D.A. (TU Delft Cyber Security); Verwer, S.E. (TU Delft Cyber Security)","Elkind, Edith (editor)","2023","Interpretability of reinforcement learning policies is essential for many real-world tasks but learning such interpretable policies is a hard problem. Particularly, rule-based policies such as decision trees and rules lists are difficult to optimize due to their non-differentiability. While existing techniques can learn verifiable decision tree policies, there is no guarantee that the learners generate a policy that performs optimally. In this work, we study the optimization of size-limited decision trees for Markov Decision Processes (MPDs) and propose OMDTs: Optimal MDP Decision Trees. Given a user-defined size limit and MDP formulation, OMDT directly maximizes the expected discounted return for the decision tree using Mixed-Integer Linear Programming. By training optimal tree policies for different MDPs we empirically study the optimality gap for existing imitation learning techniques and find that they perform sub-optimally. We show that this is due to an inherent shortcoming of imitation learning, namely that complex policies cannot be represented using size-limited trees. In such cases, it is better to directly optimize the tree for expected return. While there is generally a trade-off between the performance and interpretability of machine learning models, we find that on small MDPs, depth 3 OMDTs often perform close to optimally.","","en","conference paper","International Joint Conferences on Artificial Intelligence (IJCAI)","","","","","","","","","","Cyber Security","","",""
"uuid:ced68f69-a0cc-4ec3-a654-ee4947a24331","http://resolver.tudelft.nl/uuid:ced68f69-a0cc-4ec3-a654-ee4947a24331","Validation of different Eulerian and Lagrangian solvers of aerosol dispersion in indoor spaces","Hobeika, N. (TU Delft Urban Data Science); Garcia Sanchez, C. (TU Delft Urban Data Science); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","The corona pandemic accelerated a lot of studies about aerosol dispersion and different aerosol-generating tasks ranging in intensity from sneezing to breathing. Both measurements and numerical simulations were used to understand the behaviour of aerosols. For numerical simulations, Computational Fluid Dynamic (CFD) simulations were used; however, the set-up of cases varied between studies. Different solvers, methods, turbulence models and steadiness are used depending on the scope and aim of each study. The aim of this study is to compare different set-ups and solvers and validate them against measurements conducted in the Senselab at the Delft University of Technology. The purpose is to find the best approach that balances between accuracy and computational cost to use afterwards in ventilation design decision-making. Consequently, we set up several numerical cases with different levels of complexities (e.g.: eulerian-eulerian to eulerian-lagrangian, including/excluding temperature and relative humidity, steady/unsteady). We then compare those cases to the experiments of a breathing manikin in the Senselab. The performance of each case is determined depending on how well it predicts aerosol dispersion and the run time cost.","CFD; validation; aerosols","en","conference paper","","","","","","","","","","","Urban Data Science","","",""
"uuid:a174322d-07f8-47bd-b534-1e61baa8c4f1","http://resolver.tudelft.nl/uuid:a174322d-07f8-47bd-b534-1e61baa8c4f1","Clustering students based on their acoustical-related preferences of study places","Hamida, A.B. (TU Delft Environmental & Climate Design); Eijkelenboom, A.M. (TU Delft Environmental & Climate Design); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","Students are exposed to various environmental stimuli at their home study places. However, different students have different preferences in terms of indoor environmental quality (IEQ) aspects and psychosocial aspects of these places. A previous study on students' preferences of their study places resulted in nine profiles based on their IEQ and psychosocial preferences of their study places. It was found that there are profiles that were not highly concerned with sounds at their study places, while other profiles are concerned about sounds. Accordingly, this present study aims at clustering students based on their acoustical-related preferences of their study places. A questionnaire survey was completed by 451 first-year bachelor students at the Faculty of Architecture and the Built Environment at TU Delft. TwoStep cluster analysis was performed, and five unique profiles were identified. These are: 1) sound extremely concerned introvert, 2) sound unconcerned introvert, 3) sound partially concerned introvert, 4) sound concerned extrovert, and 5) sound unconcerned extrovert. The outcomes of this study showed that TwoStep cluster analysis facilitate researchers to better understand the different profiles of students based on their acousticalrelated preferences in study places.","Acoustical-related preferences; psychosocial preferences; TwoStep cluster analysis; study places","en","conference paper","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:ddf91ed3-6a20-4a26-91c9-98f62379c685","http://resolver.tudelft.nl/uuid:ddf91ed3-6a20-4a26-91c9-98f62379c685","Understanding the indoor environment, its occupants, interactions, and effects","Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","Indoor environmental quality is still described with quantitative dose-related indicators, expressed in number and/or ranges of numbers for each of the factors (indoor air, lighting, acoustics, and thermal aspects). Interactions of stressors and effects at and between human and environment level are ignored. Individual differences in needs and preferences of occupants (over time) are not accounted for. We need a more complex research model and other indicators than the dose-related indicators used in our guidelines: building-related and occupant-related indicators.","indoor environmental quality; diseases and disorders; interactions, preferences and needs; stressors","en","conference paper","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:69264137-4587-47a9-a557-942dd88effe3","http://resolver.tudelft.nl/uuid:69264137-4587-47a9-a557-942dd88effe3","Macaroni: Crawling and Enriching Metadata from Public Model Zoos","Li, Z. (TU Delft Web Information Systems); Hai, R. (TU Delft Web Information Systems); Katsifodimos, A (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2023","Machine learning (ML) researchers and practitioners are building repositories of pre-trained models, called model zoos. These model zoos contain metadata that detail various properties of the ML models and datasets, which are useful for reporting, auditing, reproducibility, and interpretability. Unfortunately, the existing metadata representations come with limited expressivity and lack of standardization. Meanwhile, an interoperable method to store and query model zoo metadata is missing. These two gaps hinder model search, reuse, comparison, and composition. In this demo paper, we advocate for standardized ML model metadata representation, proposing Macaroni, a metadata search engine with toolkits that support practitioners to obtain and enrich that metadata.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-25","","","Web Information Systems","","",""
"uuid:4186ffbd-3151-4cd9-8ff7-6f05728a0eee","http://resolver.tudelft.nl/uuid:4186ffbd-3151-4cd9-8ff7-6f05728a0eee","Supporting Children’s Metacognition with a Facial Emotion Recognition based Intelligent Tutor System","Ruan, Xingran (University of Edinburgh); Palansuriya, Charaka (University of Edinburgh); Constantin, Aurora (University of Edinburgh); Tsiakas, K. (TU Delft Human Information Communication Design)","","2023","The present study aims to investigate the relationship between emotions experienced during learning and metacognition in typically developing (TD) children and those with autism spectrum disorder (ASD). This will assist us in using machine learning (ML) to develop a facial emotion recognition (FER) based intelligent tutor system (ITS) to support children’s metacognitive monitoring process in order to enhance their learning outcomes. In this paper, we first report the results of our preliminary research, which utilized an ML-based FER algorithm to detect four spontaneous epistemic emotions (i.e., neutral, confused, frustrated, and boredom) and six spontaneous basic emotions (i.e., anger, disgust, fear, happiness, sadness, and surprise). Subsequently, we adapted an application (‘BrainHood’) to create the ‘Meta-BrainHood’, that embedded our proposed ML-based FER algorithm to examine the relationship between facial emotion expressions and metacognitive monitoring performance in TD children and those with ASD. Finally, we outline the future steps in our research, which adopts the outcomes of the first two steps to construct an ITS to improve children’s metacognitive monitoring performance and learning outcomes.","facial emotion recognition; Intelligent tutor system; learning outcomes; metacognitive monitoring process","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-19","","","Human Information Communication Design","","",""
"uuid:7110874a-a227-4407-a35e-7f78e4b2d8b8","http://resolver.tudelft.nl/uuid:7110874a-a227-4407-a35e-7f78e4b2d8b8","Adaptive Distributed Streaming Similarity Joins","Siachamis, G. (TU Delft Web Information Systems); Psarakis, K. (TU Delft Web Information Systems); Fragkoulis, M. (Delivery Hero SE); Papapetrou, Odysseas (Eindhoven University of Technology); van Deursen, A. (TU Delft Software Technology); Katsifodimos, A (TU Delft Web Information Systems)","Pasin, Marcelo (editor)","2023","How can we perform similarity joins of multi-dimensional streams in a distributed fashion, achieving low latency? Can we adaptively repartition those streams in order to retain high performance under concept drifts? Current approaches to similarity joins are either restricted to single-node deployments or focus on set-similarity joins, failing to cover the ubiquitous case of metric-space similarity joins. In this paper, we propose the first adaptive distributed streaming similarity join approach that gracefully scales with variable velocity and distribution of multi-dimensional data streams. Our approach can adaptively rebalance the load of nodes in the case of concept drifts, allowing for similarity computations in the general metric space. We implement our approach on top of Apache Flink and evaluate its data partitioning and load balancing schemes on a set of synthetic datasets in terms of latency, comparisons ratio, and data duplication ratio","","en","conference paper","","","","","","","","","","Software Technology","Web Information Systems","","",""
"uuid:d3912853-0178-40ba-9735-d3699107c9cf","http://resolver.tudelft.nl/uuid:d3912853-0178-40ba-9735-d3699107c9cf","Developing health indicators for composite structures based on a two-stage semi-supervised machine learning model using acoustic emission data","Moradi, M. (TU Delft Structural Integrity & Composites); Chiachío, Juan (Universidad de Granada; University of Granada); Zarouchas, D. (TU Delft Structural Integrity & Composites)","","2023","Composite structures are highly valued for their strength-to-weight ratio, durability, and versatility, making them ideal for a variety of applications, including aerospace, automotive, and infrastructure. However, potential damage scenarios like impact, fatigue, and corrosion can lead to premature failure and pose a threat to safety. This highlights the importance of monitoring composite structures through structural health monitoring (SHM) and prognostics and health management (PHM) to ensure their safe and reliable operation. SHM provides information on the current state of the structure, while PHM predicts its future behavior and determines necessary maintenance. Health indicators (HIs) play a crucial role in both SHM and PHM, providing information on structural health and behavior, but accurate determination of these indicators can be challenging due to the complexity of material behavior and multiple sources of damage in composite structures. In the present work, a model containing a developed adaptive standardization, a dimension reduction sub-model, a time-independent sub-model, and a time-dependent sub-model is introduced to address this challenge. First, the raw data collected by the acoustic emission technique monitoring composite structures under fatigue loading is processed to provide plenty of statistical features. The extracted features are adaptively standardized according to the available data until the current time. Then, the principal component analysis algorithm is employed to reconstruct a few yet highly informative features out of those statistical features. An artificial neural network is used to regress the principal components to the HI that meets the prognostic criteria. Finally, the last sub-model takes into account the time dependency of HI values during fatigue loading. In comparison to other models, the results show superior performance.","Prognostic and Health Management (PHM); Structural Health Monitoring (SHM); Intelligent health indicator; Artificial Intelligence (AI); Composite structures; Acoustic Emission; Semi-supervised Learning; Adaptive standardization; Dimension Reduction; Bayesian Optimization; Deep learning (DL); Fatigue behavior evaluation; Fatigue assessment; Impact damage","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-08","","","Structural Integrity & Composites","","",""
"uuid:973e77eb-89b4-4568-bcb2-645b38503258","http://resolver.tudelft.nl/uuid:973e77eb-89b4-4568-bcb2-645b38503258","Learning state machines from data streams: A generic strategy and an improved heuristic","Baumgartner, R. (TU Delft Cyber Security); Verwer, S.E. (TU Delft Cyber Security)","Coste, Francois Coste (editor); Ouardi, Faissal (editor); Rabusseau, Guillaume (editor)","2023","State machines models are models that simulate the behavior of discrete event systems, capable of representing systems such as software systems, network interactions, and control systems, and have been researched extensively. The nature of most learning algorithms however is the assumption that all data be available at the begining of the algorithm, and little research has been done in learning state machines from streaming data. In this paper, we want to close this gap further by presenting a generic method for learning state machines from data streams, as well as a merge heuristic that uses sketches to account for incomplete prefix trees. We implement our approach in an open-source state merging library and compare it with existing methods. We show the effectiveness of our approach with respect to run-time, memory consumption, and quality of results on a well known open dataset.State machines models are models that simulate the behavior of discrete event systems, capable of representing systems such as software systems, network interactions, and control systems, and have been researched extensively. The nature of most learning algorithms however is the assumption that all data be available at the begining of the algorithm, and little research has been done in learning state machines from streaming data. In this paper, we want to close this gap further by presenting a generic method for learning state machines from data streams, as well as a merge heuristic that uses sketches to account for incomplete prefix trees. We implement our approach in an open-source state merging library and compare it with existing methods. We show the effectiveness of our approach with respect to run-time, memory consumption, and quality of results on a well known open dataset.
The Oost III blocks comprise many different types of housing, which ranged from two to five rooms, and include also a large collective unit for mentally handicapped. Of these, the smallest housing are the so-called ‘HAT’ units, a novelty in response to the initiative launched in 1975 by the state secretary of Volkshuisvesting en Ruimtelijke ordening, to deal with the problem of affordable housing for singles or pairs, in the nota ‘Huisvesting Alleenstaanden en Tweepersoonshuishoudens’. This paper addresses the design of the Oost III in relation to the ‘HAT’ units: could the smallest units offer another lecture on this section of IJ-plein?
The domesticity of the ‘HAT’ units, of their materiality and their subjects, shows the extent to which they challenge the inherent meanings and understanding of housing architecture when the family is no longer the norm, with all that this entails. Here, ‘unexpected’ domesticity refers to the concept of ‘housing the unpredictable’, in other words, the multiple possible realities of home life. This concept is explored at the different scales of the Oost III urban section: the ‘HAT’ housing unit; the interior spaces of the blocks that connect these units, such as the access system; and the exterior spaces of this urban plan. For an ever-changing society, a particular reinterpretation of modern precedents embraced the new.","","en","conference paper","Delft University of Technology","","","","","","","","","","Space & Type","","",""
"uuid:bf71314e-dadb-4d8a-bbe7-9a4f33b12dcd","http://resolver.tudelft.nl/uuid:bf71314e-dadb-4d8a-bbe7-9a4f33b12dcd","Challenges with sea ice action on structuresfor Offshore wind","Høyland, Knut V. (Norwegian University of Science and Technology (NTNU)); Nord, Torodd S. (Norwegian University of Science and Technology (NTNU)); Hendrikse, H. (TU Delft Offshore Engineering); Tuhkuri, Jukka (Aalto University); Polojärvi, A.S. (Aalto University); von Bock und Polach, Franz (Hamburg University of Technology); Heinonen, Jaakko (VTT Technical Research Center of Finland); Eik, Kenneth Johannessen (EquinorEnergy ASA); Teigen, Sigurd Henrik (EquinorEnergy ASA)","","2023","EU urgently needs to increase the development of secure and green energy, and this includes renewables such as Offshore wind energy. An expansion of Offshore wind will include the Baltic where sea ice is one of the major uncertainties. To ensure that the wind turbines are safe for people and the environment, while keeping them economically competitive betterguidelines and regulations should be developedcollaboratively by European industry and academia. There are unsolved challenges with respect to ice action on structures for offshore wind. However, in the current draft for Horizon Europe WorkProgramme 2023-2024 on Climate, Energy and Mobility1, the challenges related to sea ice with regards toOffshore wind energy are not mentioned. In order to meet the crucial green energy goals, it is our statement that it is imperative to include sea ice in the final version.","Full-scale data; Ice actions; Offshore Wind; Standards","en","conference paper","","","","","","","","","","","Offshore Engineering","","",""
"uuid:99132619-6703-46f9-9a69-f7afb538ea3e","http://resolver.tudelft.nl/uuid:99132619-6703-46f9-9a69-f7afb538ea3e","CMIP6 wave climate simulation in the European North East Atlantic Basin using WaveWatch III","Maya, P. (TU Delft Offshore Engineering); Alday Gonzalez, M.F. (TU Delft Offshore Engineering); Metrikine, A. (TU Delft Offshore Engineering); Lavidas, G. (TU Delft Offshore Engineering)","","2023","Climate change is expected to have an impact on wind patterns, and therefore the generation of waves. Phase 6 of the Coupled Model Intercomparison Project (CMIP6), provides various realization of outputs integrated global coupled models for different centuries. Wind quality is a cornerstone for wave energy as it is the primary generation driver in any wave model. Therefore, proper quantification of wind wave interactions are key in the evaluation of future wave energy potential. In this study, a wave hindcast for the North-East Atlantic, using the WaveWatchIII model forced by CMIP6 winds is presented. The model uses a grid of 0.25° of spatial resolution, covering a longitude range of -21.0° to 10° (west to east) and a latitude range of 18° to 80° (south to north).
The main objective of this work is to assess the quality of historical winds from all the CMIP6 wind data that are available under the first realization criteria (r1i1p1f1) at the time of this study. This leads to understanding limitations and proposing a selection method to choose the optimal wind dataset to force the wave model within the analyzed area.
Thus, the optimal CMIP6 historical winds for the North-East Atlantic are used to create a 10 years hindcast(from 2003 to 2012). To further assess the suitability of the selected winds dataset for wave generation, results are compared with the ERA5 wave product. The available CMIP6 models show region-specific variations depending on the Regional Climate models used for their developments. The results show the impact of zonal and, meridional wind intensities, on wave characteristics in different regions over the domain.","WaveWatchIII; CMIP6; Wind; Climate","en","conference paper","EWTEC","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-02","","","Offshore Engineering","","",""
"uuid:1b73ff3a-75c1-46d0-8997-4642426867ab","http://resolver.tudelft.nl/uuid:1b73ff3a-75c1-46d0-8997-4642426867ab","Numerical modelling of a box-type and bottom-detached oscillating water column wave energy conversion device: a comparison with experimental data and between BEM and CFD numerical modelling","Raghavan, V. (TU Delft Offshore Engineering); Simonetti, Irene (University of Florence); Lavidas, G. (TU Delft Offshore Engineering); Metrikine, A. (TU Delft Offshore Engineering); Cappietti, Lorenzo (University of Florence)","","2023","Utilization of Boundary Element Method (BEM) based on linear potential flow for modelling Oscillating Water Column (OWC) devices has gained popularity in the last two decades. The commercial BEM solver WAMIT has been used widely for modelling OWCs and validated using experimental modelling (Delauré et. al. 2003, Bingham et. al. 2015, Faÿ 2020). The open-source BEM solver NEMOH has however been mostly ineffective in modelling OWCs since the main approach adopted previously modelled the imaginary piston as a thin disk. In this research, the multi-body interaction problem has been adopted in modelling a box-type and bottom-detached OWC device in NEMOH, where the imaginary piston has been modelled to the length of the internal water column (Penalba et.al. 2017) and compared with experimental data. A further comparison is drawn with the numerical method of Computational Fluid Dynamics (CFD) , which has shown to be accurate for modelling OWC devices (Simonetti et. al. 2015), yet requires significantly higher computational resources than BEM. A two-dimensional CFD numerical wave tank, developed generating and absorbing waves with the waves2Foam toolbox (Jacobsen et al., 2012) of the open-source package OpenFOAM, is used for comparative purposes.","wave energy; oscillating water colum; BEM; CFD; EU-SCORES","en","conference paper","EWTEC","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-02","","","Offshore Engineering","","",""
"uuid:c03ac8df-96b3-4829-945e-f5439bd3942f","http://resolver.tudelft.nl/uuid:c03ac8df-96b3-4829-945e-f5439bd3942f","Integration of wave energy into Energy Systems: an insight to the system dynamics and ways forward","Lavidas, G. (TU Delft Offshore Engineering); Delgado Elizundia, F. (TU Delft Hydraulic Engineering); Blok, K. (TU Delft Energie and Industrie)","","2023","Wave energy is a rich and highly accessible renewable energy resource, that has largely been under-developed. Studies from the sector have tried to show the potential of benefits wave energy in “simple cases” or via small hybrid systems, the large scale incorporation of wave energy has not yet been fully investigated. Our approach uses a fully dynamic climate driven energy system model, which has undergone modifications to include wave energy converters and their associated dependencies.
This study explores the system dynamics and important elements that will be used for large scale wave energy integration; in a fully coupled European Energy System. We explore the cost pathways of different wave energy converters, the impact of climate data, and the impact of transmission capacity expansion under cost-optimal configurations of a multi-renewable European power system. From this preliminary approach we aim to provide the boundary conditions, and assumptions that will govern the integration of wave energy into the European Energy System up to 2050.","","en","conference paper","EWTEC","","","","","","","2024-03-02","","Hydraulic Engineering","Offshore Engineering","","",""
"uuid:96502b8e-19e9-435b-8bb6-1fd88a5088c7","http://resolver.tudelft.nl/uuid:96502b8e-19e9-435b-8bb6-1fd88a5088c7","Analysis of the North Atlantic offshore energy flux from different reanalysis and hindcasts","Alday Gonzalez, M.F. (TU Delft Offshore Engineering); Raghavan, V. (TU Delft Offshore Engineering); Lavidas, G. (TU Delft Offshore Engineering)","","2023","To date there is a wide range of wave reanalysis and hindcasts available to the scientific and engineering community which are commonly used for different applications, including downscaling or the estimation of the wave energy resource (Morim et al., 2022). These long datasets have been created using different combinations of forcing fields, physical parameterizations, and numerical choices (like spatial and spectral resolution). All these elements have a direct effect on the accuracy of the wave models’ output (e.g., Alday et al., 2021) and thus, they are one of the main reasons for the differences between these products. In the present study we analyze the significant wave heights and peak periods characteristics from a selection of global datasets. We additionally include results from a hindcast created using the WAVEWATCH III model, with adjustments specially aimed to reduce uncertainties of the wave energy resource along the Atlantic coasts of Europe. Models’ output is compared with buoys and altimeter data from the latest ESA (European Space Agency) CCI Sea State V3 product. Preliminary validation of the hindcast we have generated for the North Atlantic already show an important bias reduction for wave heights in the 2.5 to 11.5 range compared to ERA5 wave product. Using the relevant wave parameters, we estimate the power density and quantify the differences between databases. Then, based on scatter diagrams obtained from the joint distributions of significant wave height and peak period, the differences in the power captured by wave energy converters (WEC) related to different wave data sources will be quantified (e.g., Babarit et al., 2011; Henriques et al., 2016).","Hincast; wave energy; WAVEWATCH III; power production","en","conference paper","EWTEC","","","","","","","2024-03-02","","","Offshore Engineering","","",""
"uuid:2015f0bb-6425-4392-9e3e-191fa22d1953","http://resolver.tudelft.nl/uuid:2015f0bb-6425-4392-9e3e-191fa22d1953","Feasibility of wave energy harvesting in the Ligurian Sea","Corrales-Gonzalez, Manuel (University of Genoa); Lavidas, G. (TU Delft Offshore Engineering); Besio, Giovanni (University of Genoa)","","2023","A series of short and mid-term guidelines have been established due to the pursuit to offer clean energy and reduce the environmental impact in the Mediterranean and European environment. Currently, the scientific community and the industrial sector promote to find new technologies and means to achieve these regulations. Efforts to provide sustainable ways to supply electricity in Italy have led to the exploration of marine renewable energies (MRE) in the Mediterranean Sea. In particular, in the Ligurian Sea, where the wave climate can provide one of the higher energy sources, represents an optimal opportunity for supplying this energy resource to coastal cities. However, the wave conditions are not as significant as those in other marine regions around the world. There are several devices currently developed which can be applicable to the region. Hence, an evaluation from a technical and economic perspective is advised. Additionally we also investigate the scaling and survival considerations for Wave Energy Converters (WECs) when facing extreme storm events. The proposed study offers the evaluation of a sustainable alternative for powering the electricity mix in the Liguria region, through the exploitation of the wave energy resource. Attractive findings emerge after the assessment of eight floating-body wave energy converters.","Wave energy harvesting; cost of energy; Marine renewable energy; Mediterranean Sea","en","conference paper","EWTEC","","","","","","","2024-03-02","","","Offshore Engineering","","",""
"uuid:9d669e9e-ae4b-4de0-ab5c-9620352bc673","http://resolver.tudelft.nl/uuid:9d669e9e-ae4b-4de0-ab5c-9620352bc673","Quality Assurance Awareness in Open Source Software Projects on GitHub","Zaidman, A.E. (TU Delft Software Engineering); Khatami, Ali (TU Delft Software Engineering)","Moonen, Leon (editor); Newman, Christian (editor); Gorla, Alessandra (editor)","2023","Software engineers employ a variety of approaches to ensure the quality of software systems, including software testing, modern code review, automated static analysis, build automation, and continuous integration. To make effective decisions regarding quality assurance (QA), software engineers need to have an awareness of (1) the QA approaches that are in use in a project, and (2) how they are used. Through an exploratory, mixed-methods investigation we set out to better understand the awareness of software engineers in open-source software (OSS) development with regard to QA practices. This involved a largescale survey of 471 maintainers and contributors on GitHub. Our findings indicate that a high-level awareness among the respondents is common, but also that the respondents are less certain about how the practices are adopted; we further consider the perspective of both the contributor and the maintainer.","Software Quality Assurance; Open Source Software (OSS); Software Engineering; Software Testing; Code Review; Continuous Integration; Automation Workflows; GitHub","en","conference paper","IEEE Computer Society - Conference Publishing Services","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Software Engineering","","",""
"uuid:dea1b92c-46ef-4500-8daf-6cf8f953e39a","http://resolver.tudelft.nl/uuid:dea1b92c-46ef-4500-8daf-6cf8f953e39a","An Emotion-Adaptive VR Experience for Recreational Use in Eldercare","Raja, A. (TU Delft Internet of Things); Schneegass, C. (TU Delft Human Information Communication Design); Niforatos, E. (TU Delft Internet of Things)","Stolze, Markus (editor); Loch, Frieder (editor); Baldauf, Matthias (editor); Alt, Florian (editor); Schneegass, Christina (editor); Kosch, Thomas (editor); Hirzle, Teresa (editor); Sadeghian, Shadan (editor); Draxler, Fiona (editor); Bektas, Kenan (editor); Lohan, Katrin (editor); Knierim, Pascal (editor)","2023","Virtual Reality (VR) technology provides the elderly, and people with dementia, the opportunity to reminisce by exploring places outside their (care) home, free from age-related (physical) restrictions. However, the elderly are particularly vulnerable to overstimulation. Irresponsible VR design can cause stress and anxiety, potentially even exacerbating cognitive decline, and diminishing well-being. We present an electromyography (EMG) driven stress- and emotion-adaptive VR environment for the elderly that provides an immersive but controlled experience targeted at preventing negative emotions. We report our results and insights from a pilot study with elderly participants (N=3). Our system detects and mitigates signs of stress and negative emotions while promoting pleasant recollections.","adaptive; elderly; stress and emotion sensing; virtual reality","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-03","","","Internet of Things","","",""
"uuid:a9e4a3de-3ad2-4e17-8889-0d670d6f4caa","http://resolver.tudelft.nl/uuid:a9e4a3de-3ad2-4e17-8889-0d670d6f4caa","Closed-loop simulation testing of a probabilistic DR framework for Day Ahead Market participation applied to Battery Energy Storage Systems","van der Heijden, T.J.T. (TU Delft Water Resources); Palensky, P. (TU Delft Electrical Sustainable Energy); van de Giesen, N.C. (TU Delft Water Resources); Abraham, E. (TU Delft Water Resources)","","2023","In this manuscript, we test the operational performance decrease of a probabilistic framework for Demand Response (DR). We use Day Ahead Market (DAM) price scenarios generated by a Combined Quantile Regression Deep Neural Network (CQR-DNN) and a Non-parametric Bayesian Network (NPBN) to maximise profit of a Battery Energy Storage System (BESS) participating on the DAM for energy arbitrage. We apply the generated forecast time series to a stochastic Model Predictive Control (MPC), and compare the performance using a point and perfect forecast. For the probabilistic forecasts, we test two control strategies; 1) minimising the Conditional Value at Risk (CVaR) for making costs, and 2) minimising the expected value of the cost. We apply the MPC in a closed-loop simulation setting and perform a sensitivity analysis of the profit by changing the ratio between battery capacity and the max power, the cluster reduction method, and the number of scenarios used by the MPC. We show that the proposed framework works, but the approach does not increase profit compared to a deterministic point forecast. This can possibly be explained by the deterministic forecast capturing the shape of the price curve with less noise than a probabilistic forecast without enough scenarios. We show that the value of a good forecast becomes smaller as the charging time of the battery becomes larger, due to the battery being unable to exploit small price differences optimally.","Demand Response; probabilistic forecasting; scenario generation; stochastic programming; battery energy storage systems; day ahead market","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-01","","Electrical Sustainable Energy","Water Resources","","",""
"uuid:61588ae9-bbba-4afe-85ab-a6e277f1148f","http://resolver.tudelft.nl/uuid:61588ae9-bbba-4afe-85ab-a6e277f1148f","Probabilistic Prediction of Longitudinal Driving Behaviour for Driving Simulator Pre-Positioning","Eppink, J.M. (TU Delft Control & Simulation; BMW Group); Kolff, M.J.C. (TU Delft Control & Simulation; BMW Group); Venrooij, Joost (BMW Group); Pool, D.M. (TU Delft Control & Simulation); Mulder, Max (TU Delft Control & Simulation)","","2023","Due to the non-deterministic nature of longitudinal human driver behaviour, motion cueing algorithms currently cannot fully utilize the workspace of driving simulators. This paper explores the possibility of using various predictor variables to predict longitudinal driving behaviour. Through the development of a logistic regression model, it is shown that a combination of the current vehicle velocity, the speed limit eight seconds ahead and the accelerator pedal deflection yields the most accurate estimate of the probabilities that drivers will accelerate or decelerate. Based on these probabilities, a driving simulator was linearly pre-positioned in combination with a classical washout algorithm. The perceived motion incongruence was subjectively evaluated by the drivers (N = 34), testing: (i) no pre-positioning, (ii) pre-positioning, and (iii) pre-positioning with an increased longitudinal classical washout gain enabled by the pre-positioning. Results show that the pre-positioning improves the margins with respect to the longitudinal workspace limits (better workspace management), without affecting the motion incongruence ratings. When using the increased margins to increase the longitudinal gain, however, no significant reduction in motion incongruence ratings was observed. This is likely due to the small motion space of the hexapod motion system used in the current study. However, this paper shows that longitudinal driving behaviour can be accurately predicted and can enable improved workspace utilization for driving simulators.","Motion cueing; behaviour prediction; pre-positioning; workspace management","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-01","","","Control & Simulation","","",""
"uuid:828b21f0-d32a-4e61-9b4f-88a1a2ade6d6","http://resolver.tudelft.nl/uuid:828b21f0-d32a-4e61-9b4f-88a1a2ade6d6","Driving Simulator Experiment Stakeholder Perspectives on Motion Cueing Algorithm Quality","Kolff, M.J.C. (TU Delft Control & Simulation; BMW Group); Venrooij, Joost (BMW Group); Pool, D.M. (TU Delft Control & Simulation); Mulder, Max (TU Delft Control & Simulation)","","2023","In driving simulation, the choice of a simulator, motion cueing algorithm, and associated set of tuning parameters for an experiment is typically made with an exclusive focus on the quality of the motion. In practice, many other metrics could affect this choice as well, such as tuning complexity, algorithm stability, or the financial costs of the simulation. Arguably, the complete motion cueing algorithm quality is thus more than the quality of the motion alone. This paper presents results of a survey which attempted to identify the most important metrics from the perspective of the main experiment stakeholders. Four stakeholder groups in typical driving simulator experi- ments are defined: The experimenters, motion cueing engineers, operators, and participants. All groups received the same survey, asking them to indicate how important various metrics are for them. Results show that, next to the quality of the motion, experimenters and participants are generally interested in reducing simulator sickness. The motion cueing engineers rank tuning effort and tuning complexity as most important metrics. Operators prefer an easy to use and overall stable motion cueing. A typical BMW experiment is discussed as example, which shows that the choice for a simulator and motion cueing algorithm can indeed differ when including these metrics in a trade-off, compared to when only motion quality is considered. The presented methods allow for a better, multi- faceted selection of the simulator, motion cueing algorithm, and associated tuning parameters, improving future driving simulation experiments.","Motion cueing; quality comparison; objective assessment; stakeholder survey","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-01","","","Control & Simulation","","",""
"uuid:f7d92caf-a3d0-4264-af57-625babc14b31","http://resolver.tudelft.nl/uuid:f7d92caf-a3d0-4264-af57-625babc14b31","Experimental Qualification of a Low-Noise Charge-Sensitive ROIC with Very High Time Resolution","Mohammad Zaki, A.R. (TU Delft Electronic Instrumentation); Nihtianova, S. (TU Delft Electronic Instrumentation)","","2023","Small charge detection is used for a wide range of applications: advanced industrial process control, experimental physics and space instruments, and material testing and medical imaging. These applications give rise to the development of a wide variety of charge-sensitive readout integrated circuits (ROICs). The trend in the state-of-the-art systems is to design low-noise and low-power readout electronics with a low detection error rate and small silicon area occupation, allowing the pixelization of the detector area. This paper presents the methodology and the test setup for the challenging experimental characterization of a state-of-the-art, high time-resolution, low-noise, power-efficient, charge-sensitive ROIC intended for counting single particles detected by a silicon PIN detector. The ROIC is designed to detect charge portions as small as 160 aC, with 0.14 mW power consumption. For every charge pulse of the detector, the ROIC generates voltage signals with a peak amplitude of 29.45 mV, a rise time of 2.56 ns, and an SNR above 20. Detailed information about the operation principle of this ROIC, designed in TSMC 40-nm MS/RF CMOS technology, is reported in a previous publication.","readout integrated circuit ROIC; charge-sensitive amplifier; low-noise; power-efficient; high time-resolution; wideband; data acquisition; pixel","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-01","","","Electronic Instrumentation","","",""
"uuid:b18364ff-354b-474d-adf5-26cb6d190197","http://resolver.tudelft.nl/uuid:b18364ff-354b-474d-adf5-26cb6d190197","Modelling individual motion sickness accumulation in vehicles and driving simulators","Kotian, V. (TU Delft Intelligent Vehicles); Pool, D.M. (TU Delft Control & Simulation); Happee, R. (TU Delft Intelligent Vehicles)","","2023","Users of automated vehicles will move away from being drivers to passengers, preferably engaged in other activities such as reading or using laptops and smartphones, which will strongly increase susceptibility to motion sickness. Similarly, in driving simulators, the presented visual motion with scaled or even without any physical motion causes an illusion of passive motion, creating a conflict between perceived and expected motion, and eliciting motion sickness. Given the very large differences in sickness susceptibility between individuals, we need to consider sickness at an individual level. This paper combines a group-averaged sensory conflict model (as in Wada, et al., 2020) with an individualized accumulation model (as in Irmak, et al., 2022; Irmak, Pool, and Happee, 2020; Oman, 1990) to capture individual differences in motion sickness susceptibility across various vision conditions. This consideration of the effect of vision is crucial in driving simulators where there is a strong contribution of visual cues. The model framework can be used to develop personalized models for users of automated vehicles and improve the design of new motion cueing algorithms for simulators. The feasibility and accuracy of this model framework are verified using two existing datasets with sickening conditions in 1) an experimental vehicle with and without outside vision (Irmak, Pool, and Happee, 2020), and 2) comparing vehicle experiments with corresponding driving simulator experiments (Talsma, et al., 2023). Both datasets involve passive motion, representative of being driven by an automated vehicle. The model is able to fit an individual’s motion sickness responses using only 2 parameters (gain K1 and time constant T1), as opposed to the 5 parameters in the original model. This ensures unique parameters for each individual. Better fits, on average by a factor of 1.7 (for Accum 2 model), of an individual’s motion sickness levels, are achieved as compared to using only the group-averaged model (Accum 0 model). Furthermore, this model framework demonstrates robustness by accurately modeling various datasets with distinct motion and vision conditions. Thus, we find that models predicting group-averaged sickness incidence cannot be used to predict sickness at an individual level. On the other hand, the proposed combined model approach predicts individual motion sickness levels and thus can be used to control sickness","Motion Sickness; Simulator Sickness; Modeling; Driving Simulators; Automated Vehicles","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-01","","","Intelligent Vehicles","","",""
"uuid:d35fe476-e12b-4a40-b0fa-a5e950d7d9bf","http://resolver.tudelft.nl/uuid:d35fe476-e12b-4a40-b0fa-a5e950d7d9bf","Micro-Climate Building Context Visualization: A pipeline for generating buildings’ environmental context maps using numerical simulation data","Mostafavi, F. (TU Delft History, Form & Aesthetics); Khademi, S. (TU Delft History, Form & Aesthetics)","Dokonal, Wolfgang (editor); Hirschberg, Urs (editor); Wurzer, Gabriel (editor); Wurzer, Gabriel (editor)","2023","Residential buildings are responsible for a considerable share of energy consumption and carbon emission. To decarbonize by 2050, as agreed in the Paris Climate Accord, immediate action for lowering the environmental impact of the building sector is needed. Environmental building design is a promising path, particularly during the early-stage design when design decisions are more impactful and long-lasting. One of the initial steps in the building design process is site assessment, during which the building context and environmental factors are to be evaluated. The surrounding environment plays a critical role in the building's energy performance and the thermal, visual, and acoustic comfort of its occupants. We choose quantitative approaches to study the complexity of the environmental design with respect to the building context by analyzing environmental cues embedded in architectural drawings that have been given less attention in previous studies. Nevertheless, disclosing site-specific geolocation data of buildings, more specifically residential type, is often challenging due to privacy issues. Therefore, there is a lack of context-related metadata in the current architectural datasets. Whereas simulation data are more available and provide a wealth of contextual information, however, it is less appealing for architects to interpret design patterns from extensive simulation figures. This research focuses on developing an interpretable visualization of the building’s micro-climate context from environmental simulation data without direct access to the geolocation of the site. The environmental context visualization is created from daylight, view, and noise from 3088 multifamily housing presented in the Swiss Buildings data set, merely based on available simulation data. The presented pipeline in this study facilitates the employment of existing simulation data in the built environment datasets while circumventing the concerns associated with geolocation data exposure. Further, the generated visualizations may be used to develop computer vision models for environmental assessments of building layout design.","Big Data; Building Context; Data Visualization; Decarbonizing; Environmental Design","en","conference paper","Education and research in Computer Aided Architectural Design in Europe","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-01","","","History, Form & Aesthetics","","",""
"uuid:532c7799-1a2c-4f15-95b6-c104588d9c3c","http://resolver.tudelft.nl/uuid:532c7799-1a2c-4f15-95b6-c104588d9c3c","Reizigersvoorkeuren voor (nieuwe vormen van) vooren natransport naar stations en OV-haltes","Geržinič, N. (TU Delft Transport and Planning); van Hagen, Mark (N.V. Nederlandse Spoorwegen); van Oort, N. (TU Delft Transport and Planning)","","2023","Het verduurzamen van de mobiliteitssector is een essentieel onderdeel van de transitie naar een klimaat- en CO2-neutrale samenleving. Voor verplaatsingen die te lang zijn voor actieve vervoerwijzen (lopen, fietsen, …), is het openbaar vervoer over het algemeen het meest duurzame alternatief voor reizigers. Met een hoge capaciteit en efficiënt gebruik van middelen is het ideaal voor het vervoeren van grote aantallen mensen over langere afstanden. Een zwakte echter bij het gebruik van het openbaar vervoer is het bekende first/last mile-probleem: voor- en natransport naar en van de halte of station. Gedeelde micromobiliteit kan hier een oplossing bieden.","","nl","conference paper","","","","","","","","","","","Transport and Planning","","",""
"uuid:8f79e961-ffed-4c2d-b453-ebd1ffe2c3da","http://resolver.tudelft.nl/uuid:8f79e961-ffed-4c2d-b453-ebd1ffe2c3da","A Telecom Infrastructure Compatible Quantum Link Using NV-centers","Stolk, A.J. (TU Delft QID/Hanson Lab; QuTech; Kavli institute of nanoscience Delft); van der Enden, K.L. (TU Delft QID/Hanson Lab; QuTech; Kavli institute of nanoscience Delft); Röhsner, M. (TU Delft QID/Hanson Lab; QuTech; Kavli institute of nanoscience Delft); te Raa, I. (TU Delft QID/Software Group; QuTech); Hagen, R.A.J. (TU Delft BUS/TNO STAFF; QuTech; TNO); Biemond, J.J.B. (TU Delft BUS/TNO STAFF; QuTech; TNO); Meskers, A.J.H. (TU Delft BUS/TNO STAFF; QuTech; TNO); Morits, J.P.J. (TU Delft BUS/TNO STAFF; QuTech; TNO); van Zwet, E.J. (TU Delft BUS/TNO STAFF; QuTech; TNO); Hanson, R. (TU Delft QID/Hanson Lab; TU Delft QN/Hanson Lab; QuTech; Kavli institute of nanoscience Delft)","","2023","We show the latest progress towards establishing a solid-state, metropolitan quantum link, consisting of two remote Nitrogen Vacancy (NV)-centers and a central measurement station. The entanglement is generated by converting single emitted photons to the same frequency in the telecom L-band, guiding them to a central beamsplitter, where a joint Bell-state measurement projects the NV-centre spins in an entangled state.","","en","conference paper","Optica Publishing Group (formerly OSA)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-31","","","QID/Hanson Lab","","",""
"uuid:75c07e94-6cc7-46a6-b68d-ad3c8edb921e","http://resolver.tudelft.nl/uuid:75c07e94-6cc7-46a6-b68d-ad3c8edb921e","EAVS: Edge-assisted Adaptive Video Streaming with Fine-grained Serverless Pipelines","Hou, Biao (Beijing Institute of Technology); Yang, Song (Beijing Institute of Technology); Kuipers, F.A. (TU Delft Networked Systems); Jiao, Lei (University of Oregon); Fu, Xiaoming (University of Göttingen)","","2023","Recent years have witnessed video streaming grad- ually evolve into one of the most popular Internet applications. With the rapidly growing personalized demand for real-time video streaming services, maximizing their Quality of Experience (QoE) is a long-standing challenge. The emergence of the server- less computing paradigm has potential to meet this challenge through its fine-grained management and highly parallel comput- ing structures. However, it is still ambiguous how to implement and configure serverless components to optimize video streaming services. In this paper, we propose EAVS, an Edge-assisted Adaptive Video streaming system with Serverless pipelines, which facilitates fine-grained management for multiple concurrent video transmission pipelines. Then, we design a chunk-level optimiza- tion scheme to address video bitrate adaptation. We propose a Deep Reinforcement Learning (DRL) algorithm based on Proximal Policy Optimization (PPO) with a trinal-clip mechanism to make bitrate decisions efficiently for better QoE. Finally, we implement the serverless video streaming system prototype and evaluate the performance of EAVS on various real-world network traces. Our results show that EAVS significantly improves QoE and reduces the video stall rate, achieving over 9.1% QoE improvement and 60.2% latency reduction compared to state- of-the-art solutions.","Video streaming; Serverless computing; Deep reinforcement learning; Quality of Experience","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-29","","","Networked Systems","","",""
"uuid:422b1ea4-f64e-421b-aacb-e85c819b2061","http://resolver.tudelft.nl/uuid:422b1ea4-f64e-421b-aacb-e85c819b2061","Plug and Power: Fingerprinting USB Powered Peripherals via Power Side-channel","Spolaor, Riccardo (Shandong University); Liu, Hao (Shandong University); Turrin, Federico (University of Padua); Conti, M. (TU Delft Cyber Security; University of Padua); Cheng, Xiuzhen (Shandong University)","","2023","The literature and the news regularly report cases of exploiting Universal Serial Bus (USB) devices as attack tools for malware injections and private data exfiltration. To protect against such attacks, security researchers proposed different solutions to verify the identity of a USB device via side-channel information (e.g., timing or electromagnetic emission). However, such solutions often make strong assumptions on the measurement (e.g., electromagnetic interference-free area around the device), on a device’s state (e.g., only at the boot or during specific actions), or are limited to one particular type of USB device (e.g., flash drive or input devices).In this paper, we present PowerID, a novel method to fingerprint USB peripherals based on their power consumption. PowerID analyzes the power traces from a peripheral to infer its identity and properties. We evaluate the effectiveness of our method on an extensive power trace dataset collected from 82 USB peripherals, including 35 models and 8 types. Our experimental results show that PowerID accurately recognizes a peripheral type, model, activity, and identity.","USB Security; Power Side-Channel; USB peripherals; Hardware fingerprinting","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-29","","","Cyber Security","","",""
"uuid:c1f01a72-da4f-4415-aee3-f30f97f6f80a","http://resolver.tudelft.nl/uuid:c1f01a72-da4f-4415-aee3-f30f97f6f80a","Towards Performative Woven Textile-form Interfaces","Buso, A. (TU Delft Emerging Materials); McQuillan, H.L. (TU Delft Materials and Manufacturing); Voorwinden, M. (TU Delft Materials and Manufacturing); Karana, E. (TU Delft Emerging Materials; Avans University of Applied Sciences, Den Bosch)","","2023","In this paper, we explore how textile-form thinking, i.e., the simultaneous design and construction of the textile and form, can be leveraged as a strategy to embrace and unlock the performative potential of woven interactive textiles to building towards more intuitive interactions with woven interactive textiles in our everyday. First, we designed and wove five textile-form interfaces, working as contact switches and sensors, with sensing capabilities and diverse performative qualities. Then, we investigated the action possibilities of the interfaces in an exploratory study. Grounded on the study's outcomes, we identified three design themes relative to the performativity of our woven textile-form interfaces. Finally, we derived practical design tactics that designers can apply to design for the performativity of woven textile-form interfaces.","Woven Textile-form Interfaces; Performativity; Textile-form thinking; E-textiles","en","conference paper","Design Research Society","","","","","","","","","","Emerging Materials","","",""
"uuid:9750fc4b-bcc1-4040-9841-c017080add67","http://resolver.tudelft.nl/uuid:9750fc4b-bcc1-4040-9841-c017080add67","Teaching 'how to sketch visual stories' to a professional audience: A Taxonomy of Visualisation Strategies","Hoftijzer, J.W. (TU Delft Human Information Communication Design); Carelsberg, H.M. (TU Delft Human Information Communication Design); Sypesteyn, M. (TU Delft Human Information Communication Design)","Buck, Lyndon (editor); Grierson, Hilary (editor); Bohemia, Erik (editor)","2023","There is a growing interest in the discipline of design sketching and drawing. Whereas its origin lies in the sketching and presenting of tangible (industrially designed) products, the discipline has, since approximately 2010, extended in various ways, along various dimensions. Various authors have addressed and discussed the most prominent change within the discipline since: the addition of so-called ‘story telling visuals’: sketches of processes, overviews, systems and e.g. journeys (Corremans and Mulder-Nijkamp 2019, Hoftijzer, Sypesteyn et al. 2020), also named ‘visual thinking’. In fact, sketching as a means of communication has grown across discipline borders, and, consequently, the activity of sketching for communication enjoys a growing group of actors and audience these days. The authors, being sketching practitioners and teachers, have been developing sketching course content aligned to this, both for the extending discipline (Bachelor and Master courses) of sketching within Industrial Design and for new audiences. One particular course, a so-called ‘Master Class’, which is an intensive two-day taking course to an external audience, focused on ‘how to sketch visual stories’, was subject to an experiment. Firstly, the course was designed according to specific requirements (audience, goals, pedagogy) and to previous insights of course development and evaluation, of workshops offered, and according to previously described vision and methodology that concerns the alignment between sketches of tangible things and sketches of abstract concepts (Hoftijzer, Sypesteyn et al. 2020). Secondly, in order to assess the logic and quality of the short course’s structure and contents, participants were asked to fill out a questionnaire. Together, this experimental set-up, the questionnaire results, and the sketched output of the Master Class have led to new insights, to new knowledge that will help improve the pedagogic approach of many of the current courses taught and to the follow up Master Class in particular.","Visualisation; Sketching; Visual-thinking; Process-sketching; Drawing","en","conference paper","The Design Society, Institution of Engineering Designers","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-07","","","Human Information Communication Design","","",""
"uuid:7329ff8e-89e9-4e11-9b1e-9af8e1c106d1","http://resolver.tudelft.nl/uuid:7329ff8e-89e9-4e11-9b1e-9af8e1c106d1","On the Stochastic Model for InSAR Single Arc Point Scatterer Time Series","Brouwer, W.S. (TU Delft Mathematical Geodesy and Positioning); Wang, Y. (TU Delft Mathematical Geodesy and Positioning); van Leijen, F.J. (TU Delft Mathematical Geodesy and Positioning); Hanssen, R.F. (TU Delft Mathematical Geodesy and Positioning)","","2023","InSAR enables the estimation of displacements of (objects on) the earth's surface. To provide reliable estimates, both a stochastic and mathematical model are required. However, the intrinsic problem of InSAR is that both are unknown. Here we derive the Variance-Covariance Matrix (VCM) for double differenced phase observations for an arc, i.e., the phase difference between two points relative to a reference epoch. Using the Normalized Amplitude Dispersion we subdivide the time series in multiple partitions. The method results in a more realistic stochastic model, and consequently more realistic and reliable displacement parameters. The stochastic model also allows to make statements on the precision and reliability of the estimated parameters.","InSAR; parameter estimation; Point Scatterers; stochastic model","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-21","","","Mathematical Geodesy and Positioning","","",""
"uuid:fc35eeb5-7d40-4839-9828-e971277d6107","http://resolver.tudelft.nl/uuid:fc35eeb5-7d40-4839-9828-e971277d6107","Between flexibility and relativism: How students deal with uncertainty in sustainability challenges","Bohm, N.L. (TU Delft Urban Development Management); Klaassen, R.G. (TU Delft Policy & Implementation); van Bueren, Ellen (TU Delft Management in the Built Environment); den Brok, P. (Wageningen University & Research)","Reilly, Ger (editor); Murphy, Mike (editor); Nagy, Balazs Vince (editor); Jarvinen, Hannu-Matti (editor)","2023","Universities open their doors to society, inviting the complexity of the world to enter engineering education through challenge-based courses. While working on complex issues, engineering students learn to deal with different kinds of uncertainty: uncertainty about the dynamics of a real-world challenge, the knowledge gaps in the problem, or the conflicting perspectives amongst the people involved. Although we know from previous research that students are likely to encounter these uncertainties in sustainability challenges, which metacognitive strategies they use to deal with them is unclear. We interviewed nine MSc students at the end of a challenge-based course at a Dutch university of technology. We asked the students how they dealt with uncertainty in collaboration with the commissioner, their student team, and the teachers. The interviews were analyzed through grounded, consensus-based coding by two researchers. Preliminary results show students use three main strategies. First, the different perspectives from peers in their team inform the position of the student. Second, students find expectation management of the commissioner essential, yet students struggle with how to do this in a professional and timely way. Third, students frame the uncertainties they encounter as part of the learning process, which allows them to accept the possibility of failure. This study provides first insights in metacognitive uncertainty strategies and suggests those strategies should become a more prominent topic in coaching students. When uncertainty becomes an explicit part of challenge-based education, students learn to deal with both the known and unknown in the transition to a sustainable society.","challenge-based learning; metacognition; uncertainty; urban sustainability","en","conference paper","European Society for Engineering Education (SEFI)","","","","","Publisher Copyright: © 2023 SEFI 2023 - 51st Annual Conference of the European Society for Engineering Education: Engineering Education for Sustainability, Proceedings. All Rights Reserved.","","","","Management in the Built Environment","Urban Development Management","","",""
"uuid:2c9412c1-ea8c-4cec-8537-5b4d1abeab39","http://resolver.tudelft.nl/uuid:2c9412c1-ea8c-4cec-8537-5b4d1abeab39","Critically Examining the Broader Implications of Methodological Design in Cross-Cultural, Multi-sited Case Studies of Engineering Ethics Education [Work in Progress]","Clancy III, R.F. (TU Delft Ethics & Philosophy of Technology); Zhu, Qin (Virginia Tech); Streiner, Scott (University of Pittsburgh); Thorpe, Ryan","","2023","Ethics has long been recognized as crucial to responsible engineering, but the increasingly globalized environments present challenges to effective engineering ethics training. This paper is part of a larger research project that aims to examine the effects of culture and education on ethics training in undergraduate engineering students at universities in the United States, China, and the Netherlands. We are interested in how students’ curricular and extra-curricular (e.g., internships, service projects) experiences and training impact their ethical reasoning and moral dispositions, and how this differs cross-culturally. To understand this, we are conducting mixed methods research longitudinally over four years to engineering students at our participating universities to gauge their moral dispositions and ethical reasoning skills and to measure any change in these. This work-in-progress paper, however, is not about the direct outcomes of this research project. Rather, it critically examines our own practices and methods in doing this research. We begin the paper by briefly introducing the larger research project and motivating the use of comparative, multi-institutional case studies as necessary for contextualizing, complementing, and interpreting quantitative data on ethical reasoning and moral dispositions. Because the conditions related to engineering ethics education differ widely per participating institution for institutional (and also likely cultural) reasons, interpreting and analyzing quantitative survey data will require understanding contextual conditions of education at each institution. Comparative case studies can supply missing contextual information to provide a more complete picture of the engineering ethics educational contexts, strategies, and practices at each of the participating universities. However, in considering how to design and conduct these case studies, we realized we were operating under certain assumptions such as ethics in engineering as separate (and separable from) the “real,” or technical engineering curriculum. These assumptions have been widely problematized in engineering ethics education (Cech, 2014; Tormey et al. 2015; Polmear et al. 2019); they are assumptions that we in our teaching and research attempt to dispel. Our paper considers (and invites discussion on) the broader implications of methodological design in conducting cross-cultural multi-sited case studies in engineering ethics education research. It explores models for designing and conducting our case studies so as not to reproduce pernicious ideas about social and ethical issues in engineering as subsidiary “interventions” in the “actual,” (i.e., technical) curriculum. More generally we discuss how engineering ethics education research methods can be harnessed to overcome this established division.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-28","","","Ethics & Philosophy of Technology","","",""
"uuid:a0ce15d2-ebf7-4de4-ad03-7b29738ad920","http://resolver.tudelft.nl/uuid:a0ce15d2-ebf7-4de4-ad03-7b29738ad920","Reflection on your personal perspective through the perspective of others. A step in dealing with wicked problems","Hermsen, P.E.A. (TU Delft Education and Student Affairs; TU Delft Biomechanical Engineering); van Dommelen, S. (TU Delft Education and Student Affairs); Hueso Espinosa, P. (TU Delft Education and Student Affairs)","Reilly, Ger (editor); Murphy, Mike (editor); Nagy, Balazs Vince (editor); Jarvinen, Hannu-Matti (editor)","2023","Don't we all sometimes seek the perspective of someone unrelated to our work, to get unstuck, or when we seek creativity? Engineers, educators, and students put their trust into science, protocols, procedures and models. Rightfully so, from the perspective of the laws of engineering this makes sense. This also explains why when people deal with challenges, they often tackle them (consciously or unconsciously) with their preferred strategies (Hayashi 2018) (Mezirow 2000). However, these preferred strategies might offer a false sense of security because they oversimplify the complicated nature of the challenge. People might focus on a part of problem which is easy to solve rather than addressing the bigger networked problem (Kahneman 2013). In dealing with complex problems, it is helpful for engineers to become aware of habits and open eyes to other ways of seeing and doing, as solving (today's) multidisciplinary wicked problems often require that. (Braun 2021; Braun and Kramer 2015; Kramer and Braun 2018; Seniuk Cicek et al. 2021; Veltman, Van Keulen, and Voogt 2019). Recognizing one's own perspective is the first step towards valuing other perspectives or approaches to a problem. By understanding 'our own eyes', we can connect with and value other perspectives and alternate ways of doing something. This workshop introduces reflection through third person perspectives, to help participants recognize the habits that are embedded in their own perspectives. Participants can later apply the method and material used in the workshop in their own educational context. It is suitable for students, researchers, and teachers. Learning outcomes • Participants will become aware of their perspective in a safe and interesting way. • Participants will experience that their own perspective impacts the way they approach (work) situations, by reflecting on these situations through others' perspective. • Participants will receive access to the material so that they can use the format of the workshop as a tool to use with their students or peers. • In the final conference proceedings, we will include the type of insights participants found through our workshop, what they might expect the value to be for (their) students, the impressions of the participants about what worked well and how participant intent to use it in their context.","Perspective Dialogue; Reflection","en","conference paper","European Society for Engineering Education (SEFI)","","","","","","","","","Education and Student Affairs","","","",""
"uuid:3db30e43-66a1-4d2a-8e99-a0da0b7722d8","http://resolver.tudelft.nl/uuid:3db30e43-66a1-4d2a-8e99-a0da0b7722d8","Introduction to the Minitrack on Privacy, Trust, and Governance in the Data-driven Economy","Ofe, H.A. (TU Delft Information and Communication Technology); Sandberg, Johan (Umeå University); Rudmark, Daniel (University of Gothenburg); de Reuver, Mark (TU Delft Information and Communication Technology)","","2023","","Privacy; Trust; Governance in the Data-driven Economy","en","conference paper","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:f86e7d6d-f7e5-4a2f-8c2a-cfa03fa97619","http://resolver.tudelft.nl/uuid:f86e7d6d-f7e5-4a2f-8c2a-cfa03fa97619","A Cryo-CMOS DAC-based 40 Gb/s PAM4 Wireline Transmitter for Quantum Computing Applications","Fakkel, N.E. (TU Delft QCD/Babaie Lab); Mortazavi, S.M. (TU Delft Electronics); Overwater, R.W.J. (TU Delft Electrical Engineering, Mathematics and Computer Science); Sebastiano, F. (TU Delft Quantum Circuit Architectures and Technology); Babaie, M. (TU Delft Electronics)","Kitchen, Jennifer (editor); Turner, Steven (editor)","2023","State-of-the-art quantum computers already comprise hundreds of cryogenic quantum bits (qubits), and prototypes with over 10k qubits are currently being developed. Such large-scale systems require local cryogenic electronics for qubit control and readout, leaving the digital controllers for algorithm execution and quantum error correction (QEC) at room temperature due to the limited cryogenic cooling budget. The entire process, including qubit readout, data transmission, QEC, and algorithm execution, should be completed well within the qubit decoherence time, thus requiring a low-power high-speed communication link between the cryogenic quantum processor and classical processor located at room temperature. To this end, this paper presents the first cryo-CMOS high-speed 4-level pulse amplitude modulation (PAM4) wireline transmitter. Thanks to a power-efficient serializing architecture driving a 6-bit digital-to-analog converter (DAC), the 40-nm CMOS chip achieves a data rate of 40 Gb/s PAM4 with an efficiency of 2.46pJ/b and a ratio of level mismatch (RLM) of 97.8% at 4.2 K. While demonstrating an energy efficiency comparable to state-of-the-art transmitters in more advanced CMOS nodes, the extremely wide temperature operating range (4.2 K - 300 K) will enable future large-scale quantum computers.","Cryo-CMOS; high-speed DAC; quantum computing ICs; wireline transmitter","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-29","Electrical Engineering, Mathematics and Computer Science","","QCD/Babaie Lab","","",""
"uuid:4d5021b7-232f-41b2-9c28-f4a647836b1c","http://resolver.tudelft.nl/uuid:4d5021b7-232f-41b2-9c28-f4a647836b1c","A Control Architecture for Entanglement Generation Switches in Quantum Networks","Gauthier, S.S. (TU Delft QID/Wehner Group; QuTech); Vardoyan, G.S. (TU Delft Quantum Computer Science; QuTech); Wehner, S.D.C. (TU Delft QID/Wehner Group; TU Delft Quantum Computer Science; QuTech)","","2023","Entanglement between quantum network nodes is often produced using intermediary devices - such as heralding stations - as a resource. When scaling quantum networks to many nodes, requiring a dedicated intermediary device for every pair of nodes introduces high costs. Here, we propose a cost-effective architecture to connect many quantum network nodes via a central quantum network hub called an Entanglement Generation Switch (EGS). The EGS allows multiple quantum nodes to be connected at a fixed resource cost, by sharing the resources needed to make entanglement. We propose an algorithm called the Rate Control Protocol (RCP) which moderates the level of competition for access to the hub's resources between sets of users. We proceed to prove a convergence theorem for rates yielded by the algorithm. To derive the algorithm we work in the framework of Network Utility Maximization (NUM) and make use of the theory of Lagrange multipliers and Lagrangian duality. Our EGS architecture lays the groundwork for developing control architectures compatible with other types of quantum network hubs as well as system models of greater complexity.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","QID/Wehner Group","","",""
"uuid:ab52690c-26ba-4214-8cd1-480154a3cb3a","http://resolver.tudelft.nl/uuid:ab52690c-26ba-4214-8cd1-480154a3cb3a","Experimental results of an innovative dynamic low-coherent interferometer for characterizing a gravitational wave detector","Vilaboa Pérez, Jesús (Université de Liège); Georges, Marc (Université de Liège); Hastanin, Juriy (Université de Liège); Loicq, J.J.D. (TU Delft Spaceborne Instrumentation; Université de Liège)","Novak, Erik (editor); Wilcox, Christopher C. (editor)","2023","We present the experimental results of the proof of concept of a metrology instrument developed to characterize the cryogenic mirror of the Einstein Telescope (ET) prototype. ET is a proposed gravitational-wave observatory. The metrology instrument uses the principle of low-coherence interferometry to measure the local change in topology and local induced vibrations of the mirror resulting from the cooling down process. We implement an innovative optical phase mask and a microlens array to obtain a depth map of the mirror on a single camera frame. With our instrument prototype, we can obtain 25 interference patterns of the same mirror spot for each camera frame. Each interference pattern corresponds to a difference Optical Path Difference (OPD). Then by reconstructing the interference patterns, we can measure the mirror’s local topology change and local induced vibration. Moreover, in this proceeding, we describe the analysis of the white-light interference patterns through numerical simulations and depict the metrology instrument’s optical design. Finally, we discuss how we can use the metrology instrument for real-time characterization of other optical components with all the advantages of white light interferometry.","White Light Interferometry; Dynamical Interferometry; Optical Phase Mask; Single-Frame lowcoherence Interferometry; Gravitational Wave Detector","en","conference paper","SPIE","","","","","","","","","","Spaceborne Instrumentation","","",""
"uuid:02ff3d7d-4059-49ce-8ba7-217096c1c120","http://resolver.tudelft.nl/uuid:02ff3d7d-4059-49ce-8ba7-217096c1c120","Co-Development of a Framework for Circular Building Adaptability in Adaptive Reuse: A Participatory Study","Hamida, M.B. (TU Delft Real Estate Management); Remøy, H.T. (TU Delft Real Estate Management); Gruis, V.H. (TU Delft Real Estate Management); van Laar, B.R. (TU Delft Real Estate Management)","","2023","Population growth, market volatility, building obsolescence and property vacancy are triggers for adaptive reuse. Thus, adaptive reuse is an investable practice that needs to be facilitated by the means of adaptable design. Furthermore, adaptive reuse aligns with the principles of circular economy (CE), as it promotes the reuse of buildings and their longevity; thereby, reducing the need for new materials. In this regard, promoting the so-called circular building adaptability (CBA) in adaptive reuse could provide different benefits to the built environment, including long-lasting functionality and material reversibility. However, no guiding tool has been developed yet to practically guide practitioners on how to promote CBA in adaptive reuse. Therefore, this study aims to develop a guiding framework for CBA in adaptive reuse. First, a content-wise guiding framework was synthesized based on lessons learned from the relevant literature and case studies. The framework brings together a series of passive, active and operational strategies alongside their enabling and inhibiting factors. Second, a co-creation workshop was conducted and triangulated with three interviews to validate and expand the defined strategies. Based on the findings of this participatory approach, the developed framework encompasses 33 strategies. This framework can be seen as a legitimate and informative tool for practitioners, as it was constructed based on acquiring knowledge from theoretical research, empirical research and participatory research.
In this paper, we present insights and benefits gained from collaborating with an operational honeyfarm, i.e., a set of honeypots distributed around the globe with centralized data collection. We analyze data of about 400 million sessions over a 15-month period, gathered from a globally distributed honeyfarm consisting of 221 honeypots deployed in 55 countries. Our analysis unveils stark differences among the activity seen by the honeypots-some are contacted millions of times while others only observe a few thousand sessions. We also analyze the behavior of scouters and intruders of these honeypots. Again, some honeypots report orders of magnitude more interactions with command execution than others. Still, diversity is needed since even if we focus on the honeypots with the highest visibility, they see only a small fraction of the intrusions, including only 5% of the files. Thus, although around 2% of intrusions are visible by most of the honeypots in our honeyfarm, the rest are only visible to a few. We conclude with a discussion of the findings of work.","","en","conference paper","","","","","","","","","","","Cyber Security","","",""
"uuid:25bbc0d7-038e-44d7-88f8-d4c64f4f034a","http://resolver.tudelft.nl/uuid:25bbc0d7-038e-44d7-88f8-d4c64f4f034a","Continuous Human Activity Classification with Radar Point Clouds and Point Transformer Networks","Kruse, N.C. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","Due to numerous benefits, radar is considered as an important sensor for human activity classification. The problem of classifying continuous sequences of activities of unconstrained duration has been studied in this work. To tackle this challenge, a radar data processing method utilizing point transformer networks has been proposed. The method has been experimentally verified on a dataset of human activities, and experiments have been performed to determine its optimal implementation. Promising preliminary results on a 9-class dataset show test accuracy and macro F-1 scores in the range of 83% and 73% respectively.","Human activity recognition; machine learning; radar; point cloud processing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-26","","","Microwave Sensing, Signals & Systems","","",""
"uuid:ddb0744d-9591-48c9-bb8d-b373d4a520a8","http://resolver.tudelft.nl/uuid:ddb0744d-9591-48c9-bb8d-b373d4a520a8","Radar-only Instantaneous Ego-motion Estimation Using Neural Networks","Zhu, S. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","The problem of 2D instantaneous ego-motion estimation for vehicles equipped with automotive radars is studied. To leverage multi-dimensional radar point clouds and exploit point features automatically, without human engineering, a novel approach is proposed that transforms ego-motion estimation into a weighted least squares (wLSQ) problem using neural networks. Comparison with existing methods is done using a challenging real-world radar dataset. The comparison results show that the proposed method can achieve better performance in terms of estimation accuracy, long-term stability, and runtime performance compared to a representative approach selected from the recent literature.","Automotive Radar; Ego-motion Estimation; Radar Point Cloud; Deep Learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-26","","","Microwave Sensing, Signals & Systems","","",""
"uuid:445adb08-90df-400e-bbef-3771f7a43f39","http://resolver.tudelft.nl/uuid:445adb08-90df-400e-bbef-3771f7a43f39","Statistical Polarimetric RCS Model of an Asphalt Road Surface for mm-Wave Automotive Radar","Bouwmeester, W. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","A method for extracting fully polarimetric statistical properties of road surface radar cross sections is presented. This method is subsequently applied to extract radar cross section information from an asphalt road surface. Furthermore, an approach is introduced to synthesise the scattered signal of road surface returns as measured by a radar. The extracted statistical properties of asphalt are subsequently used in this synthesis procedure, and excellent agreement with the experimental measurement results is demonstrated.","polarimetry; radar; radar cross section; surface scattering; automotive","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-26","","","Microwave Sensing, Signals & Systems","","",""
"uuid:b6e07891-a248-4b11-a6b5-b90249380721","http://resolver.tudelft.nl/uuid:b6e07891-a248-4b11-a6b5-b90249380721","Convergence of Scattering Parameters and HαA-Features of Road Surfaces","Bouwmeester, W. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","The convergence of polarimetric scattering parameters and H, α and A features of road surfaces under various conditions is analysed. It is shown that the number of radar measurements used for surface classification can be traded off with accuracy of the estimation of the mean value and covariance of S-parameters and H, α and A features. Furthermore, it is shown that the H, α and A features converge at the same rate, independent of antenna orientation angles or considered road surface conditions.","Polarimetric radar; automotive; classification; surface scattering","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-26","","","Microwave Sensing, Signals & Systems","","",""
"uuid:b79da6c1-c72f-4d87-a4b8-32fac6177456","http://resolver.tudelft.nl/uuid:b79da6c1-c72f-4d87-a4b8-32fac6177456","Understanding nitrogen transformation using the ratio of nitrogen to argon in landfills under in-situ stabilisation","Buisma-Yi, S.C. (TU Delft Geo-engineering); Meza Ramos, P.N. (TU Delft Geo-engineering); Oonk, Hans (Oonkay!); Gebert, J. (TU Delft Geo-engineering)","","2023","In the Netherlands, three full-scale pilots have been in operation for approximately five years to understand the effects of leachate recirculation or aeration on waste stabilisation. This study employs the ratio of N2 to Ar in the landfill gas in comparison to the ratio in atmospheric air to derive the share of N2 that originates from denitrification. We collected samples from the three pilot landfills from different gas wells, gas collector systems and from the total bulk extracted gas and measured its composition using gas chromatography. We estimated the aeration efficiency of the two landfills under in situ aeration based on the CO2/CH4 ratio as an indicator of aerobic processes. Denitrification dominated in the aerated landfills, with as much as 13% of N2 being explained by the net effect of denitrification, whereas the landfill under leachate recirculation showed a net ‘loss’ of N2, indicating N2 fixation to be a dominant mechanism. There was a seasonal variability of the balance between denitrification and N2 fixation in the aerated sites, likely caused by increased aeration efficiency and hence increased availability of NO3- for denitrification under summer conditions with lower moisture content in the cover soil, allowing for increased air ingress. No such variability was observed for the landfill under liquid recirculation. Future evaluation of the microbial community composition will further elucidate N transformation pathways in landfills under different in-situ stabilisation treatments.","denitrification; nitrogen fixation; aeration; leachate recirculation; tracer gas","en","conference paper","CISA Publisher","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-09","","","Geo-engineering","","",""
"uuid:4de2466a-f615-47d2-a263-420d78e490ab","http://resolver.tudelft.nl/uuid:4de2466a-f615-47d2-a263-420d78e490ab","Continuous People Crowd Monitoring defined as a Regression Problem using Radar Networks","Guendel, Ronny (TU Delft Microwave Sensing, Signals & Systems); Ullmann, I. (Friedrich-Alexander-Universität Erlangen-Nürnberg); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","Radar-based human activity recognition in crowded environments using regression approaches is addressed. Whereas previous research has focused on single activities and subjects, the problem of continuous activity recognition involving up to five individuals moving in arbitrary directions in an indoor area is introduced. To treat the problem, a regression-based approach is used, which offers innovative insights into creating robust and accurate systems for monitoring human activities.Novel approaches utilizing LSTM or CNN regression techniques with Linear Regression and Support Vector Machine regressor are compared on extracted features from radar data through the Histogram of Oriented Gradients and Principal Component Analysis. These approaches are rigorously evaluated by a Leave-One-Group-Out method, with performance assessed using common regression metrics such as the RMSE. The most promising outcomes were observed for crowds of three and five individuals, with respective RMSE of approximately 0.4 and 0.6. These results were primarily achieved by utilizing the micro-Doppler (µD) Spectrogram or range-Doppler data domain.","Radar Signal Processing; Multiple People Monitoring; Distributed Radar; Machine Learning; Deep Learning; Histogram of Oriented Gradients; Principal Component Analysis; Regression; LSTM; CNN","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-26","","","Microwave Sensing, Signals & Systems","","",""
"uuid:940e0228-4f80-4371-9ccd-89834d5c6634","http://resolver.tudelft.nl/uuid:940e0228-4f80-4371-9ccd-89834d5c6634","Assessing the efficiency of landfill aeration with a carbon mass balance approach","Gebert, J. (TU Delft Geo-engineering); Meza Ramos, P.N. (TU Delft Geo-engineering); Cruz Osorio, C.R. (NV Afvalzorg Holding); Lammen, J.K. (NV Afvalzorg Holding)","","2023","This study quantifies the share of aerobically produced carbon (aeration efficiency) during six years of a full scale landfill aeration project using the balance between methane and carbon dioxide in the bulk extracted gas. Aeration was realized by overextraction. Aeration enhanced carbon release in comparison to the anaerobic ‘base case’, as predicted by the Afvalzorg multiphase model, by a factor of 3.7. Aeration efficiency, averaging around 44%, varied seasonally, and was lower in periods of low or no evapotranspiration and hence higher moisture content in the landfill cover soil (winter). Higher aeration efficiencies were observed when evapotranspiration enables increased cover soil permeability (summer). Correspondingly, aeration efficiency was linearly related to the concentration of N2 in the bulk extracted gas. To a lesser extent, condensate and its removal also affected flow and hence the aeration efficiency. Except for the modulation by seasonal effects, the cumulative amount of extracted ‘aerobic carbon’ increased linearly over time, independent of changes in the blower pressure and flow. This suggests that below the cover soil, within the waste body, flow is chanelled in preferential pathways, limiting the intrusion of oxygen into the bulk waste. Aeration can hence only be enhanced by reducing well spacing. The blower efficiency, assessed by the ratio of flow to pressure, decreased markedly over time, likely indicating diminishing waste permeability as a result of waste consolidation.","in-situ stabilization; aeration; over-extraction","en","conference paper","CISA Publisher","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-09","","","Geo-engineering","","",""
"uuid:70f5fad3-927a-4ef2-93f0-c0fcb4ce71f9","http://resolver.tudelft.nl/uuid:70f5fad3-927a-4ef2-93f0-c0fcb4ce71f9","Pressure field tests to infer permeability of waste bodies under in situ aeration","Duarte Campos, L.A. (TU Delft Geo-engineering); Rees-White, T. (University of Southampton); Beaven, R.P. (University of Southampton); Cruz Osorio, C.R. (NV Afvalzorg Holding); Lammen, J.K. (TU Delft Geo-engineering; NV Afvalzorg Holding); Gebert, J. (TU Delft Geo-engineering)","","2023","This paper presents the preliminary results of field trials conducted to investigate the air permeability of waste at the Braambergen landfill located near the city of Almere, the Netherlands. Pressure variations were monitored in surrounding wells during air extraction tests using differential pressure transducers. The magnitude of the pressure response to gas abstraction indicates suitability of the method to investigate waste permeability and the swiftness of the pressure response indicated good connectivity within the investigated well field. The obtained air permeability values showed a trend where permeability decreased as the distance between two wells increased, suggesting higher permeability in closer proximity to a well. Although the values are comparable to those reported in other landfills, the differences can be explained by the influence of site-specific factors on permeability.","permeability; aeration; pressure test","en","conference paper","CISA Publisher","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-09","","","Geo-engineering","","",""
"uuid:4666294f-c331-49e5-aadb-effaea8d86d6","http://resolver.tudelft.nl/uuid:4666294f-c331-49e5-aadb-effaea8d86d6","An adaptive threshold-based unambiguous robust Doppler beam sharpening algorithm for forward-looking MIMO Radar","Yuan, S. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","The ambiguity problem in forward-looking Doppler beam sharpening is considered. Doppler beam sharpening (DBS) has shown its potential to improve cross-range resolution for automotive radar applications. However, it suffers from ambiguities when targets are positioned symmetrically with respect to the vehicle trajectory. A new approach named 'Robust Unambiguous DBS with Adaptive Threshold' (RUDAT) is proposed to address the problem of ambiguities. It combines DBS with multiple-input-multiple-output (MIMO) radar processing, and is robust to non-ideal movements of the vehicle and fluctuations in the targets' reflectivity. The performance of the proposed method is compared to existing approaches using simulated data with point-like and extended targets, demonstrating good preliminary results.","Doppler beam sharpening; Beam scan; Forward-looking radar; MIMO radar processing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-26","","","Microwave Sensing, Signals & Systems","","",""
"uuid:d03ab2b7-4a83-4179-b11b-6ab712477dd3","http://resolver.tudelft.nl/uuid:d03ab2b7-4a83-4179-b11b-6ab712477dd3","Borehole dilution tests to measure leachate flow at a recirculation landfill","Rees-White, T. (University of Southampton); Feenstra, Merel (Student TU Delft); Kanen, A.C. (Attero B.V.); Beaven, R.P. (University of Southampton); Gebert, J. (TU Delft Geo-engineering)","","2023","The recirculation and infiltration of leachate in landfills may be carried out to facilitate the flushing of contaminants and accelerate the stabilisation of waste. Flushing contaminants through recirculation relies on the movement of fluids through the landfill body to basal drains, which will predominantly be driven by gravity. Leachate recirculation and infiltration measures commenced at de Kragge II landfill (Bergen op Zoom, The Netherlands) in March 2018. Up to 90 m3/day of treated leachate is recirculated into the top of a 20 m deep, 5 ha landfill cell through 14 horizontal drains installed at the surface. Poor connectivity between the waste and the basal drainage system has resulted in saturated conditions forming in the lower 7-8 m of the landfill. Knowledge about the leachate flow within the waste body is essential for evaluating the success of the stabilisation measures. To investigate the flow regime within the saturated waste, 22 Single Borehole Dilution tests were carried out in 13 piezometers at different depths, between 8.4 and 18.1 m below ground level, and locations across the landfill cell. Tests were repeated in a number of the piezometers to demonstrate repeatability. Flow was measured in all piezometers. Calculated Darcy flow velocities ranged between 0.01 and 1.02 m/day, with the highest velocities measured in the deepest piezometers. Four tests were carried out in one nest of piezometers installed at different depths, with the leachate recirculation system switched off for two days prior to and during the test. Although flows were somewhat higher in two of the piezometers, it was not possible to conclude whether the infiltration of leachate significantly influences flow.","recirculation; tracer tests; well tests; hydraulic properties","en","conference paper","CISA Publisher","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-09","","","Geo-engineering","","",""
"uuid:6b4f645c-b3e1-4fa0-b2aa-793caa3aa5a3","http://resolver.tudelft.nl/uuid:6b4f645c-b3e1-4fa0-b2aa-793caa3aa5a3","Comparing modelled, recovered and generated gas in a MSW landfill under leachate recirculation","Heimovaara, T.J. (TU Delft Geoscience and Engineering); Gebert, J. (TU Delft Geo-engineering); Kanen, A.C. (Attero B.V.); Meza Ramos, P.N. (TU Delft Geo-engineering)","","2023","In-situ stabilization of waste bodies can be achieved by the infiltration of water or recirculation of leachate into the landfill, which is thought to enhance the microbial degradation of waste organics by (re-)moisturizing dry zones and flushing out metabolic products of organic matter decay. The success of in-situ stabilization should reflect in initially accelerated and thereafter reduced rates of anaerobic waste organic matter decay rates. This paper compares the methane generation that was modelled using the Afvalzorg multiphase model without the added effect of leachate recirculation with actually extracted methane in the landfill and gas generation on sampled wastes following five years of leachate recirculation on a Dutch landfill. Laboratory incubations revealed a methane potential between 0.03 kg CH4/t dw and 15.8 kg CH4/t dw for 365 days. Clear trends with respect to depth, moisture content, total organic carbon or share in hard plastics did not emerge as overall waste heterogeneity was high and likely obfuscated the correlation analysis. The results showed a recovery efficiency of 30.4% for 2021, with 0.07 kg CH4/t dw for the recovered methane and 0.23 kg CH4/t dw for the predicted methane in compartment 3. The average methane potential measured in the laboratory was almost twice as high as the remaining methane potential predicted for the period of 2021-2093. The discrepancy could be due to (i) enhanced waste degradability as a result of five years of recirculation, (ii) enhancing effects of material perturbation during sampling and/or (iii) impeded on-site methane generation and gas and water transport limitations due to presence of plastics. Overall, the laboratory incubations demonstrate a significant potential for waste biodegradation still residing in the waste.","in-situ stabilization; leachate recirculation; landfill","en","conference paper","CISA Publisher","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-09","","Geoscience and Engineering","Geo-engineering","","",""
"uuid:e604961f-dea4-4d50-9c4a-7ac6bf6b1d8e","http://resolver.tudelft.nl/uuid:e604961f-dea4-4d50-9c4a-7ac6bf6b1d8e","Mechanisms governing carbon and nitrogen pathways during enhanced waste degradation in landfill simulator reactors","Andrade Corona, C.F. (TU Delft Geo-engineering); Dieudonné, A.A.M. (TU Delft Geo-engineering); Gebert, J. (TU Delft Geo-engineering); Heimovaara, T.J. (TU Delft Geoscience and Engineering)","","2023","Nitrogen undergoes multiple biogeochemical transformations during waste degradation, which depend on speciation, prevailing geochemical boundary conditions, and waste surface properties. This study developed a waste biodegradation model with high flexibility in accommodating reaction pathways to assess different process dynamics. The model was applied to landfill simulator reactors operating anaerobically. Model results show that dilution with adsorption matches the experimental dissolved NH4+ concentration (C/N=25) at the early experimental stages. Also, NH4+ binding decreases
due to competition with Ca2+, and the model better captures the dissolved NH4+ behavior when CaSO4 is present in solution. Mass removal due to sampling and posterior dilution are the main mechanisms to reduce NH4+ concentration in the leachate. The model highlights the role of nitrogen sorption as the main
mechanism for nitrogen accumulation in the solid phase of municipal solid waste.","nitrogen; sorption; municipal solid waste; nhibitions; boundary conditions","en","conference paper","CISA Publisher","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-09","","Geoscience and Engineering","Geo-engineering","","",""
"uuid:e0f58ac0-f759-4cfe-9ce0-552b010ef3d7","http://resolver.tudelft.nl/uuid:e0f58ac0-f759-4cfe-9ce0-552b010ef3d7","Load Profile Based Reliability Assessment of IGBT Module in Full-bridge DC/DC Converter for Fast Charging of EVs","Kardan Halvaei, F. (TU Delft DC systems, Energy conversion & Storage); Ahmadi, M. (TU Delft DC systems, Energy conversion & Storage); Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","EV Fast chargers are crucial to alleviate the driving range anxiety for E-mobility applications. A typical converter load profile consists of a short high-current pulse to rapidly refill the EV battery followed by a cooling-off period once the charging is completed. Power electronic components experience thermal cycles as a result, which can hasten the degradation of such components. In this context, the reliability evaluation of the power electronic converters enabling fast EV charging is of importance. This paper presents the reliability assessment of the IGBT module in EV Fast chargers to show how the load profile of the charger impacts the device’s lifetime.","Reliability; Thermal stress; Lifetime; IGBT","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-02","","","DC systems, Energy conversion & Storage","","",""
"uuid:c00c4284-d8ae-4663-ad50-cac0cf2637f4","http://resolver.tudelft.nl/uuid:c00c4284-d8ae-4663-ad50-cac0cf2637f4","A linearity improvement method for CIS column-parallel SAR ADC using two-step conversion","Lee, J. (TU Delft Electronic Instrumentation); Theuwissen, A.J.P.A.M. (TU Delft Electronic Instrumentation; Harvest Imaging)","","2023","This paper presents a new 2-step SAR ADC architecture for image sensors in machine vision applications. This structure effectively improves the structural problems of the image sensor caused by the area occupied by the ADC, such as linearity and temporal noise performance. In this work, we designed a two-step SAR ADC using a 6-bit SAR ADC and a PGA generating residue and offset. Since the number of unit capacitor's is reduced, the common centroid method is applied in the capacitor layout to improve the linearity. As a result, the capacitor mismatch characteristic is improved, and the differential nonlinearity (DNL) obtained is +0.36/-0.28LSB. In addition, the temporal noise is about 530 μ Vrns due to the small bandwidth of the column-parallel structure in an image sensor. The implemented ADC achieves 250 kS/ s as a maximum speed. The maximum frame rate of the sensor is 2500fps. The power consumption of the sensor, except for the LVDS interface, is 37.5 ∼mW. This sensor is designed in TowerJazz CIS 180 ∼nm process with one poly and four metal layers. The supply voltage of the analog and digital domains are 3.3 ∼V and 1.8 ∼V, respectively.","CMOS Image Sensor; SAR ADC; Linearity; Column-parallel structure","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-06","","","Electronic Instrumentation","","",""
"uuid:96ae62f2-5402-44fb-9f63-51888b82d150","http://resolver.tudelft.nl/uuid:96ae62f2-5402-44fb-9f63-51888b82d150","Illuminating Router Vendor Diversity Within Providers and Along Network Paths","Albakour, Taha (Technical University of Berlin); Gasser, Oliver (Max Planck Institute); Beverly, Robert (Center for Measurement and Analysis of Network Data); Smaragdakis, G. (TU Delft Cyber Security)","","2023","br/>
The Internet architecture has facilitated a multi-party, distributed, and heterogeneous physical infrastructure where routers from different vendors connect and inter-operate via IP. Such vendor heterogeneity can have important security and policy implications. For example, a security vulnerability may be specific to a particular vendor and implementation, and thus will have a disproportionate impact on particular networks and paths if exploited. From a policy perspective, governments are now explicitly banning particular vendors-or have threatened to do so.
Despite these critical issues, the composition of router vendors across the Internet remains largely opaque. Remotely identifying router vendors is challenging due to their strict security posture, indistinguishability due to code sharing across vendors, and noise due to vendor mergers. We make progress in overcoming these challenges by developing LFP, a tool that improves the coverage, accuracy, and efficiency of router fingerprinting as compared to the current state-of-the-art. We leverage LFP to characterize the degree of router vendor homogeneity within networks and the regional distribution of vendors. We then take a path-centric view and apply LFP to better understand the potential for correlated failures and fate-sharing. Finally, we perform a case study on inter and intra-United States data paths to explore the feasibility to make vendor-based routing policy decisions, i.e., whether it is possible to avoid a particular vendor given the current infrastructure.
This study proposes a combined global and local calibration strategy for DEM modelling of multi-component segregation. We demonstrate this for an iron ore mixture (i.e., the mixture of pellets and sinter), which is a good example of a multi-component mixture. The model was calibrated not only on the global level but also on the local level and hence it consists of two steps. First, pellets and sinter were individually calibrated on bulk level using the angle of repose measured in a shear box setup. Second, mixtures of pellets and sinter were discharged into a transparent quasi-3D hopper and the segregation index was used to calibrate the interaction parameters between pellets and sinter on a local level. Hereby, image analysis in conjunction with painting pellets have been utilised to measure segregation in a non-invasive manner. We conclude that the initial results of the proposed calibration procedure are promising. To improve it further, we suggest utilizing a more manageable experimental setup, improving the simulation model for the mixture, reducing the number of potential parameter sets, and testing other parameters resulting from single-component calibration.","","en","conference paper","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:d0c5528b-101b-4660-860c-18c7a17eab0e","http://resolver.tudelft.nl/uuid:d0c5528b-101b-4660-860c-18c7a17eab0e","(Re)Defining Utopia: The Changing Concept of an Ideal World","Čulek, J. (TU Delft Situated Architecture)","Colmenares Vilata, Silvia (editor); Martínez-Millan, Elena (editor); Cavallo, Roberto (editor); Martín Blas, Sergio (editor); Domínguez, Guiomar Martín (editor); Mota, Nelson (editor)","2023","Utopia, seen not only as a creative and imaginative form, but as a critical and speculative method of devising worlds, spaces, and societal structures different than our own has existed long before receiving its name based on Thomas More’s 1516 book Utopia. Originating in the literary field, utopia has since been used in various creative disciplines, including architecture. Presented as a textual and/or visual narrative, often set in an unspecified future and a remote location, utopias describe worlds in which many or all ails of its author’s historical context have been solved through a thorough reconstitution of the built environment and its inhabitants.
And while what constitutes a utopian work has changed over centuries, it has for the better part of history remained a positively charged notion, signaling new hope and new ideas for the future. However, from an architectural perspective, the notion of utopia has taken on more negative and even pejorative connotations, often signifying a project or idea which is so far off from any concept of reality that it can automatically be dismissed as trivial or inconsequential.
Observing utopia from an architecture standpoint, focusing mostly on its development within the last century, this paper will address some of the changes which have occurred in the meaning, understanding, and connotation of utopia within the architectural field. Correlating these changes with the rich and multilayered understanding of utopia as a literary concept, deepened with its numerous sub-forms and genres (i.e. dystopias, anti-utopias, critical utopias, etc.), the paper will argue that utopia as a form, although often viewed as straightforward in its meaning, actually allows for and has demonstrated a capacity for change and variety, adapting itself within numerous historical periods and creative fields in order to critically and speculatively respond to everchanging political, societal, cultural, and economic challenges.","utopia; ideal city; utopian literature; utopian architecture; critical method","en","conference paper","DPA' Prints, ETSAM, Universidad Politénica de Madrid","","","","","","","","","","Situated Architecture","","",""
"uuid:77cfe836-bd2d-4184-936d-b219ee897b90","http://resolver.tudelft.nl/uuid:77cfe836-bd2d-4184-936d-b219ee897b90","Sustainable last-mile delivery from the supply side: Perspective of various stakeholders","Kiba-Janiak, Maja (Wroclaw University of Economics and Business); Marcinkowski, Jakub (Wroclaw University of Economics and Business); Witkowski, Jarosław (Wroclaw University of Economics and Business); van Duin, Ron (TU Delft Transport and Logistics; Rotterdam University of Applied Sciences)","","2023","","Stakeholders; Last mile delivery; Sustainability","en","conference paper","Chalmers University of Technology","","","","","","","","","","Transport and Logistics","","",""
"uuid:014217d4-e681-42af-87f2-9466c786c793","http://resolver.tudelft.nl/uuid:014217d4-e681-42af-87f2-9466c786c793","FedNaWi: Selecting the Befitting Clients for Robust Federated Learning in IoT Applications","Zhu, R. (TU Delft Embedded Systems); Yang, M. (TU Delft Embedded Systems); Yang, J. (TU Delft Web Information Systems); Wang, Q. (TU Delft Embedded Systems)","","2023","Federated Learning (FL) is an important privacy-preserving learning paradigm that is expected to play an essential role in the future Intelligent Internet of Things (IoT). However, model training in FL is vulnerable to noise and the statistical heterogeneity of local data across IoT clients. In this paper, we propose FedNaWi, a “Go Narrow, Then Wide” client selection method that speeds up the FL training, achieves higher model performance, while requiring no additional data or sensitive information transfer from clients. Our method first selects reliable clients (i.e., going narrow) which allows the global model to quickly improve its performance and then includes less reliable clients (i.e., going wide) to exploit more IoT data of clients to further improve the global model. To profile client utility, we introduce a unified Bayesian framework to model the client utility at the FL server, assisted by a small amount of auxiliary data. We conduct extensive evaluations with 5 state-of-the-art FL methods, on 3 IoT tasks and under 7 different types of label and feature noise. We build an FL testbed with 38 IoT nodes (20 nodes run on Raspberry Pi 4B and 18 nodes run on Jetson Nano) for the evaluation. Our results show that FedNaWi improves the FL accuracy substantially and significantly reduces energy consumption. In particular, FedNaWi improves the accuracy from 35% to 75% in the non-IID Dirichlet setting, and reduces the average energy consumption by 55%.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-23","","","Embedded Systems","","",""
"uuid:37ef1cde-31b3-49f8-8848-51a4da2a332b","http://resolver.tudelft.nl/uuid:37ef1cde-31b3-49f8-8848-51a4da2a332b","Enhancing Indoor-to-Outdoor mmWave Communication with Transparent Amplifying Intelligent Surface","Liu, Bin (Katholieke Universiteit Leuven); Wang, Q. (TU Delft Embedded Systems); Pollin, Sofie (Katholieke Universiteit Leuven)","","2023","This paper presents a novel transparent amplifying intelligent surface (TAIS) architecture for uplink enhancement in indoor-to-outdoor mmWave communications. The TAIS is an amplifier-based transmissive intelligent surface that can refract and amplify the incident signal, instead of only refracting it with adjustable phase shift by most passive reconfigurable intelligent surfaces (RIS). With advanced indium tin oxide film and printing technology, TAIS can be fabricated on the windows without any visual effects. This paper primarily focuses on exploiting the TAIS-based architecture to boost the uplink spectral efficiency (SE) in indoor-to-outdoor mmWave communications. By jointly optimizing the TAIS's phase shift matrix and transmit power of the user equipment, the uplink SE can be maximized by exploiting the nonlinearity in the TAIS's amplification process. The key point is that we drive the optimal phase shift matrix that maximizes the SE and deduces its closed-form representation. The SE maximization is then proved to be transferred to the transmit power optimization problem. Another important aspect is that we design a low-complexity algorithm to solve the problem using the difference of convex programming. Simulations show that our proposed TAIS can increase the SE by up to 32.6% as compared to its alternative methods.","Reconfigurable intelligent surface; transparent amplifying intelligent surface (TAIS); spectral efficiency; indoorto-outdoor communication; nonlinear amplification","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-23","","","Embedded Systems","","",""
"uuid:99904b2c-d551-4682-ae9a-a1c845c3a196","http://resolver.tudelft.nl/uuid:99904b2c-d551-4682-ae9a-a1c845c3a196","Critical Short Circuit Ratio of an EV Charging System","Wang, L. (TU Delft DC systems, Energy conversion & Storage); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Xiao, J. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","The critical short circuit ratio (CSCR), as an important metric for grid stability evaluation, is not clearly defined in the literature. Aimed at clarifying the misunderstandings, the paper compares the different CSCR definitions. Moreover, CSCR reduction-oriented design is studied for electric vehicle chargers. Simulations verify the analysis.","EV charging; Stability Analysis; Weak Grids; controller design","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-08","","","DC systems, Energy conversion & Storage","","",""
"uuid:baefe439-ee48-4bde-b865-7543ed0a5ae8","http://resolver.tudelft.nl/uuid:baefe439-ee48-4bde-b865-7543ed0a5ae8","Printing electrodes for P(VDF-TrFE-CTFE) actuators using a consumer grade inkjet printer","Baelz, K.K. (TU Delft Dynamics of Micro and Nano Systems); Hunt, A. (TU Delft Micro and Nano Engineering)","Shea, Herbert R. (editor)","2023","To facilitate smart material transducer research and application, it is important to develop fabrication processes that are widely accessible and compatible with additive manufacturing (AM) techniques. This work addresses inkjet printing and material selection in the fabrication of bending cantilever actuators based on the P(VDF-TrFE-CTFE) relaxor ferroelectric polymer. It investigates the effects of three different substrates (PEN, polyimide and a PET-based) and four different conductive inks (metal- and carbon-based) on the actuator fabrication and performance, to minimize process complexity and need for specialized equipment. First, electrode samples are manufactured for the feasible substrate-ink combination, their sheet resistances are measured, and their feasibility for actuator electrodes is analysed. Then, the simplest viable process is employed to fabricate the actuator samples, and their performance is measured in quasi-static and dynamic experiments. The least complex fabrication process was achieved with the resin-coated PET substrate (IJ-220) and carbon black electrodes (JR-700LV), only requiring a consumer-grade inkjet printer, a spin-coater and a thermal oven. The electrode samples showed 2.29 · 103 Ω/□ sheet resistance at 10 print repetitions, indicating an actuator’s electrical bandwidth of 9.36 kHz. The manufactured actuators achieved 206 µm tip deflections in response to 1 Hz 300 V excitation, and up to 3 mm deflections in resonant operation at 115 Hz. Therefore, manufacturing flexible designs of well-performing smart material actuators is viable using widely available and low-budget equipment.","actuator; carbon black; Electroactive polymer; electrodes; inkjet printing; P(VDF-TrFECTFE); relaxor ferroelectric; silver","en","conference paper","SPIE","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:bddc4ddf-41e8-437c-a3e3-3c8cdc71969c","http://resolver.tudelft.nl/uuid:bddc4ddf-41e8-437c-a3e3-3c8cdc71969c","Spectral Purity Evaluation of VNA Frequency Extenders to Enable Electronic Software-Based Power Control","De Martino, C. (TU Delft Electronics; Vertigo Technologies); Bueno Lopez, J. (TU Delft Electronics); Spirito, M. (TU Delft Electronics)","","2023","In this paper, we present an experimental strategy to analyze the harmonic content of mm-wave frequency extenders using the VNA (absolute) power calibration step, without requiring spectrum analyzers and/or separate downconverters. The spectral purity of the upconverted band of the extenders is a key requirement to enable entirely software-based power control required for the accurate analysis of an (active) device under test. The proposed approach is based on the complementary response provided by the calorimeter-based power meter (i.e. VDI PM5) capable of integrating the entire spectral content of the waveguide band, in respect to the extreme frequency selectivity of the narrow-band mixer-based downconverter of the VNA. This complementary integration bandwidth response allows to compare the two results at each input drive level (at the power calibration setup, in-situ) and link the difference to the increased harmonic content contribution, with respect to the spectral content value at the saturation drive level, i.e. nominal manufacturer specified. The paper presents tests carried out in the WR10 (75–110 GHz) and WR6 band (110–170 GHz). The WR10 resulted in a harmonic contribution on the total output power of a maximum of 0.3 dB down to -33 dBc power back off from saturation level, and less than 1 dB down to -38 dBc while the WR6 the same parameter is less than 1 dB over the entire frequency band excluding the lower frequency points.","mm-wave; requency extenders; spectral purity; device characterization; large-signal; s-parameter","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-17","","","Electronics","","",""
"uuid:c5dee618-3db6-48a5-8d3c-7b6837d0c8a3","http://resolver.tudelft.nl/uuid:c5dee618-3db6-48a5-8d3c-7b6837d0c8a3","Unlocking the experience economy: Integrating design for experience knowledge into fast moving consumer goods (FMCG) product innovation","Ristau, S. (TU Delft Design Aesthetics; Henkel AG & Co. KGaA); Desmet, P.M.A. (TU Delft Human-Centered Design); Smulders, F.E.H.M. (TU Delft Delft Centre for Entrepreneurship; TU Delft Methodologie en Organisatie van Design)","De Sainz Molestina, D. (editor); Rizzo, F. (editor); Spallazzo, D. (editor)","2023","This paper addresses the question how design for experience can be integrated into the product innovation practices of fast-moving consumer products, to increase impact and deliver additional (emotional) value to consumers. To achieve this, theories of the leading experts in the field have been reviewed, key principles of designing for experience have been identified and reflected on the product innovation practices as a case study on Henkel Consumer Brands, a global leading fast-moving consumer goods company. The key finding is that many small and diverse actions need be taken, which can be clustered in three themes: 1) actionable behavior, 2) organizational matters and 3) strategic approach. Starting with qualitative consumer insights is essential in designing prototypes that can be used to evaluate the user experience in addition to technical workability and market research data. This entails a new approach to early-stage prototyping, testing a minimum viable product experience (MVPX) in addition to minimum viable products (MVP). On the organizational side, there needs to be a true collapse of the roles of researchers and designers. The innovation target needs to shift from pain point relief to positive consumer experiences. Measuring emotions at scale will be necessary to quantify consumers’ willingness to pay for them. On the strategic side, design for experience needs to become a conceptual activity, changing the innovation currency from consumers’ money spent on functionality (product) or convenience (services) to consumers’ time and money spent on emotional well-being to make experiences a distinct economic offer.","experience design; Product innovation management; FMCG; experience economy","en","conference paper","IASDR","","","","","","","","","Human-Centered Design","Design Aesthetics","","",""
"uuid:967f7d06-89ec-43cc-b0e2-cee284c7fe80","http://resolver.tudelft.nl/uuid:967f7d06-89ec-43cc-b0e2-cee284c7fe80","Wearing black when feeling blue: An exploration of the relationship between clothing and mood","Lindeman, Rins (Student TU Delft); Desmet, P.M.A. (TU Delft Human-Centered Design); Filippi, M. (TU Delft Design Aesthetics)","De Sainz Molestina, D. (editor); Rizzo, F. (editor); Spallazzo, D. (editor)","2023","The relationship between an individual’s outfit and their mood is complex and varies from person to person. Yet, every garment possesses characteristics that can influence, intensify, or conceal the wearer’s mood. This paper explores this relationship between outfits and the wearer’s mood, examining how clothing choices can affect mood and they can be used to alter it. Through a combination of a literature study and an exploratory questionnaire study that asked people to take photographs of their outfits, key garment characteristics have been determined for four distinct moods: tense, cheerful, gloomy, and relaxed. These characteristics were used to create a set of inspirational and layered outfits that make the wearer’s moods more tangible and understandable. The paper reports a summary of the literature review, the explorative questionnaire study, and the tangible outfits.","enclothed cognition; mood regulation; fashion industry","en","conference paper","IASDR","","","","","","","","","Human-Centered Design","Design Aesthetics","","",""
"uuid:0052a76c-86d5-410a-bd3b-10acd2115ca7","http://resolver.tudelft.nl/uuid:0052a76c-86d5-410a-bd3b-10acd2115ca7","Reservation of Virtualized Resources with Optimistic Online Learning","Monteil, Jean-Baptiste (Trinity College Dublin); Iosifidis, G. (TU Delft Networked Systems); Dusparic, Ivana (Trinity College Dublin)","","2023","The virtualization of wireless networks enables new services to access network resources made available by the Network Operator (NO) through a Network Slicing market. The different service providers (SPs) have the opportunity to lease the network resources from the NO to constitute slices that address the demand of their specific network service. The goal of any SP is to maximize its service utility and minimize costs from leasing resources while facing uncertainties of the prices of the resources and the users' demand. In this paper, we propose a solution that allows the SP to decide its online reservation policy, which aims to maximize its service utility and minimize its cost of reservation simultaneously. We design the Optimistic Online Learning for Reservation (OOLR) solution, a decision algorithm built upon the Follow-the-Regularized Leader (FTRL), that incorporates key predictions to assist the decision-making process. Our solution achieves a O(√T) regret bound where T represents the horizon. We integrate a prediction model into the OOLR solution and we demonstrate through numerical results the efficacy of the combined models' solution against the FTRL baseline.","Online convex optimization; network slicing markets; virtualization; resource reservation; SP utility maximization; FTRL algorithm","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-23","","","Networked Systems","","",""
"uuid:fb291f4d-75a2-4f07-8646-f23242ec1892","http://resolver.tudelft.nl/uuid:fb291f4d-75a2-4f07-8646-f23242ec1892","An Improved Peak Voltage Calculation Method for Compensation Components in S-S and LCC-S Compensated Wireless Power Transfer Systems","Yu, G. (TU Delft DC systems, Energy conversion & Storage); Ye, P. (Student TU Delft); Grazian, F. (TU Delft DC systems, Energy conversion & Storage); Dong, J. (TU Delft DC systems, Energy conversion & Storage); Soeiro, Thiago B. (University of Twente); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","The pursuit of battery charging technology for electric vehicle (EV) has led to extensive research on the inductive-based wireless power transfer (WPT) systems. In this paper, the compensation component (including coils) stresses will be studied in two commonly adopted compensation topologies, namely S-S and LCC-S compensations. Due to the peak voltage calculation inaccuracy for certain components based on conventional fundamental frequency analysis, an improved peak voltage calculation method is introduced in closed form, which is proved to be more accurate by both simulation and experiments.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-08","","","DC systems, Energy conversion & Storage","","",""
"uuid:ec09aba4-ffc2-4a52-a859-3d6e9285d267","http://resolver.tudelft.nl/uuid:ec09aba4-ffc2-4a52-a859-3d6e9285d267","Coverage and Capacity Analysis for Football Player's Bodycam with Cell-Free Massive MIMO","Hersyandika, Rizqi (Katholieke Universiteit Leuven); Rossanese, Marco (NEC Laboratories Europe); Lutu, Andra (Telefonica Research); Yang, Miao (Katholieke Universiteit Leuven; University of Twente); Wang, Q. (TU Delft Embedded Systems); Pollin, Sofie (Katholieke Universiteit Leuven)","","2023","This paper studies a promising use case of a private 5G network for the sports industry: wearable bodycams and sensors in a football match. This use case requires a reliable and dedicated massive MIMO network to provide uniform coverage with a high capacity in the whole pitch area. The coverage of co-located and distributed (cell-free) massive MIMO, differing in the configuration of base station antenna placement inside the stadium, are evaluated through ray tracing using a 3D stadium model and players' mobility dataset. The results give insight into how distributed antennas inside the stadium should be deployed to enhance the uniform coverage of moving wearable devices. Besides that, the uplink capacity performance and the impact of channel aging on the capacity are also evaluated. The results demonstrate the advantages and disadvantages of different base station antenna distribution strategies in terms of coverage, capacity and channel aging impact.","massive MIMO; cell-free; coverage; channel aging; private 5G; sports","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-23","","","Embedded Systems","","",""
"uuid:7732f42f-879c-46d8-b6ba-c55a493ec77e","http://resolver.tudelft.nl/uuid:7732f42f-879c-46d8-b6ba-c55a493ec77e","Analytical Approach for Optimal Deployment of Drone Base Stations in Cellular Networks","Pijnappel, T.R. (Eindhoven University of Technology); van den Berg, J.L. (University of Twente); Borst, S.C. (Eindhoven University of Technology); Litjens, R. (TU Delft Network Architectures and Services; TNO)","","2023","Reliable mobile communications is of critical importance, and should be maintained even in case of extremely crowded events or emergency scenarios. In such scenarios the deployment of drone-mounted base stations offers an agile and cost-efficient way to sustain coverage and/or provide capacity relief. In this paper we develop an analytical method to estimate the blocking and coverage probabilities of drone-assisted cellular networks using information that is readily available from network planning tools. We demonstrate how this method can be used to determine the minimum required number of drones and their corresponding locations for a given target performance level.","Beyond 5G; drone base station positioning; call success rate; multi-class loss systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-23","","","Network Architectures and Services","","",""
"uuid:4c10a84c-bee4-4718-a5b7-9fd8e3bb4ed2","http://resolver.tudelft.nl/uuid:4c10a84c-bee4-4718-a5b7-9fd8e3bb4ed2","A Rigorous Analysis of the Random Noise in Reflection Coefficients Synthesized via Mixed-Signal Active Tuners","Mubarak, F.A. (TU Delft Electronics; Van Swinden Laboratorium (VSL)); Muñoz Muñoz, F.A. (Van Swinden Laboratorium (VSL)); Spirito, M. (TU Delft Electronics)","","2023","In this contribution, we present a rigorous analysis based on uncertainty propagation techniques to estimate the random variation of the controlled reflection coefficient in mixed-signal load-pull test benches. A digital-to-analog converter is commonly used in these test benches to generate the baseband signal required to synthesize the high-frequency, user-defined injected wave. To study the random noise of the injected wave, which can be mapped to the noise of the controlled reflection coefficient, we employ Jacobian sensitivity functions between the baseband signal and the RF one. First, the baseband integrated rms noise of the up-converter is evaluated, and then the upconverted noise is determined via the derived transfer function. Finally, experimental results to validate the uncertainty control bound of the synthesized reflection coefficients are presented, highlighting a full coverage of the measured reflection coefficients.","S-parameter noise; frequency domain noise; residual-error; noise analysis; uncertainty analysis; vector network analyzer (VNA)","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-17","","","Electronics","","",""
"uuid:137ec7f4-d2bc-4c3d-9c22-6ef1610d5640","http://resolver.tudelft.nl/uuid:137ec7f4-d2bc-4c3d-9c22-6ef1610d5640","Design and Qualification of a High-Speed Low-Power Comparator in 40 nm CMOS Technology","Mohammad Zaki, A.R. (TU Delft Electronic Instrumentation); Du, Yutong (Student TU Delft); Nihtianova, S. (TU Delft Electronic Instrumentation)","","2023","This paper presents the design methodology, test setup and experimental qualification results of a high-speed low-power threshold comparator in 40 nm CMOS technology intended for the registry of particles landing on a PIN-detector surface in particle detector readout electronics. The operation of the designed comparator is experimentally qualified for ideal digital pulses and analog signals generated by the preceding stages in a targeted potential application.","high-speed; low-power; readout interface; voltage comparator; temperature sensor","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-17","","","Electronic Instrumentation","","",""
"uuid:5261132e-1fba-423e-9df7-12814c88730a","http://resolver.tudelft.nl/uuid:5261132e-1fba-423e-9df7-12814c88730a","Comparative Analysis of Series Connected MOSFETs with Single Switch for ZVS Turn On Converter Topology","Sinha, P. (Student TU Delft); Yadav, S. (TU Delft DC systems, Energy conversion & Storage); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","Series-connected MOSFETs with lower voltage ratings can be an alternative for single high-voltage switches. This paper compares two switch configurations for the soft-switched power converter topology targeted for a 1400V DC grid. The first configuration is a single switch rated at 1700V whereas the other is a series connected two MOSFETs rated at 900V. A buck converter with 1400V and 700V as input and output voltages respectively is taken as a case study. Triangular current modulation (TCM) ensures zero voltage switching (ZVS) at turn-on for all the switches. The analysis shows that the series-switch configuration can have much lower overall losses than the single-switch configuration.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-08","","","DC systems, Energy conversion & Storage","","",""
"uuid:a2b4a410-0188-4164-80ee-5276f8db0b8b","http://resolver.tudelft.nl/uuid:a2b4a410-0188-4164-80ee-5276f8db0b8b","The power of composition","de Wit, S.I. (TU Delft Landscape Architecture)","Bevk, Tadej (editor); Golobič, Mojca (editor)","2023","Designed form is not about creating a good appearance. Nor is it restricted to the small scale. The small scale, however, can be used as a valuable playground and laboratory for large scale landscape designs. Three case studies are compared in which similar compositional principles are used at different scales and complexities: the technical, agricultural, pedagogic social reform landscape of the Gartenreich Dessau-Wörlitz (Duke Leopold III of Anhalt-Dessau, 1760-1830), the theatrical urban design for London connecting the government centre with the landscape panorama (John Nash, 1810-1826) and the residential urban landscape of Borneo-Sporenburg in Amsterdam (West8, 1993-2000). In each we can recognize the formal principles of the picturesque garden. Not the style, image, or shape, but the formal (visual-spatial) principles: landscape fragments that are connected by a route as a scenographic succession of composed scenes or tableaux, using the existing topography as a base. Such timeless compositional principles, as the relation between form and space as the initiator and carrier of structure, are versatile enough to accommodate and generate varying uses and processes. These examples illustrate a landscape architectural position that is in its essence not about problem solving but about creating form as condition for different uses, intended and unintended, expected, and unexpected, for human and non-human practices and experiences.","Landscape architecture; scale; compositional design principles","en","conference paper","University of Ljubliana","","","","","","","","","","Landscape Architecture","","",""
"uuid:0b72631a-814f-487b-a3fa-b1aa30b7aaf0","http://resolver.tudelft.nl/uuid:0b72631a-814f-487b-a3fa-b1aa30b7aaf0","SieveMem: A Computation-in-Memory Architecture for Fast and Accurate Pre-Alignment","Shahroodi, T. (TU Delft Computer Engineering); Miao, Michael (Student TU Delft); Zahedi, M.Z. (TU Delft Computer Engineering); Wong, J.S.S.M. (TU Delft Computer Engineering); Hamdioui, S. (TU Delft Computer Engineering)","","2023","The high execution time of DNA sequence alignment negatively affects many genomic studies that rely on sequence alignment results. Pre-alignment filtering was introduced as a step before alignment to reduce the execution time of short-read sequence alignment greatly. With its success, i.e., achieving high accuracy and thus removing unnecessary alignments, the filtering itself now constitutes the larger portion of the execution time. A significant contributing factor entails the movement of sequences from the memory to the processing units, while a majority will filter out as they do not result in an acceptable alignment. State-of-the-art (SotA) pre-alignment filtering accelerators suffer from the same overhead for data movements. Furthermore, these accelerators lack support for future pre-alignment filtering algorithms using the same operations and underlying hardware. This paper addresses these shortcomings by introducing SieveMem. SieveMem is an architecture that exploits the Computation-in-Memory paradigm with memristive-based devices to support shared kernels of pre-alignment filters and algorithms inside the memory (i.e., preventing data movements). SieveMem architecture also provides support for future algorithms. SieveMem supports more than 47.6% of shared operations among all top 5 SotA filters. Moreover, SieveMem includes a hardware-friendly pre-alignment filtering algorithm called BandedKrait, inspired by a combination of mentioned kernels. Our evaluations show that SieveMem provides up to 331.1 x and 446.8 × improvement in the execution time of the two most-common kernels. Our evaluations also show that BandedKrait provides accuracy at the SotA level. Using BandedKrait on SieveMem, a design we call Mem-BandedKrait, one can improve the execution time of end-to-end sequence alignment irrespective of the dataset, which can go up to 91.4 × compared to the SotA accelerator on GPU.","Alignment; Pre-alignment Filter; Computation in Memory; Emerging Memory Technology; Hardware Accelerator","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-02","","","Computer Engineering","","",""
"uuid:c7aae6a5-8580-49ec-a906-099aa430f350","http://resolver.tudelft.nl/uuid:c7aae6a5-8580-49ec-a906-099aa430f350","Implementation of Active Damping Control Methodology on Modular Multilevel Converter(MMC)-Based Arbitrary Wave Shape Generator Used for High Voltage Testing","Zhou, Xiaochuan (Student TU Delft); Ganeshpure, D.A. (TU Delft High Voltage Technology Group); Soeiro, Thiago B. (University of Twente); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Wu, Y. (TU Delft DC systems, Energy conversion & Storage); Vaessen, P.T.M. (TU Delft High Voltage Technology Group; KEMA Laboratories)","","2023","In order to damp the resonance in the MMC-based Arbitrary Wave shape Generator (AWG) used for high voltage testing, an active damping control methodology is proposed in this paper instead of the passive damping with an arm resistor. It is vital to ensure the system’s stability when such an active damping closed loop control is implemented. Consequently, optimal parameters of a PI controller are designed by analyzing the stability margins of the involved transfer function using Bode-Plots. The performance of the designed active damping control methodology and the PI controller have been demonstrated with a 50 Hz sinusoidal waveform and arbitrary waveforms such as triangular, trapezoidal, and complex waveforms in MATLAB-Simulink. These results proves that the output voltage can track the reference without any reasonable error and does not contain any resonant frequency. Additionally, the Total Harmonic Distortion (THD) of the sinusoidal waveform and other arbitrary waveforms is less than 1% with the Phase Shift Carrier (PSC) modulation technique.","Modular Multilevel Converters (MMC); Phase-Shift Mode; Active damping; Controllers PI control; Stability analysis","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-02","","","High Voltage Technology Group","","",""
"uuid:f4c104e3-f9bb-4bd2-bbde-039f118e3883","http://resolver.tudelft.nl/uuid:f4c104e3-f9bb-4bd2-bbde-039f118e3883","Robust Pareto-Optimal Radar Receive Filter Design for Noise and Sidelobe Suppression","Kokke, C.A. (TU Delft Signal Processing Systems); Coutiño, Mario (TNO); Heusdens, R. (Netherlands Defence Academy); Leus, G.J.T. (TU Delft Signal Processing Systems); Anitori, Laura (TNO)","","2023","Integrated sidelobe level is a useful measure to quantify robustness of a waveform-filter pair to unknown range clutter and multiple closely located targets. Sidelobe suppression on receive will incur a loss in the signal to noise ratio after pulse compression. We derive a pulse compression filter that has the greatest integrated sidelobe suppression possible for a given acceptable signal to noise ratio loss. The solution is given in a closed form, which can be adjusted using a single parameter to chose between greater sidelobe or interference and noise suppression. We verify the derived filter using simulations, comparing it to other proposed mismatched filter designs. To expand the robustness of the filter, we additionally investigate noise uncertainty robustness. We derive two robustness measures for noise uncertainty and analyze the performance through simulation.","robust pulse compression; radar signal processing; filter optimization; integrated sidelobe ratio","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Signal Processing Systems","","",""
"uuid:cb4d5bc3-7f49-444a-9d22-4fb6019e31f7","http://resolver.tudelft.nl/uuid:cb4d5bc3-7f49-444a-9d22-4fb6019e31f7","A Monadic Framework for Name Resolution in Multi-phased Type Checkers","Poulsen, C.B. (TU Delft Programming Languages); Zwaan, A.S. (TU Delft Programming Languages); Hübner, Paul (Student TU Delft)","","2023","An important aspect of type checking is name resolution — i.e., determining the types of names by resolving them to a matching declaration. For most languages, we can give typing rules that define name resolution in a way that abstracts from what order different units of code should be checked in. However, implementations of type checkers in practice typically use multiple phases to ensure that declarations of resolvable names are available before names are resolved. This gives rise to a gap between typing rules that abstract from order of type checking and multi-phased type checkers that rely on explicit ordering.
This paper introduces techniques that reduce this gap. First, we introduce a monadic interface for phased name resolution which detects and rejects type checking runs with name resolution phasing errors where names were wrongly resolved because some declarations were not available when they were supposed to be. Second, building on recent work by Gibbons et al., we use applicative functors to compositionally map abstract syntax trees onto (phased) monadic computations that represent typing constraints. These techniques reduce the gap between type checker implementations and typing rules in the sense that (1) both are given by compositional mappings over abstract syntax trees, and (2) type checker cases consist of computations that roughly correspond to typing rule premises, except these are composed using monadic combinators. We demonstrate our approach by implementing type checkers for Mini-ML with Damas-Hindley-Milner type inference, and LM, a toy module language with a challenging import resolution policy.
locally, but such support is lacking when browsing the same specifications online in code repositories.
This paper presents a technique to support precise name-based navigation of language specifications in online repositories using ordinary web browsers. The idea is to generate hyperlinked twins: websites where verbatim copies of specification text are enhanced with hyperlinks between name references and declarations. By generating hyperlinks directly from the name binding analysis used internally in a language workbench, online navigation in hyperlinked twins is automatically consistent with local navigation.
The presented technique has been implemented for the Spoofax language workbench, and used to generate hyperlinked twin websites from various language specifications in Spoofax meta-languages. However, the applicability of the technique is not limited to Spoofax, and developers of other language workbenches could presumably implement similar tooling, to make their language specifications more accessible to those who do not have the workbench installed.","code navigation; hyperlinked twins; language specifications; meta-languages; language workbenches","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Programming Languages","","",""
"uuid:807fc6b5-2562-4a92-92f7-ece3887e5bd2","http://resolver.tudelft.nl/uuid:807fc6b5-2562-4a92-92f7-ece3887e5bd2","Virtual Impedance Control for Load Sharing and Bus Voltage Quality Improvement","Xiao, J. (TU Delft DC systems, Energy conversion & Storage); Wang, L. (TU Delft DC systems, Energy conversion & Storage); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","This paper proposes a virtual impedance reshaping strategy to share active and harmonic power while promoting the PCC voltage quality. Moreover, the suggested method is resilient to cyber-attacks and immune to communication interruption and delay. Furthermore, it significantly reduces the communication burden. Experiments verify the effectiveness.","AC-DC microgrid; Cyber attack; Cyber physical system; Decentralized control structure; Voltage Source Converters (VSCs)","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-08","","","DC systems, Energy conversion & Storage","","",""
"uuid:8d07faed-935a-4a93-b18c-c9c15ba16707","http://resolver.tudelft.nl/uuid:8d07faed-935a-4a93-b18c-c9c15ba16707","Numerical investigation of the equipment set-up in triaxial testing of soft soils","Chao, C. (TU Delft Geo-engineering); Jommi, C. (TU Delft Geo-engineering; Politecnico di Milano); Muraro, S. (TU Delft Geo-engineering)","Zdravkovic, Lidija (editor); Kontoe, Stavroula (editor); Tsiampousi, Aikaterini (editor); Taborda, David (editor)","2023","Element testing of soft soils is challenging due to the large strains attained in the pre-failure range. Besides the heterogeneity of natural samples, the set-up configuration is the main driving factor for non-homogenous response. Stress, strain and pore pressure non-uniformities induced by the loading system affect the observed behaviour and complicate proper interpretation of the results. Among the difficulties encountered in the interpretation of laboratory data, the unexpected decrease of the stress ratio frequently observed on Dutch organic soft clays on the wet side of critical state is investigated by numerically back-analysing the triaxial test set-up. A 3D finite element simulation using an advanced constitutive model for soft clays developed at TU Delft was performed to clarify the nature of the response. The results indicate that a decrease in the deviatoric stress up to critical state may be interpreted as a true feature of the soil response. However, the response at large strains is very much influenced by the triaxial shear apparatus, in particular, by the rotation of the top cap which triggers geometrical instability. Practical recommendations are given to limit the effects of the set-up configuration on the determination of the undrained shear strength to be used for field applications.","Soft soils; Triaxial test; Constitutive modelling; Finite element simulation; Experimental set-up","en","conference paper","International Society for Soil Mechanics and Geotechnical Engineering","","","","","","","","","","Geo-engineering","","",""
"uuid:58c47897-9855-45e2-8be8-c5fd43f7709c","http://resolver.tudelft.nl/uuid:58c47897-9855-45e2-8be8-c5fd43f7709c","Introducing the third space of design for well-being: Exploring the intersection between problem- and possibility-driven design through a design case on online dating experience","Hajdu, E. (TU Delft Design Conceptualization and Communication); Ertürkan, H. (TU Delft Emerging Materials); Desmet, P.M.A. (TU Delft Human-Centered Design)","De Sainz Molestina, D. (editor); Rizzo, F. (editor); Spallazzo, D. (editor)","2023","This paper explores the traditional distinction between problem- and possibility-driven design for promoting user well-being. Problem-driven design identifies and addresses unfulfilled needs to reduce ill-being (i.e., the first space of design for well-being), while possibility-driven design seeks to enhance well-being without addressing existing problems (i.e., the second space of design for well-being). The latter is informed by positive psychology, which studies the conditions for human flourishing. Although possibility-driven design has added a valuable new focus to the design repertoire, it confronts designers with some key challenges that are currently unresolved. Examples of these challenges include finding focus, determining evaluation metrics, and convincing stakeholders. To address these issues, this paper introduces a third space of design for well-being that integrates the two approaches with the intention of combining their strengths. The paper begins by discussing the first two spaces, then introduces the ideas behind the third space, and presents a design case of the third space in action. The case involves a design that supports the well-being of people engaging in online dating. The case is used as a platform to explore and discuss the strengths and weaknesses of the third space, and possible future applications of the integrated approach to design for well-being.","possibility-driven design; methodology; design case; subjective well-being","en","conference paper","IASDR","","","","","","","","","Human-Centered Design","Design Conceptualization and Communication","","",""
"uuid:031fcf64-effc-4cd2-abb6-8b8b21631a2b","http://resolver.tudelft.nl/uuid:031fcf64-effc-4cd2-abb6-8b8b21631a2b","Emerging decentralized infrastructure networks","Hasankhani, M. (TU Delft Design for Sustainability); van Engelen, J.M.L. (TU Delft Design for Sustainability); Celik, S (TU Delft Methodologie en Organisatie van Design); Diehl, J.C. (TU Delft Design for Sustainability)","De Sainz Molestina, D. (editor); Rizzo, F. (editor); Spallazzo, D. (editor)","2023","Distributed hydrogen infrastructures have emerged as a complementary element in the quest for sustainable energy solutions, with potential applications in regions featuring high industrial demands and spatially resolved negative residual loads. These infrastructures can contribute to the decarbonization of electricity, heating, and transport sectors while enhancing local renewable energy consumption and supporting energy storage and demand-side management. However, their development presents unique design challenges, calling for a comprehensive understanding of stakeholder roles and relationships in the evolving ecosystem. This study examines stakeholder network dynamics within the distributed hydrogen ecosystem, focusing on the Netherlands' built environments. Through the analysis of 16 case studies, we employ Social Network Analysis (SNA) to identify and analyse stakeholder network involved in the early design and implementation of distributed hydrogen infrastructures. Our findings highlight emerging roles and interests due to the rise of such infrastructures, emphasizing the need for adaptable stakeholder relations. Design plays a critical role in this context, enabling stakeholders to navigate challenges, innovate, and foster collaboration. The paper explores stakeholder categories, providing insights into their interactions and coordination strategies. Ultimately, our study offers valuable guidance to practitioners and policymakers, promoting stakeholder collaboration for successful distributed hydrogen infrastructure deployment in pursuit of a sustainable, low-carbon energy future.","decentralized hydrogen infrastructure network; stakeholder network analysis; social network analysis; participatory design","en","conference paper","IASDR","","","","","","","","","","Design for Sustainability","","",""
"uuid:83e823a0-a175-4baf-88ef-7f849911eb34","http://resolver.tudelft.nl/uuid:83e823a0-a175-4baf-88ef-7f849911eb34","Touchy-feely: A designerly exploration of haptic representations of three mood states","Xue, H. (TU Delft Design Aesthetics); Zheng, Qianqian (Bybit Fintech Limited, Dubai); Desmet, P.M.A. (TU Delft Human-Centered Design)","De Sainz Molestina, D. (editor); Rizzo, F. (editor); Spallazzo, D. (editor)","2023","Human moods are diffuse, elusive, and often difficult to articulate, yet they hold immense potential for wellbeing-centric design because they play a significant role in shaping our everyday life experiences. A person’s mood influences their experiences with a designed system, and in turn, their interactions with the design also influence their mood for better or worse. To enable designers to better comprehend and communicate moods in their design processes, this paper reports a project that explored the possibilities of creating haptic objects as a medium for communicating subtle qualities of specific moods. The project focused on three commonly experienced moods - cheerfulness, grumpiness, and gloominess. We first identified a set of haptic features that represent experiential qualities of these moods. These features were then synthesised into three mood-expressing haptic objects, which were evaluated using a blind test. The results indicated that haptic objects can be purposefully created, and that haptic qualities hold promise to complement verbal and visual forms of mood communication.","mood; mood-focused design; designerly exploration; mood-expressing haptic objects","en","conference paper","IASDR","","","","","","","","","Human-Centered Design","Design Aesthetics","","",""
"uuid:e5ac441b-db67-415e-8a99-35176cf3c7c7","http://resolver.tudelft.nl/uuid:e5ac441b-db67-415e-8a99-35176cf3c7c7","Towards Hydrogen-Fuelled Marine Vessels using Solid Hydrogen Carriers","van Benten, M.C. (TU Delft Marine and Transport Technology); Padding, J.T. (TU Delft Complex Fluid Processing); Schott, D.L. (TU Delft Transport Engineering and Logistics)","","2023","Solid hydrogen carriers, such as sodium borohydride or potassium borohydride, are considered promising options to enable the use of hydrogen as a fuel for marine vessels, because of their favourable gravimetric and volumetric energy density compared to compressed or liquefied hydrogen. When using solid hydrogen carriers, in the form of granules or powder, as fuel for marine vessels, a ’spent fuel’ forms which has to be stored on the vessel for the remainder of the voyage. The spent fuel has to be regenerated upon arrival at the destination port to achieve circularity. From an operational perspective, both the fuel and the spent fuel have to be stored for at least the duration of one vessel trip. To design the required storage and handling equipment to realize a circular bunkering process, the mechanical characteristics of both the fuel and the spent fuel e.g. particle size distribution, internal friction, cohesion, wall-friction, and flowability are required. However, little is known about these mechanical characteristics. Consequently, this paper aims to identify the relevant mechanical characteristics of solid hydrogen carriers in the context of bunkering marine vessels. Therefore, an extensive experimental plan using, amongst others, a ring shear tester and a ledge test is presented together with preliminary results of mechanical characteristics including time consolidation effects. The paper concludes with an outlook on the use of the results in DEM-supported design for storage and handling equipment, both onboard the vessel and in the port.","","en","conference paper","","","","","","","","","","Marine and Transport Technology","Transport Engineering and Logistics","","",""
"uuid:18fc578e-10b5-4c66-8bdb-6ed230da056f","http://resolver.tudelft.nl/uuid:18fc578e-10b5-4c66-8bdb-6ed230da056f","Enhancing retention and transfer in mathematics in engineering education practice","Klaassen, R.G. (TU Delft Policy & Implementation); Cabo, A.J. (TU Delft Statistics)","Reilly, Ger (editor); Murphy, Mike (editor); Nagy, Balazs Vince (editor); Jarvinen, Hannu-Matti (editor)","2023","This article is a reflection of a SEFI workshop on Retention. In the workshop, a SWOT Analysis has been realised of four pedagogical solutions addressing Retention in undergraduate STEM education. The pedagogical solutions are programmatic assessment, micro-credentials for online mathematics (support) learning modules, autonomous and self-regulated learning and mathematical competencies for learning. Results have provided insights into the relevance and feasibility of implementation.","Mathematics Competencies; Transfer Mathematics to Engineering","en","conference paper","","","","","","","","","","","Statistics","","",""
"uuid:c6f7d39d-7691-4e35-8875-78a7fe740fe6","http://resolver.tudelft.nl/uuid:c6f7d39d-7691-4e35-8875-78a7fe740fe6","Post DC Fault Circulating Current Suppression Control","Kamat Tarcar, R. (TU Delft Intelligent Electrical Power Grids); Shetgaonkar, A.D. (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids); van der Meijden, M.A.M.M. (TU Delft Intelligent Electrical Power Grids; TenneT TSO B.V.); Winter, Wilhelm (TenneT TSO B.V.); Ndreko, M. (TU Delft Intelligent Electrical Power Grids; TenneT TSO B.V.); Dimitrovski, R. (TU Delft Intelligent Electrical Power Grids; TenneT TSO B.V.); Burkhardt, Matthias (TenneT TSO B.V.); Lekić, A. (TU Delft Intelligent Electrical Power Grids)","","2023","The radial topology of the Multi-terminal High Voltage Direct Current (MTDC) power system is a preferred connection for the gigawatt- renewable power due to its scalability and reliability. However, a radial topology with a metallic return bipolar converter configuration MTDC network possesses technical challenges regarding DC fault current interruption and grid expansion. Furthermore, such HVDC networks are energized in a specific manner, usually involving a separate energizing controller. This paper proposes a design of DC Hubs with direct current circuit breakers (DCCBs) along with a network energization sequence without requiring a separate controller. Additionally, a PI-based controller for post-DC fault circulating current in MTDC's metallic return is proposed. This control operates after DCCB recloses, removing any offset in the metallic cable by regulating the power setpoint in the converters. The proposed control is investigated under a pole-to-ground fault occurrence in the DC Hub. The proposed solution is validated by RSCAD/RTDS@ simulation by applying detailed and average equivalent models of turbines, DCCBs and converters. The results of this simulation show a successful suppression of the DC circulating current, which results in a balanced operation of the MMCs in the post fault steady state conditions.","Bipolar MMC; Current Suppression Control; Metallic return; MTDC; RTDS; Startup Sequence; VARC DCCB","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-01","","","Intelligent Electrical Power Grids","","",""
"uuid:5aa281c1-3173-4771-9c96-2930ece582b7","http://resolver.tudelft.nl/uuid:5aa281c1-3173-4771-9c96-2930ece582b7","Polymer-Assisted-Water-Alternating-Gas for Improving the CO2 Flow Properties in Porous Media","Mirzaie Yegane, M. (TU Delft Reservoir Engineering; Dutch Polymer Institute); van Wieren, Thijs (Student TU Delft); Fadili, Ali (Shell Global Solutions International B.V.); van Batenburg, Diederik (Shell Global Solutions International B.V.); Leblanc, Thierry (SNF S.A.); Zitha, P.L.J. (TU Delft Reservoir Engineering)","","2023","CO2 flow in porous media is vital for both enhanced oil recovery and underground carbon storage. For improving CO2 mobility control and thus improved reservoir sweep efficiency, Water-Alternating-Gas (WAG) injection has often been applied. The effectiveness of WAG diminishes, however, due to the presence of micro-scale reservoir heterogeneity which results in an early breakthrough of gas. We propose Polymer-assisted WAG (PA-WAG) as an alternative method to reduce gas mobility, while also reducing the mobility of the aqueous phase, and consequently improving the performance of WAG. In this method, high molecular weight water-soluble polymers are added to the water slug. The goal of this work was to investigate the feasibility of PA-WAG and study the transport processes in porous media. An ATBS-based polymer (SAV 10 XV) was chosen as polymer and CO2 at immiscible conditions as gas. The objective of the experiments was to compare the performance of CO2, WAG, and PA-WAG injection schemes by conducting a series of X-ray computed tomography (CT)-aided core-flood experiments in Bentheimer cores. Core-flood results clearly demonstrated the beneficial effects of PA-WAG over WAG and continuous CO2 injection. Continuous injection of CO2 led to the recovery factor (RF) of only 39.0 ± 0.5% of the original oil in place (OOIP). In-situ visualization of CO2 displacement showed strong gravity segregation and viscous fingering because of the contrast in the viscosities and densities of CO2 and oil. The injection of WAG almost doubled the oil recovery (i.e., RF=76.0 ± 0.5%); however, the water and gas breakthroughs still occurred in the early stage of the injection (0.22 PV for water and 0.27 PV for CO2). The addition of the polymer to the aqueous phase delayed both the water and CO2 breakthrough (0.51 PV for water and 0.35 PV for CO2). This resulted in an additional 10% in the recovery factor. Using a single injection method, polymer adsorption was found to be 79.0 ± 0.5 μg polymer/g rock. The polymer adsorption can reduce the micro-scale permeability and as a result, mitigates the gas channeling. This in turn leads to the delay in CO2 breakthrough during PA-WAG injection as was evident from in-situ visualization. This experimental study demonstrated a positive response of PA-WAG compared to WAG and paves the way for its implementation in field applications.","","en","conference paper","Society of Petroleum Engineers (SPE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-16","","","Reservoir Engineering","","",""
"uuid:fcd4097c-f797-42f4-84c8-da1472ccb75d","http://resolver.tudelft.nl/uuid:fcd4097c-f797-42f4-84c8-da1472ccb75d","Sensing Care Through Design: A Speculative Role-play Approach to ""Living with"" Sensor-supported Care Networks","Rattay, Sonja (University of Copenhagen); Collins, Robert (Umeå University); Surana, Aditi (University of Edinburgh); Lee, Youngsil (University of Edinburgh); Liu, Y. (TU Delft Human Information Communication Design); Mauri, Andrea (Université Claude Bernard Lyon 1); Urquhart, Lachlan D (University of Edinburgh); Vines, John (University of Edinburgh); Wilson, Cara (University of Edinburgh); Pschetz, Larissa (University of Edinburgh); Rozendaal, M.C. (TU Delft Human Information Communication Design); Shklovski, Irina (University of Copenhagen)","Byrne, D. (editor); Martelaro, N. (editor)","2023","Sensor networks are increasingly commonplace in visions of smart cities and future healthcare systems, promising greater efficiency and increased wellbeing. However, the design of these technologies remains focused on specific users and fragmented by context, overlooking the diversity of needs, wants and values present when technologies, people, and lived realities interact within instrumented spaces. In this paper we present a workshop method – Sensing Care – that can help researchers, interdisciplinary design and development teams, and potentially affected users, to explore what it takes to design for living with sensor technologies that intersect and interact across private and public spaces, through speculative scenarios and role play. Drawing from three deployments of the workshop, we discuss how this approach supports the design of future care-oriented sensor networks, and helps designers understand what it means to live with complex technologies as people traverse diverse contexts.","Design methods; location-aware computing; contextual computing","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-10","","","Human Information Communication Design","","",""
"uuid:3135699e-b332-4e46-b31b-e77339e183a2","http://resolver.tudelft.nl/uuid:3135699e-b332-4e46-b31b-e77339e183a2","Topio Marketplace: Search and Discovery of Geospatial Data","Ionescu, A. (TU Delft Web Information Systems); Alexandridou, Alexandra (Roleplay); Psarakis, K. (TU Delft Web Information Systems); Patroumpas, Kostas (Athena Research Center); Chatzigeorgakidis, Georgios (Athena Research Center); Skoutas, Dimitrios (Athena Research Center); Athanasiou, Spiros (Athena Research Center); Hai, R. (TU Delft Web Information Systems); Katsifodimos, A (TU Delft Web Information Systems)","","2023","The increasing need for data trading has created a high demand for data marketplaces. These marketplaces require a set of valueadded services, such as advanced search and discovery, that have been proposed in the database research community for years, but are yet to be put to practice. In this paper we propose to demonstrate the Topio Marketplace, an open-source data market platform that facilitates the search, exploration, discovery and augmentation of data assets. To support filtering, searching and discovery of data assets, we developed methods to extract and visualise a variety of metadata, as well as methods to discover related assets and mechanism to augment them. This paper aims at presenting these methods with a real deployment of the Topio marketplace, comprising hundreds of open and proprietary datasets.","","en","conference paper","","","","","","","","","","","Web Information Systems","","",""
"uuid:068d8cfd-be1e-42f6-b29e-ccfbac1206dc","http://resolver.tudelft.nl/uuid:068d8cfd-be1e-42f6-b29e-ccfbac1206dc","Shape matters: Influence of varying settlement profiles due to multicausal subsidence when modelling damage in a masonry façade","Prosperi, A. (TU Delft Applied Mechanics); Longo, M. (TU Delft Applied Mechanics); Korswagen, Paul A. (TU Delft Applied Mechanics); Korff, M. (TU Delft Geo-engineering; Deltares); Rots, J.G. (TU Delft Applied Mechanics)","","2023","This paper demonstrates the use of non-linear finite element modelling to investigate the response of structures subjected to different shapes of subsidence-related ground settlements. The approach is presented with reference to a two-storey unreinforced masonry façade resting on a shallow foundation. Eight realistic settlement shapes, based on field and literature data, are applied in the model with increasing intensity. The intensity of the subsidence profiles is characterized using their (angular) distortion. The extent of the induced damage on the façade is objectively and directly quantified by a damage parameter, based on the number of cracks, their length and opening. The performance of different settlement indicators and corresponding limiting values, typically employed in the state of the art, is in this paper discussed in relation to the damage modelling strategy; these are observed to be dependent on the shape of the settlement profiles. The aim of this paper is thus to provide insight into the extent to which the vulnerability of masonry buildings depends on the shape of the subsidence pattern and may serve as a warning not to use (deterministic) damage indicators such as angular distortion without considering the settlement shape.","","en","conference paper","","","","","","","","","","","Applied Mechanics","","",""
"uuid:d8af4b0a-fc99-4b63-9795-9a30a8869eaf","http://resolver.tudelft.nl/uuid:d8af4b0a-fc99-4b63-9795-9a30a8869eaf","Numerical Simulations of a Landing Gear with Flow Through Fairings for Noise Mitigation","Terracol, Marc (ONERA Centre de Meudon); Manueco, Lucas (ONERA Centre de Meudon); Manoha, Eric (CNRS-ONERA); Avallone, F. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy); Rubio Carpio, A. (TU Delft Wind Energy)","","2023","This study presents a numerical investigation of the noise mitigation effect provided by several fairings placed upstream of a simplified two-wheel landing gear. The chosen configuration is equipped with detachable elements that mimic realistic components, e.g. brakes and torque link, to include representative landing gear noise sources. Several numerical simulations of the flow developing around this landing gear have been carried out, with or without an additional upstream fairing to control the noise generation processes. The chosen configurations match those from related experiments performed at the Delft University of Technology. Both the numerical and the experimental studies are conducted in the framework of the European Union Horizon 2020 research project INVENTOR (INnoVative dEsign of iNstalled airframe componenTs for aircraft nOise Reduction). The numerical method is based on the Zonal Detached Eddy Simulation approach, applied on a set of Cartesian octree grids with a specific immersed boundary wall treatment. Both solid and wire mesh fairings are considered, the latter being accounted for thanks to a specific wire mesh numerical model. Overall, the simulations show a nice agreement with the measurements and allow a thorough analysis of the flow modifications responsible for noise mitigation when the fairings are introduced.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Wind Energy","","",""
"uuid:65cd8ffe-d388-40e3-bcf1-06f7fc649022","http://resolver.tudelft.nl/uuid:65cd8ffe-d388-40e3-bcf1-06f7fc649022","Impact of Control Allocation Methods on the Design of Control Surface Layouts for Box-Wing Aircraft under Flying Qualities Constraints","Wahler, N.F.M. (University of Southampton); Varriale, Carmine (TU Delft Flight Performance and Propulsion); la Rocca, G. (TU Delft Flight Performance and Propulsion)","","2023","This paper compares optimum control surface layouts designed and sized to obtain the same Flying Qualities (FQs) performance with different Control Allocation (CA) methods, and proposes novel layouts for staggered box-wing aircraft aimed at transonic commercial flight. Box-wings allow the installation of redundant control surfaces for which no explicit role can be defined a priori, but present challenges related to aerodynamic interaction and interference effects. To evaluate the impact of different CA methods on top-level layout parameters, the cumulative control surface span and the properties of the Attainable Moment Set (AMS) corresponding to each control surface layout are used. A physics-based multi-disciplinary optimization framework is developed to size the control surface layout. FQs are evaluated through non-linear flight dynamics simulation, using a variable-architecture flight control system that allows their assessment as a function of different CA methods. The most traditional Mechanical Gearing and Ganging (MGG) approach, the Constrained Pseudo-Inverse (CPI) method and the Direct Control Allocation (DCA) method are compared. Results show that different optimum layouts exist with comparable cumulative span, for a given CA method and same FQs requirements. The traditional MGG approach requires the largest cumulative control surface span, but retains the best ability to generate coupled roll-pitch moments. DCA requires the smallest cumulative control surface span, with the largest AMS volume. By using this method, a novel layout featuring a mid-wing rear elevon has been discovered, which reduces the total required control surface span by about 13%, results in a 3.7% increase of span available for flaps on the front wing, and avoids detrimental aerodynamic interaction effects near the wing-tail intersection region.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:7849f99f-f52c-4d13-8f63-04a725554555","http://resolver.tudelft.nl/uuid:7849f99f-f52c-4d13-8f63-04a725554555","Cost benefit and environmental impact assessment of autonomous eTaxi","Roling, P.C. (TU Delft Air Transport & Operations)","","2023","One of the proposed methods of decreasing fuel consumption and emissions at airport is by equipping aircraft with electric motors for movement on the ground. In this paper a high level determination is given on what the potential average and marginal fuel savings and impact on emissions is for some of the larger airports and airlines in Europe and North America. The system could potentially be deployed on a selected sub fleet of aircraft, but fleet wide integration is not likely to result in cost covering benefits. The system is shown to be most beneficial on shorter flights between large airports, provided aircraft are not towed there.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Air Transport & Operations","","",""
"uuid:d2f5db3d-72e0-450e-96f4-aa3760119b93","http://resolver.tudelft.nl/uuid:d2f5db3d-72e0-450e-96f4-aa3760119b93","Moving from single to multi-functional infrastructure: Insights from European cases","Ninan, Dr Johan (TU Delft Integral Design & Management); Hertogh, M.J.C.M. (TU Delft Integral Design & Management); Lim, Y. (TU Delft Integral Design & Management); de Boer, J.J. (TU Delft Research Support & Innovation); Pesamaa, Ossi (Luleå University of Technology); Van Acker, Maarten (Universiteit Antwerpen); Schwab, Eva (Graz University of Technology); Ilsbroekx, Raf (Universiteit Antwerpen); Bernsteiner, Johannes (Graz University of Technology)","","2023","","","en","conference paper","","","","","","","","","","","Integral Design & Management","","",""
"uuid:b9add7e3-5137-474b-ac01-464972ceeb6a","http://resolver.tudelft.nl/uuid:b9add7e3-5137-474b-ac01-464972ceeb6a","Automated design of pneumatic soft grippers through design-dependent multi-material topology optimization","Pinskier, Josh (CSIRO Data61); Kumar, Prabhat (Indian Institute of Technology Hyderabad); Langelaar, Matthijs (TU Delft Computational Design and Mechanics); Howard, David (CSIRO Data61)","","2023","Soft robotic grasping has rapidly spread through the academic robotics community in recent years and pushed into industrial applications. At the same time, multimaterial 3D printing has become widely available, enabling the monolithic manufacture of devices containing rigid and elastic sections. We propose a novel design technique that leverages both technologies and can automatically design bespoke soft robotic grippers for fruit-picking and similar applications. We demonstrate the novel topology optimisation formulation that generates multi-material soft grippers, can solve internal and external pressure boundaries, and investigate methods to produce air-tight designs. Compared to existing methods, it vastly expands the searchable design space while increasing simulation accuracy.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-15","","","Computational Design and Mechanics","","",""
"uuid:f2107943-82d4-4680-931f-70d2450a6504","http://resolver.tudelft.nl/uuid:f2107943-82d4-4680-931f-70d2450a6504","Efficient Embedded Element Pattern Prediction via Machine Learning: A Case Study with Planar Non-Uniform Sub-Arrays","Onat, N.B. (TU Delft Microwave Sensing, Signals & Systems); Roldan Montero, I. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems); Aslan, Y. (TU Delft Microwave Sensing, Signals & Systems)","","2023","Efficient prediction of embedded element patterns (EEPs) is including the mutual coupling (MC) effects in the optimization of irregular planar arrays is studied for the first time in the literature. An ANN-based methodology is used to predict the pattern of each element in the whole visible space for a flexible planar array topology in milliseconds. The technique is proposed is validated on a 4-element planar non-uniform sub-array structure. Excellent accuracy on the EEP prediction while providing great efficiency in computational time and load in comparison to the full-wave simulations is demonstrated.","artificial neural network (ANN); embedded element pattern (EEP); irregular antenna array; mutual coupling (MC); phased array antenna","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:e8074ba9-2b42-4f17-9055-dbdc29e4c838","http://resolver.tudelft.nl/uuid:e8074ba9-2b42-4f17-9055-dbdc29e4c838","Statistical Effects of Propagation Environment and Transmit Array Topology on Cell-Edge User Service Quality at mm-Waves","Van de Kreeke, N. (TU Delft Microwave Sensing, Signals & Systems); Aslan, Y. (TU Delft Microwave Sensing, Signals & Systems); Onat, N.B. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","The effects of multipath on the statistical cell-edge user service quality is for the first time investigated for mm-wave multi-user communication systems. The focus is given on setting the user spacing constraints and the transmit array topology via thinning, which can be used to enhance wireless security or decrease analog/digital complexity. A hybrid line-of-sight/non-line-of-sight channel is created by using a statistical model following the communication standards. The multipath signal components are included in the model by using non-coherent or coherent modes of operation. It is shown in simulation that selection, by the medium access control layer, of large angular spacings between the simultaneously served users and application of antenna array thinning at the array edges improves the system performance.","base stations; multibeam antennas; millimeter wave propagation; phased arrays; communication systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:7ab75361-da97-4738-96be-7cd0612d14b5","http://resolver.tudelft.nl/uuid:7ab75361-da97-4738-96be-7cd0612d14b5","Broadband Waveguide Characterization of 3D-Printed Anisotropic Dielectric Crystals","Hehenberger, S.P. (TU Delft Microwave Sensing, Signals & Systems; German Aerospace Center); Caizzone, Stefano (German Aerospace Center); Thurner, Stefan (German Aerospace Center); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","Additive manufactured structured dielectrics with engineered permittivity tensors are promising tools for novel microwave components and are drawing increasing attention from researchers. However, design modeling and experimental verification of anisotropic materials are challenging and have not yet been thoroughly explored in the literature. In this work, a design approach based on superimposed spatial harmonics for the design of anisotropic lattices called dielectric crystals is used. Furthermore, the plane wave expansion method (PWEM) is identified as a powerful tool for modeling the effective permittivity tensor. A wideband material characterization measurement setup based on rectangular waveguides is utilized for experimental verification. Experiments with uniaxial anisotropic dielectric crystals are carried out and are shown to be in satisfying agreement with our theoretical modeling.","additive manufacturing; 3D-printing; structured dielectric; dielectric crystal; uniaxial anisotropy; biaxial anisotropy; anisotrop; permittivity tensor; waveguide measurement; broadband; material characterization","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:3694a7cc-701e-4be7-b26a-e3cadcd02a61","http://resolver.tudelft.nl/uuid:3694a7cc-701e-4be7-b26a-e3cadcd02a61","A Filtering Dipole Antenna Design with Bandwidth Enhancement for 5G","Çelik, F.T. (TU Delft Microelectronics); Joof, Sulayman (Istanbul Technical University); Karaçuha, Kamil (Istanbul Technical University)","","2023","The present study proposes a dipole filtering antenna with wide-band characteristics for communication. The filtering is achieved by employing parasitic elements and wide-band characteristic is obtained by reshaping the dipole and using specially designed balun structure. One radiation null is optimized at the higher frequency band limit for sharp transition from pass band to stop band via two half-rectangular ring resonators. The antenna operates between 2.6 and 5 GHz and has maximum realized gain of 8.39 dBi. The |S11| is less than -10 dB in the operating frequency band. The simulation results and evolution of the design procedure are presented.","broadband antenna; enhanced dipole antenna; filtering antenna; telecommunication","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","Microelectronics","","","",""
"uuid:fd5290ae-ef81-49d1-8af4-c65cccb45d81","http://resolver.tudelft.nl/uuid:fd5290ae-ef81-49d1-8af4-c65cccb45d81","A Wideband Dipole Antenna Design for Through-the-Wall Imaging on Security Applications","Joof, Sulayman (Istanbul Technical University); Doğu, Semih (Istanbul Technical University); Çelik, F.T. (TU Delft Microelectronics); Karaçuha, Kamil (Istanbul Technical University)","","2023","This study proposes a dipole antenna with wideband characteristics for microwave imaging (MWI) applications. The wide-band characteristic of the antenna is achieved by reshaping the geometry of the conventional dipole. The dipole is fed by a specially designed balun, together with a ground reflector in order to obtain a high gain unidirectional pattern. The antenna is operating from 2.7 to 5 GHz with a maximum realized gain of 8.39 dBi and |S11| less than -10 dB within this frequency band. The simulation results and evolution of the design procedure are provided. Furthermore, a through- the-wall MWI scenario consisting of thirteen antenna elements, a concrete wall, and two hidden objects behind the wall is implemented to evaluate the performance of the antenna. The 2-D reconstruction results obtained from inversion methods indicate that the proposed antenna is a potential candidate for through- the-wall MWI applications.","broadband antenna; enhanced dipole antenna; Microwave imaging (MWI)","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","Microelectronics","","","",""
"uuid:bd82b74f-344a-41a8-a024-5fa0186b189a","http://resolver.tudelft.nl/uuid:bd82b74f-344a-41a8-a024-5fa0186b189a","Assessing the integration of an oscillating water column at the planned Genoa breakwater","Lavidas, G. (TU Delft Offshore Engineering); De Leo, Francesco (University of Genoa); Besio, Giovanni (University of Genoa)","","2023","The option to have an WEC integrated breakwater at the port of Genoa ensures that the port will protect the area from harsh incoming waves, but also contribute towards the port decarbonization. However, for the solution to be viable, a first layer analysis must estimate its profitability. This works uses a long-term high fidelity wave numerical model from 1979-2018, estimating the potential energy production for an integrated OWC at the Port of Genoa.","Wave Energy; Oscillating Water Column; Capacity factor","en","conference paper","","","","","","","","","","","Offshore Engineering","","",""
"uuid:e452cff3-3632-4bd8-8083-b3d47e8560c2","http://resolver.tudelft.nl/uuid:e452cff3-3632-4bd8-8083-b3d47e8560c2","The environmental impact of a shift to rail from short-haul flights","van Goeverden, C.D. (TU Delft Transport and Planning)","Tampère, Chris (editor); Cools, Mario (editor); Proost, Stef (editor)","2023","In the context of mitigating climate change, a shift from air to rail is proposed for short-haul journeys. The impact of this policy on the GHG emissions of aviation is small and will be even marginal if only journeys < 500 km are involved. These journeys account for 2.2% of the mileage of air travel, and the impact on emissions will be even smaller than this figure, mainly because not all air travellers (likely) will shift, and part of the emission reduction will be undone by increasing emissions of rail transport. When the upper limit of involved distances increases, the impact increases significantly as well; but even at a limit of 1200 km, which is about the upper limit of the market range of the train, the impact will likely be smaller than the opposite impact of the annual growth of air travel. Policy intentions seem to be prompted by just to do something that doesn’t hurt people so much rather than by a systematic analysis of possible measures and their effectiveness.","modal shift; short-haul travel; airplane; train; climate change","en","conference paper","KU Leuven, Institute for Mobility","","","","","","","","","","Transport and Planning","","",""
"uuid:355db742-8b4c-422d-8640-23ead6d15125","http://resolver.tudelft.nl/uuid:355db742-8b4c-422d-8640-23ead6d15125","Flight Performance Evaluation of the Flying-V","de Zoeten, G.J. (Student TU Delft); Varriale, Carmine (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2023","This study evaluates the flight performance of a Flying-V aircraft designed for transonic passenger transport. The Flying-V is a disruptive aircraft configuration that has shown to possess promising aerodynamic performance during preliminary design. It is compared to a competitor aircraft reminiscent of the Airbus A350-1000, for the same thrust-to-weight ratio and a similar number of passengers. The most common performance metrics for the take-off, landing, climbing and cruise phases have been assessed using a modular flight mechanics model. Take-off and landing performance are evaluated through flight simulation using a simple Euler method, while climb and cruise performance are evaluated in trimmed, steady-state conditions. Only instantaneous performance is available for the latter two phases. The Flying-V outperforms its competitor for basically all investigated metrics. Take-off length is shorter, mainly due to a larger tail strike attitude that reduces the minimum unstick speed. Service and absolute ceiling are higher, and its superior lift-over-drag ratio results in a 21% increase in the cruise range parameter. Landing field lengths are similar for both aircraft, but the Flying-V has a significantly larger pitch angle during approach. This causes longer de-rotation length, and a large obscured segment of the pilot’s vision which could be problematic during operations.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:86e2c209-ed0e-4fa1-a4b0-115ac17d3294","http://resolver.tudelft.nl/uuid:86e2c209-ed0e-4fa1-a4b0-115ac17d3294","Low-order acoustic prediction tool for estimating noise emissions from distributed propeller configurations","do Nascimento Monteiro, F. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy); Avallone, F. (Polytechnic University of Turin); Sinnige, T. (TU Delft Flight Performance and Propulsion)","","2023","A low-order numerical tool for estimating noise emissions from distributed propeller configurations is presented. The paper describes the tool's computational framework, which uses Hanson's near-field theory to calculate the thickness and loading noise components. The formulation assumes steady blade loading, but an unsteady case can be handled numerically by redefining the pressure distribution over the blade at each new time step. Two representative cases are analyzed to validate the tool: an isolated propeller operating in uniform flow and an array of three propellers in a side-by-side configuration under aerodynamic interference caused by adjacent propellers. The results obtained from the low-fidelity tool are compared to high-fidelity data to evaluate the accuracy and differences in predicting the noise of a distributed propeller system. The low-fidelity tool provides accurate results for both cases, with less than a 1.5 dB difference up to the fifth blade-passage frequency (BPF) when comparing tonal noise predictions at an observer located 10 diameters away and at the propeller plane. When analyzing the source directivity at the first BPF, there is a difference of approximately 0.5 dB at the propeller plane. However, this difference increases to 6 dB as the observer moves toward the inflow direction. This difference is due to the dominance of broadband noise near the propeller axis. The paper concludes with a noise analysis of the distributed propeller system, examining the relative importance of aerodynamic interference in the noise emitted by a propeller. In this case, the unsteady blade loading generated a tonal component of 40 dB at the first BPF in the propeller axis, while it had an insignificant effect at the rotor plane.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Wind Energy","","",""
"uuid:c8613d2f-5a86-4331-ab98-562404f04d5b","http://resolver.tudelft.nl/uuid:c8613d2f-5a86-4331-ab98-562404f04d5b","Direct Numerical Simulation of a Turbulent Boundary Layer over Acoustic Liners","Shahzad, H. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics); Modesti, D. (TU Delft Aerodynamics)","","2023","The nacelle of aircraft engines is coated with acoustic liners to reduce engine noise. An undesirable effect of these liners is that they increase aerodynamic drag. We study this drag penalty by performing Direct Numerical Simulations of a turbulent boundary layer over an acoustic liner array at friction Reynolds number, Re τ ≈ 850–2500. We use this simulation to confirm several findings that we recently brought forward using a simpler channel flow setup. We show that acoustic liners lead to high wall-normal velocity fluctuations that can be directly correlated with a modulation of the classical near-wall cycle and to an increase in drag. We also confirm that the acoustic liners act as permeable surface roughness and the non-linear Forchheimer coefficient is the relevant permeability parameter for scaling the drag increase.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Aerodynamics","","",""
"uuid:51720a5b-07db-43b9-b303-dd3c78f81082","http://resolver.tudelft.nl/uuid:51720a5b-07db-43b9-b303-dd3c78f81082","Aeropropulsive Performance Modelling of Over-The-Wing Propulsion at Incidence","Dekker, H.N.J. (Royal Netherlands Aerospace Centre NLR); Tuinstra, M (Royal Netherlands Aerospace Centre NLR); Baars, W.J. (TU Delft Aerodynamics); Scarano, F. (TU Delft Aerodynamics); Ragni, D. (TU Delft Wind Energy)","","2023","A semi-emperical model is developed, able to capture the aeropropulsive performance characteristics of Over-The-Wing propellers at incidence. The model is based on an hypothesis on the interactions of the propeller- and wing-induced flow fields. Effects of these interactions on the both the thrust and lift are written in a form in which the dominant design parameters appear explicitly. Both the flow hypothesis and model results are validated using experimental data of a single Over-The-Wing propeller. It is shown that for moderate angles of attack, the propulsive thrust is reduced by the wing’s circulation. For angles of attack greater than the stall angle of the isolated wing, thrust is increased by the ingestion of low momentum flow. The propeller is not able to delay stall but induces flow over the wing, which is returned as reduced pressure over the suction side. The model predictions closely match the experimental results for thrust, but integral loading measurements of the wing are required to validate the lift predictions.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:fd2a2867-7b58-4ded-8628-75b7e54514cf","http://resolver.tudelft.nl/uuid:fd2a2867-7b58-4ded-8628-75b7e54514cf","PetaOps/W edge-AI μ Processors: Myth or reality?","Gomony, Manil Dev (Eindhoven University of Technology); de Putter, Floran (Eindhoven University of Technology); Gebregiorgis, A.B. (TU Delft Computer Engineering); Paulin, Gianna (ETH Zürich); Mei, Linyan (Katholieke Universiteit Leuven); Jain, Vikram (Katholieke Universiteit Leuven); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Bishnoi, R.K. (TU Delft Computer Engineering); Sanchez, Victor (Eindhoven University of Technology)","","2023","With the rise of deep learning (DL), our world braces for artificial intelligence (AI) in every edge device, creating an urgent need for edge-AI SoCs. This SoC hardware needs to support high throughput, reliable and secure AI processing at ultra-low power (ULP), with a very short time to market. With its strong legacy in edge solutions and open processing platforms, the EU is well-positioned to become a leader in this SoC market. However, this requires AI edge processing to become at least 100 times more energy-efficient, while offering sufficient flexibility and scalability to deal with AI as a fast-moving target. Since the design space of these complex SoCs is huge, advanced tooling is needed to make their design tractable. The CONVOLVE project (currently in Inital stage) addresses these roadblocks. It takes a holistic approach with innovations at all levels of the design hierarchy. Starting with an overview of SOTA DL processing support and our project methodology, this paper presents 8 important design choices largely impacting the energy efficiency and flexibility of DL hardware. Finding good solutions is key to making smart-edge computing a reality.","ULP; dynamic DL; edge-AI; SoC; memristor; approximate computing; DSE; compiler stack","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-02","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:1f09eeb6-0c13-407d-ac81-5433b7255301","http://resolver.tudelft.nl/uuid:1f09eeb6-0c13-407d-ac81-5433b7255301","SparseMEM: Energy-efficient Design for In-memory Sparse-based Graph Processing","Zahedi, M.Z. (TU Delft Computer Engineering); Custers, Geert (Student TU Delft); Shahroodi, T. (TU Delft Computer Engineering); Gaydadjiev, G. (TU Delft Quantum Circuit Architectures and Technology; TU Delft Computer Engineering); Wong, J.S.S.M. (TU Delft Computer Engineering); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","","2023","Performing analysis on large graph datasets in an energy-efficient manner has posed a significant challenge; not only due to excessive data movements and poor locality, but also due to the non-optimal use of high sparsity of such datasets. The latter leads to a waste of resources as the computation is also performed on zero's operands which do not contribute to the final result. This paper designs a novel graph processing accelerator, SparseMEM, targeting sparse datasets by leveraging the computing-in-memory (CIM) concept; CIM is a promising solution to alleviate the overhead of data movement and the inherent poor locality of graph processing. The proposed solution stores the graph information in a compressed hierarchical format inside the memory and adjusts the workflow based on this new mapping. This vastly improves resource utilization, leading to higher energy and permanence efficiency. The experimental results demonstrate that SparseMEM outperforms a GPU-based platform and two state-of-the-art in-memory accelerators on speedup and energy efficiency by one and three orders of magnitude, respectively.","in-memory; memristor; graph; sparsity","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-02","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:78aefc49-12b0-49a7-96a3-48880fcf8557","http://resolver.tudelft.nl/uuid:78aefc49-12b0-49a7-96a3-48880fcf8557","Jumping Shift: A Logarithmic Quantization Method for Low-Power CNN Acceleration","Jiang, Longxing (Student TU Delft); Aledo Ortega, D. (TU Delft Signal Processing Systems); van Leuken, T.G.R.M. (TU Delft Signal Processing Systems)","","2023","Logarithmic quantization for Convolutional Neural Networks (CNN): a) fits well typical weights and activation distributions, and b) allows the replacement of the multiplication operation by a shift operation that can be implemented with fewer hardware resources. We propose a new quantization method named Jumping Log Quantization (JLQ). The key idea of JLQ is to extend the quantization range, by adding a coefficient parameter “s” in the power of two exponents $(2^{sx+i})$. This quantization strategy skips some values from the standard logarithmic quantization. In addition, we also develop a small hardware-friendly optimization called weight de-zero. Zero-valued weights that cannot be performed by a single shift operation are all replaced with logarithmic weights to reduce hardware resources with almost no accuracy loss. To implement the Multiply-And-Accumulate (MAC) operation (needed to compute convolutions) when the weights are JLQ-ed and de-zeroed, a new Processing Element (PE) have been developed. This new PE uses a modified barrel shifter that can efficiently avoid the skipped values. Resource utilization, area, and power consumption of the new PE standing alone are reported. We have found that JLQ performs better than other state-of-the-art logarithmic quantization methods when the bit width of the operands becomes very small.","Convolutional Neural Network; Low-power hardware acceleration; Logarithmic Quantization; FPGA","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-02","","","Signal Processing Systems","","",""
"uuid:d6df535b-90e2-48c4-babc-3700bfd9a66b","http://resolver.tudelft.nl/uuid:d6df535b-90e2-48c4-babc-3700bfd9a66b","Maximizing the Potential of Custom RISC-V Vector Extensions for Speeding up SHA-3 Hash Functions","Li, H. (TU Delft Cyber Security); Mentens, Nele (Katholieke Universiteit Leuven; Universiteit Leiden); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen)","","2023","SHA-3 is considered to be one of the most secure standardized hash functions. It relies on the Keccak-f[1 600] permutation, which operates on an internal state of 1 600 bits, mostly represented as a 5 x 5 x 64-bit matrix. While existing implementations process the state sequentially in chunks of typically 32 or 64 bits, the Keccak-f[1 600] permutation can benefit a lot from speedup through parallelization. This paper is the first to explore the full potential of parallelization of Keccak-f[1 600] in RISC-V based processors through custom vector extensions on 32-bit and 64-bit architectures. We analyze the Keccak $\mathbf{f}[1 \ 600]$ permutation, composed of five different step mappings, and propose ten custom vector instructions to speed up the computation. We realize these extensions in a SIMD processor described in System Verilog. We compare the performance of our designs to existing architectures based on vectorized application-specific instruction set processors (ASIP). We show that our designs outperform all related work in throughput due to our carefully selected custom vector instructions.","Keccak; SHA-3; Vector Extensions; SIMD Processor; RISC-V","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-02","","","Cyber Security","","",""
"uuid:6cc6ec26-54ee-4a64-b48c-2ef88fdfea1d","http://resolver.tudelft.nl/uuid:6cc6ec26-54ee-4a64-b48c-2ef88fdfea1d","Lightspeed Binary Neural Networks using Optical Phase-Change Materials","Shahroodi, T. (TU Delft Computer Engineering); Cardoso, Rafaela (École Centrale de Lyon); Zahedi, M.Z. (TU Delft Computer Engineering); Wong, J.S.S.M. (TU Delft Computer Engineering); Bosio, Alberto (École Centrale de Lyon); O'Connor, Ian (École Centrale de Lyon); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","","2023","This paper investigates the potential of a compute-in-memory core based on optical Phase Change Materials (oPCMs) to speed up and reduce the energy consumption of the Matrix-Matrix-Multiplication operation. The paper also proposes a new data mapping for Binary Neural Networks (BNNs) tailored for our oPCM core. The preliminary results show a significant latency improvement irrespective of the evaluated network structure and size. The improvement varies from network to network and goes up to ~1053x.","Binary Neural Network; Optical PCM; Computation-In-Memory","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-02","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:33b98162-abbd-4690-b517-ca753865a7e0","http://resolver.tudelft.nl/uuid:33b98162-abbd-4690-b517-ca753865a7e0","Silicon photonic mode multiplexers based on subwavelength metamaterials and on-chip beam forming","González-Andrade, D. (Université Paris-Saclay, Paris); de Cabo, R. Fernández (Instituto de Óptica Daza de Valdés (CSIC)); Vilas, J. (Alcyon Photonics S.L., Madrid); Dinh, T. T.D. (Université Paris-Saclay, Paris); Luque-González, J. M. (Universidad de Málaga); Oser, D.J.H. (TU Delft QN/Groeblacher Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Aubin, G. (Université Paris-Saclay, Paris); Amar, F. (Université Paris-Saclay, Paris); Dias, A. (Alcyon Photonics S.L., Madrid)","He, Sailing (editor); He, Sailing (editor); Vivien, Laurent (editor)","2023","Integration of photonic circuits on silicon offers a unique opportunity to address the scaling of inter- and intra-chip communications in an energy-efficient and cost-effective manner. Mode-division multiplexing (MDM) is deemed as one of the most promising technologies to increase aggregated data bandwidth and avoid a communication capacity crunch. In this invited talk, we review our latest advances on integrated silicon mode multiplexers, including new topologies based on subwavelength grating (SWG) metamaterials for extended broadband operation and higher-order mode support. Specifically, we report on an ultra-broadband multiplexer based on a phase shifter and a multimode interference (MMI) coupler both engineered with subwavelength metamaterials. Experimental measurements of a complete multiplexer-demultiplexer link show losses lower than 2 dB and crosstalk below -17 dB over a bandwidth of 245 nm (1427 – 1672 nm).","metamaterials; mode-division multiplexing; Photonic integrated circuits; silicon photonics; subwavelength gratings","en","conference paper","SPIE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-17","","","QN/Groeblacher Lab","","",""
"uuid:2cba0f7e-b1ae-4e69-a0f1-153df866891c","http://resolver.tudelft.nl/uuid:2cba0f7e-b1ae-4e69-a0f1-153df866891c","Federated Synthetic Data Generation with Stronger Security Guarantees","Ghavamipour, Ali Reza (University Medical Center Groningen); Turkmen, Fatih (University Medical Center Groningen); Wang, Rui (Student TU Delft); Liang, K. (TU Delft Cyber Security)","","2023","Synthetic data generation plays a crucial role in many areas where data is scarce and privacy/confidentiality is a significant concern. Generative Adversarial Networks (GANs), arguably one of the most widely used data synthesis techniques, allow for the training of a model (i.e., generator) that can generate real-looking data by playing a min-max game with a discriminator model. When multiple organizations are reluctant to share their sensitive data, GANs models can be trained in a federated manner, commonly with the use of differential privacy (DP). In order to achieve a reasonable level of model utility, DP trades privacy exhibiting vulnerability to various attacks (e.g., membership inference attack). In this paper, we propose a hybrid solution, PP-FedGAN, to the asynchronous federated, privacy-preserving training of GANs models by combining the CKKS homomorphic encryption (HE) scheme with differential privacy. The addition of HE results in around 10 seconds of overhead on the client side per round and 115 seconds on the entire training procedure. We also analyze the security of PP-FedGAN under the honest-but-curious security model. Where stronger security guarantees are required, our proposal presents a better alternative to solutions that only employ DP.","differential privacy; federated learning; gan; homomorphic encryption; synthetic data","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Cyber Security","","",""
"uuid:2a3160c7-7bdb-401e-a1bf-11f1cea4b222","http://resolver.tudelft.nl/uuid:2a3160c7-7bdb-401e-a1bf-11f1cea4b222","Impacts of Mutual Interference Analysis in FMCW Automotive Radar","Kumbul, U. (TU Delft Microwave Sensing, Signals & Systems); Chen, Yue (Student TU Delft); Petrov, N. (TU Delft Microwave Sensing, Signals & Systems; NXP Semiconductors); Silveira Vaucher, C. (TU Delft Electronics; NXP Semiconductors); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","Mutual interference in the frequency modulated continuous wave (FMCW) radar is studied, and the influence of the FMCW interference on the beat frequency is analyzed. An analytical expression for the victim radar received signal spectrum is derived. Different interference scenarios are investigated by means of interference impact on the range-Doppler profile. It is shown that coherent interference concentrates within multiple range cells while non-coherent interference spreads the interference power over the whole range-Doppler plane.","Automotive radar; Mutual interference; FMCW Radar; Interference Model; Spectrum analysis","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:d5ea332a-ede4-4c32-bdc0-f39caff7727e","http://resolver.tudelft.nl/uuid:d5ea332a-ede4-4c32-bdc0-f39caff7727e","An Intriguing Relation Between the Power Consumption and Number of Antenna Elements in Multi-Beam Phased Arrays","Birari, Natasha (Student TU Delft); Aslan, Y. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","An improved system-level power consumption model (PCM) for 5G base station multi-beam phased-array transmit architectures is developed. Using this model, it is shown that an optimum number of antenna elements of the array exists with respect to the total power consumption. The proposed model is benchmarked against a recent study which is shown to underestimate the total power consumed in analog and digital antenna systems by 37% and 126% respectively.","5G; antennas; front-end; multi-beam; phased array; power consumption","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:4b25d58d-a7d3-4235-8369-46d9ea939d99","http://resolver.tudelft.nl/uuid:4b25d58d-a7d3-4235-8369-46d9ea939d99","A Novel Heatsink Attached mm-Wave Active Patch Antenna With Adjustable Frequency and Cooling","Çelik, F.T. (TU Delft Microelectronics); Aslan, Y. (TU Delft Microwave Sensing, Signals & Systems)","","2023","In this study, the thermal management problem of the modern communication systems with small array sizes is addressed. A novel dual-functional active antenna design strategy is introduced for adjustable frequency of operation and cooling extension at millimeter-wave bands. The concept is based on placing different types of heatsinks on the same patch antenna. The electromagnetic and thermal behavior of the proposed heatsink structures are presented via simulations. Reconfigurable operation at 24, 26, and 28 GHz frequencies with 23 to 28 degrees of extra cooling in the chip as compared to the conventional patch is achieved.","active integrated antenna; cooling; frequency reconfiguration; heatsink antenna; millimeter-wave communication","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","Microelectronics","Microwave Sensing, Signals & Systems","","",""
"uuid:9c0222d1-9022-4782-a4b1-45cade700f92","http://resolver.tudelft.nl/uuid:9c0222d1-9022-4782-a4b1-45cade700f92","Auxiliary rails as a mitigation measure for degradation in transition zones","Faragau, Andrei B. (TU Delft Dynamics of Structures); Jain, A. (TU Delft Dynamics of Structures); de Oliveira Barbosa, J.M. (TU Delft Mechanics and Physics of Structures); Metrikine, A. (TU Delft Offshore Engineering; TU Delft Engineering Structures); van Dalen, K.N. (TU Delft Dynamics of Structures)","Pombo, J. (editor)","2023","This paper studies the effectiveness of adding auxiliary rails as a mitigation measure for degradation in transition zones of railway tracks. More specifically, it investigates the settlement mechanisms counteracted by the additional rails. Results show that when the system’s response is in the quasi-static regime, adding auxiliary rails over the soft part of the transition zone is beneficial while adding them over the whole transition zone is not. Furthermore, the auxiliary rails have a beneficial impact also when the system’s response is in the dynamic regime; the beneficial effect is caused by the improved load distribution to the supporting structure and not from counteracting the dynamic response amplification that occurs at transition zones. While this mitigation measure has been previously investigated, the contribution of this study lies in a more in-depth analysis of the mechanism through which auxiliary rails can mitigate the degradation at transition zones.","Transition zone; Auxiliary rails; Settlement mechanisms","en","conference paper","Civil-Comp Press","","","","","","","","","Engineering Structures","Dynamics of Structures","","",""
"uuid:bcdf4750-89cc-4f36-b44b-5fd5a7fa217a","http://resolver.tudelft.nl/uuid:bcdf4750-89cc-4f36-b44b-5fd5a7fa217a","Wave-front Behaviour of the Pulsed EM Field – Complexity and Implications","Gu, Junhong (Student TU Delft); Neto, A. (TU Delft Tera-Hertz Sensing); Lager, I.E. (TU Delft Electrical Engineering Education); Stumpf, Martin (Brno University of Technology)","","2023","The pulsed electromagnetic (EM) field radiated by a gap-fed, long slot in a perfectly conducting thin sheet located in between dielectric and free-space subdomains is examined. A phenomenological interpretation of the so-called head wave (HW) constituent is proposed, this fostering the understanding of the complex EM behaviour at, and immediately behind, the HW wave-front. The EM field is also examined numerically for identifying features that may lead the way towards inferring a causal counterpart of the leaky-wave propagation.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Tera-Hertz Sensing","","",""
"uuid:3af36c0a-ed9e-4596-8907-a83b3d393dc7","http://resolver.tudelft.nl/uuid:3af36c0a-ed9e-4596-8907-a83b3d393dc7","Shaped-Beam Subarrays for Equi-Power Urban Area Coverage With Modularity and Low Cost","Zhao, Changxu (Student TU Delft); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems); Roederer, A.G. (TU Delft Microwave Sensing, Signals & Systems); Aslan, Y. (TU Delft Microwave Sensing, Signals & Systems)","","2023","Design of millimeter-wave arrays for base stations operating in dense urban environment is investigated. Innovative designs for linear subarrays with shaped beam patterns for hybrid beamforming are proposed. The number of elements and element spacings in the subarrays are optimally selected based on a pattern matching technique. The subarrays are designed and verified in series edge-fed slotted substrate integrated waveguide technology at 26 GHz. A novel phase shifter unit is proposed to reduce the subarray width for grating lobe-free beam steering in the plane orthogonal to the sub-array axis. Infinite array simulations are performed to observe the coupling effects on the subarrays.","5G base station; hybrid beamforming; pattern shaping; phased array antenna; substrate integrated waveguide","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:459ac5b8-6ab2-4716-b2e9-5bf31d663936","http://resolver.tudelft.nl/uuid:459ac5b8-6ab2-4716-b2e9-5bf31d663936","Navigating Arctic Waters: A Summary of Ship Activities and Ice-Ship Interactions in Alaskan Waters","Peel, Grant J (University of Hawaii at Manoa); Gedikli, Ersegun D (University of Hawaii at Manoa); Hendrikse, H. (TU Delft Offshore Engineering)","","2023","Climate change is affecting global weather patterns, but nowhere is this more apparent than in the Arctic. The Arctic is an extreme environment going through rapid climate change, resulting in the opening of new shipping lanes and leading to less multiyear ice formation. This increases the risk of collision with the sea ice making it difficult to make long term voyage plans based on sea ice predictions. The Automatic Identification System (AIS) provides important services for marine domain awareness. For safe and effective ship traffic management and the development of new artificial intelligence (AI) marine algorithms, precise AIS readings for hull size and type are typically required. In this paper, we provide a summary of ship activities as well as relevant ice information in Alaskan waters that may result in ice-ship interactions and discuss them. AIS ship data was used to determine the ship speed distribution in different areas in the Alaskan waters. Ship movement in ice infested regions was compared with sea ice data from the Copernicus Reanalysis Products and sea ice thickness was estimated using empirical equations to identify potential ship-sea ice interactions. Data from 2015 to 2020 was analyzed to determine if there is an increase in maritime activity that can be linked to a decline in sea ice extent in Alaskan waters. The AIS data was also sorted by ship hull types to see how the different sectors of the Alaskan maritime industry are changing over time in ice-covered areas.","Ice-ship interactions; Alaska; Sea ice; Maritime activities; AIS","en","conference paper","POAC","","","","","","","","","","Offshore Engineering","","",""
"uuid:4d4936f3-6f4f-4b8c-b0a1-48c2d965183d","http://resolver.tudelft.nl/uuid:4d4936f3-6f4f-4b8c-b0a1-48c2d965183d","Application of the suggested ice strength coefficients in ISO 19906 to intermittent crushing","Hendrikse, H. (TU Delft Offshore Engineering); Owen, C.C. (TU Delft Offshore Engineering)","","2023","For offshore wind turbines on monopile or jacket foundations without ice cones, one of the relevant design load cases is that of ice floes or level ice crushing against the structure resulting in ice-induced vibrations. In relation to that design load case, a relevant question is which ice strength coefficient to use in the crushing formula in ISO 19906 for determining design peak loads during intermittent crushing. Despite the guidelines in the standard being relatively clear on this matter, there often exists uncertainty regarding if and how to account for velocity effects and compliance effects when defining the ice strength coefficient CR. Ice tank tests were recently conducted to investigate the dependence of global peak loads on far-field ice speed for both rigid and compliant structures. Those tests revealed that the compliance effect and velocity effect on the global loads originate from the same strengthening effect in the ice. As a consequence, the absolute global loads on the rigid structure and compliant structure did not differ significantly. Applying these results to the challenge of defining the ice strength coefficient for intermittent crushing, it can be stated that if the velocity effect is accounted for in the ice strength coefficient, then there is no need for further increase due to compliance of the structure. ISO 19906 provides some suggested values for the ice strength coefficient which include provisions for the velocity effect and can therefore be directly applied to determine the peak loads during intermittent crushing, as the standard also suggests.","Crushing equation; Ice-induced vibrations; Global ice loads; Compliance effect; Velocity effect","en","conference paper","POAC","","","","","","","","","","Offshore Engineering","","",""
"uuid:a8864c73-df54-49b0-84bc-1dd869b6a248","http://resolver.tudelft.nl/uuid:a8864c73-df54-49b0-84bc-1dd869b6a248","Challenges with sea ice action on structures for Offshore wind","Høyland, Knut V. (Norwegian University of Science and Technology (NTNU)); Nord, Torodd S. (Norwegian University of Science and Technology (NTNU)); Hendrikse, H. (TU Delft Offshore Engineering); Tuhkuri, Jukka (Aalto University); Polojärvi, Arttu (Aalto University); von Bock und Polach, Franz (Hamburg University of Technology); Heinonen, Jaakko (VTT Technical Research Center of Finland); Johannessen Eik, Kenneth (Equinor ASA); Teigen, Sigurd Henrik (Equinor ASA)","","2023","EU urgently needs to increase the development of secure and green energy, and this includes renewables such as Offshore wind energy. An expansion of Offshore wind will include the Baltic where sea ice is one of the major uncertainties. To ensure that the w ind turbines are safe for people and the environment, while keeping them economically competitive better guidelines and regulations should b e developed collaboratively by European industry and academia. There are unsolved challenge s with respect to ice action on structures for offshore wind. However, in the current draft for Horizon Europe Work Programme 2023-2024 on Climate, Energy and Mobility1, the challenges related to sea ice with regards to Offshore wind energy are not mentioned. In order to meet the crucial green energy goals, it is our statement that it is imperative to include sea ice i n the final version.","Offshore Wind; Standards; Ice actions; Full-scale data","en","conference paper","POAC","","","","","","","","","","Offshore Engineering","","",""
"uuid:cf6ccd1d-11f3-457c-b611-4934ca2a7591","http://resolver.tudelft.nl/uuid:cf6ccd1d-11f3-457c-b611-4934ca2a7591","Mapping Urban Heritage Images with Social Media Data and Artificial Intelligence, A Case Study in Testaccio, Rome","Bai, N. (TU Delft Heritage & Architecture); Ducci, Marta (Vrije Universiteit Amsterdam); Mirzikashvili, Rusudan (Newcastle University); Nourian, Pirouz (University of Twente); Pereira Roders, A. (TU Delft Heritage & Architecture)","Tucci, G. (editor); Balletti, C. (editor); Bonora, V. (editor); Fassi, F. (editor); Spanò, A. (editor); Parisi, E.I. (editor); Previtali, M. (editor); Sammartano, G. (editor)","2023","The UNESCO 2011 Recommendation on the Historic Urban Landscape promotes to map cultural significance of urban heritage from the perspectives of the general public in pursuit of social inclusion in heritage management. The user-generated information already available on social media platforms in the form of images, comments, and ratings can be considered a rich source for collecting data concerning the tourists’ image of destinations and their collective perception of urban cultural heritage. Considering the large amount of unstructured data, artificial intelligence (AI) can construct structured feature vectors therefrom and significantly aid the analysis and collation processes compared to the traditional manual approach for mapping public perception of cultural heritage. This paper presents an exploratory case study conducted in the area of Testaccio, Rome, showcasing the use of AI to map the perceived and narrated urban heritage images using social media data. An image-sharing platform, Flickr, is used to collect thousands of posts containing images and comments in the area, which are further analysed with pre-trained image recognition, natural language processing, and dimensionality reduction algorithms. Results as the urban heritage images are visualised, showing the most significant elements from a public perspective. Such a methodology provides an alternative perspective of viewing the urban heritage attributes as a collection of depicted and posted content. It can contribute as a tool for the documentation of collective attention for inclusive heritage management and local development planning during the designing and policy-making processes.","Historic Urban Landscape; Machine Learning; User-Generated Content; Cultural Heritage; Big Data Analysis","en","conference paper","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:242cba3c-236b-4fc3-8e83-047b9ed0c042","http://resolver.tudelft.nl/uuid:242cba3c-236b-4fc3-8e83-047b9ed0c042","Aircraft Design Optimization Considering Network Demand and Future Aviation Fuels","Proesmans, P. (TU Delft Flight Performance and Propulsion); Morlupo, F. (TU Delft Air Transport & Operations); Santos, Bruno F. (TU Delft Air Transport & Operations); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2023","To reduce the climate impact of aviation, researchers are studying the replacement of fossil kerosene with liquid hydrogen and/or drop-in sustainable aviation fuel (SAF). These fuels can bring significant reductions in CO2 emissions and can offer savings in terms of non-CO2 climate effects. In addition, tube-and-wing aircraft can be optimized to decrease the global-warming impact by using a climate metric as a design objective rather than the operating costs. Previous research has shown that airplanes designed for minimal climate impact have a reduced cruise speed and fly at a lower altitude. This paper suggests a multidisciplinary, multi-level approach the evaluate the consequences of such design and fuels choices at the network level. Following the aircraft design step, a dynamic programming routine allocates the fleet and schedules the flights to maximize the network profit. We consider a hub-and-spoke network operating from Atlanta, with demand for domestic and international destinations. Compared to the reference cost-optimal kerosene fleet, a fleet consisting of climate-optimized kerosene aircraft can reduce the climate impact by 61% at a loss in network profit of approximately 21%. This design choice requires allocating an additional five aircraft. A fleet operating climate-optimal, hydrogen aircraft minimizes the climate impact. However, the high operating cost of long-range, hydrogen aircraft lowers the achievable profit. Aircraft powered by drop-in SAF provides Pareto-optimal solutions. These insights can be used to make decisions about the allocation of future aviation fuels in a network and the payload-range requirements of future aircraft.","Aircraft Design; MDO; Climate Impact; Aircraft Operations; Hydrogen Aircraft","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:5240cddf-e898-48f5-89b9-a0c803ddd359","http://resolver.tudelft.nl/uuid:5240cddf-e898-48f5-89b9-a0c803ddd359","Contribution of Swirl Recovery to the Induced Drag of a Propeller-Wing System – A Parametric Study","Nederlof, R. (TU Delft Flight Performance and Propulsion); Kooij, R.N.F. (Student TU Delft); Veldhuis, L.L.M. (TU Delft Flow Physics and Technology); Sinnige, T. (TU Delft Flight Performance and Propulsion)","","2023","With the rise of distributed propeller concepts, there is an increased interest in quantifying the interaction between propeller slipstream and wing. It is known from literature that the local upwash induced by the propeller swirl can lead to a reduction of the wing-induced drag, a phenomenon often referred to as swirl recovery. However, at the same time, the distortion of the lift distribution due to the slipstream interaction cancels part of the swirl recovery benefit. These two separate mechanisms are often grouped together, but their relative contribution to the change in induced drag of a propeller-wing system is unknown. The goal of this paper is to separate the two mechanisms and to quantify their relative importance in terms of the induced drag of a wing immersed in a propeller slipstream. To this end, an improved approach for fast low-order modeling of the interaction between propeller and wing was implemented. The propeller performance is calculated using a BEM model, after which the induced velocities in the slipstream are modeled using a slipstream tube model. The propeller-induced velocities then have been implemented into a vortex-lattice analysis of the wing, including an often overlooked correction for the finite slipstream height experienced by the wing sections immersed in the propeller slipstream. It was found that the tip-mounted configuration with an inboard-up rotating propeller showed the largest reduction in total induced drag, even though the spanwise lift distribution was disturbed the most compared to other spanwise propeller positions. The swirl-induced drag mechanism outweighs the trailing vortex-induced drag mechanism. This is also true when the propeller is rotating in the other direction, when the largest performance degradation is obtained for the tip-mounted configuration.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","Flow Physics and Technology","Flight Performance and Propulsion","","",""
"uuid:e864bead-1ba1-4831-841f-50915ed3d930","http://resolver.tudelft.nl/uuid:e864bead-1ba1-4831-841f-50915ed3d930","Shock-wave/turbulent boundary-layer interaction over a flexible panel","Laguarda, L. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics); Schrijer, F.F.J. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics)","","2023","Wall-resolved large-eddy simulations (LES) are carried out to investigate the aeroelastic coupling between a Mach 2.0 impinging shock-wave/turbulent boundary-layer interaction (STBLI) and a flexible thin-panel. After the initial transient, the panel exhibits self-sustained oscillatory behavior with varying oscillation amplitude, confirming the strong and complex dynamic coupling over a broad frequency range. The first three bending modes of the panel oscillation are found to contribute most to the unsteady panel response. The observed modal frequencies are in close agreement with natural frequencies of the pre-stressed panel, which differ significantly from the natural frequencies of the unloaded flat panel. This highlights the importance of the mean panel deformation and the corresponding stiffening in the fluid-structure interaction (FSI) dynamics. Mean-flow shows an enlarged reverse-flow region compared to a flat rigid-wall STBLI at the same flow conditions. The separation shock is also located further upstream in the coupled case, and wall-pressure fluctuations have a higher peak at the separation-shock foot. Spectral analysis of wall-pressure, separation-shock location and bubble-volume signals indicates that the STBLI flow resonates with the panel oscillation, primarily at the first bending frequency. This is further confirmed by sparsity-promoting dynamic mode decomposition of the flow and displacement data, which identifies this frequency as the most dominant and successfully isolates the associated FSI dynamics. Based on present results, it is clear that dynamic FSI involving STBLI and flexible panels accentuates the undesirable features of STBLI.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:aae2387b-0f27-4cd4-8975-216be4867668","http://resolver.tudelft.nl/uuid:aae2387b-0f27-4cd4-8975-216be4867668","Optimization Framework of a Ram Air Inlet Composite Morphing Flap","Carrillo Córcoles, X. (TU Delft Aerospace Structures & Computational Mechanics); Sodja, J. (TU Delft Aerospace Structures & Computational Mechanics); De Breuker, R. (TU Delft Aerospace Structures & Computational Mechanics)","","2023","The ram air inlets flaps are used in some aircraft to modulate the amount of ram
air cooling the Environmental Control System. The current flap design features two metallic plates connected with a hinge. The present work studies an alternative design that replaces the metallic plates with a single composite laminate with morphing capabilities. An optimization framework is proposed to define the thickness distribution of the laminate taking into account the desired operational shapes, manufacturing guidelines and maximum allowable strains. This framework combines linear and nonlinear simulations to account for the large deflections while limiting the computational cost of the optimization. The results of the optimization framework are discussed at the end of the paper and next steps are formulated.","Ram air inlet; Morphing structures; Laminate thickness; Multi-objective optimization","en","conference paper","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:921971ed-f0fe-40b6-9fcd-d57710307d9a","http://resolver.tudelft.nl/uuid:921971ed-f0fe-40b6-9fcd-d57710307d9a","Community engagement through social media: A comparative case study between two large infrastructure projects in Finland and India","Ninan, Dr Johan (TU Delft Integral Design & Management); Lehtinen, Jere (Tampere University)","","2023","","","en","conference paper","","","","","","","","","","","Integral Design & Management","","",""
"uuid:8a38bebc-c242-4066-bbf2-62190e3a7cdb","http://resolver.tudelft.nl/uuid:8a38bebc-c242-4066-bbf2-62190e3a7cdb","Low-Frequency Intensity Modulation of High-Frequency Rotor Noisel","Baars, W.J. (TU Delft Aerodynamics); Ragni, D. (TU Delft Wind Energy)","","2023","Rotor noise comprises harmonic features, related to the blade passing frequency, as well as broadband noise. Even though acoustic spectra yield frequency-distributions of acoustic energy within pressure time series, they do not reveal phase-relations between different frequency components. The latter are of critical importance for the development of prediction- and auralization-algorithms, because these phase-relations can result in low-frequency intensity modulation of higher-frequency rotor noise. Baars et al. (AIAA Paper 2021-0713) outlined a methodology to quantify inter-frequency modulation, which in the current work is applied to a comprehensive acoustic dataset of a laboratory-scale rotor at advance ratios ranging from J = 0 to 0.61. PIV measurements of the blade-induced flow disturbances complement the acoustic data to elucidate how the vortical flow structures of one blade impact the inflow of the consecutive blade. The findings strengthen earlier observations for the case of a hovering rotor (J = 0), in which the modulation of the high-frequency noise is strongest at angles of -20 degrees below the rotor plane. For the non-zero advance ratios, the modulation becomes dominant in the sector spanning -45 degrees to 0 degrees, and is maximum in strength for the highest advance ratio tested (J = 0.61). It is hypothesized that the intensity-modulation of high-frequency noise relates to the appearance of different separated-flow features over the suction side of the low Reynolds-number rotor-blades. As recently detailed in the articles by Grande et al. (AIAA J. 60:2 & AIAA J. 60:9, 2022), with increasing J, the separation goes from a fully laminar separation, to one that reattaches and forms a laminar separation bubble, to one that fully separates in a turbulent state. With an increase of modulation strength with J we conjecture that trailing-edge/shedding noise, associated with the broadband features of the separated flow, causes the modulation due to a far-field observer experiencing a periodic sweep through the noise directivity patterns. Even though the high-frequency noise is more intense in the hover scenario, the degree of modulation is less since the high-frequency noise field is dominated by turbulence-ingestion noise that has a more omnidirectional nature.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Wind Energy","","",""
"uuid:2c412848-cbd8-4a05-bbf2-186bff72df76","http://resolver.tudelft.nl/uuid:2c412848-cbd8-4a05-bbf2-186bff72df76","Numerical Simulation of Grid-Generated Turbulence Interaction with a NACA0012 Airfoil","Trascinelli, L. (University of Bristol); Bowen, Luke (University of Bristol); Piccolo, A. (TU Delft Wind Energy); Zamponi, R. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy); Avallone, F. (Politecnico di Torino); Zhou, Beckett Y. (University of Bristol); Zang, Bin (University of Bristol)","","2023","The present study assesses the ability to numerically predict turbulence-interaction noise of a NACA0012 airfoil with grid-generated turbulence by utilizing the Lattice Boltzmann solver PowerFLOW. Both the near-field flow characteristics and far field noise are bench-marked against an existing experimental study. The grid was chosen to match that from the experiment to provide evidence that the present numerical approach in physically placing a grid upstream of the airfoil can reproduce the turbulence characteristics observed from the benchmark experiment and thus accurately capture the turbulence-interaction noise generated. The comparison of the results show that the turbulence statistics, including turbulence intensity, integral length scales and anisotropy are highly consistent with the experiment. Moreover, far field acoustics of the turbulence interaction as well as the near-field flow properties near the leading-edge and the unsteady wall pressure fluctuations of the airfoil are also analyzed and the results agreed well with the experimental measurements. The present study confirms that the grid-generated approach is suitable for numerical investigation of turbulence-interaction noise and its potential mitigation strategies.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Wind Energy","","",""
"uuid:612f7821-ae55-46b8-82f6-8f12ef310dfc","http://resolver.tudelft.nl/uuid:612f7821-ae55-46b8-82f6-8f12ef310dfc","Aerodynamic and Acoustic Interaction Effects of Adjacent Propellers in Forward Flight","Zarri, Alessandro (von Karman Institute for Fluid Dynamics); Koutsoukos, P.A. (TU Delft Wind Energy); Avallone, F. (Politecnico di Torino); de Prenter, Frits (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy); Casalino, D. (TU Delft Wind Energy)","","2023","Distributed electric propulsion systems are an emerging technology with the potential of revolutionizing the design and performance of aircraft. When propellers are located in close proximity, they can be subjected to aerodynamic interactions, which affect the far-field noise. In this paper, we study an array of three co-rotating and adjacent propellers to describe both the aerodynamic and acoustic installation effects. A scale-resolving CFD simulation based on the Lattice-Boltzmann/Very-Large-Eddy-Simulation method is used to solve the flow field around the propellers. An acoustic analogy integral approach calculates the far-field noise. Findings show that the helical vortical structures, generated at the tip of each blade undergo a flow deformation at the location of interaction. This causes the loading of each blade to vary during the rotation. Consequently, the unsteady loading noise becomes a dominant noise generation mechanism, driving the noise levels and directivity. It is also shown that introducing a non-zero relative phase angle between the propellers results in a reduction of the unsteady thrust, leading to a mitigation of the unsteady-loading tonal components along the rotation axis. Additionally, the relative phase angle causes constructive/destructive acoustic interference, as demonstrated by analyzing the noise emitted simultaneously by the three propellers.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Wind Energy","","",""
"uuid:725dddde-ee3e-427b-a7c1-c8ffb95d0015","http://resolver.tudelft.nl/uuid:725dddde-ee3e-427b-a7c1-c8ffb95d0015","Electric Taxiing with Disruption Management: Assignment of Electric Towing Vehicles to Aircraft","Zoutendijk, M. (TU Delft Control & Simulation); van Oosterom, S.J.M. (TU Delft Control & Simulation); Mitici, M.A. (Universiteit Utrecht)","","2023","Reducing aircraft taxiing emissions will deliver a significant contribution to the worldwide goal of net-zero greenhouse gas emissions in the aviation industry. Replacing jet-engine taxiing by towing aircraft with electric towing vehicles is expected to reduce taxiing emissions by roughly 80%. Introducing a fleet of towing vehicles introduces operational challenges to an airport. Although there has been research focused on optimizing the assignment of vehicles to aircraft, such an assignment will require changes during a day of operations, when disruptions such as flight delays occur. This paper proposes two models, a strategic and a disrupted model, with which an adaptive vehicle-to-aircraft assignment is created. The models are formulated as Mixed Integer Linear Problems, and both maximize the number of towed aircraft and minimize the schedule changes for vehicle operators. The approach illustrated includes vehicle and aircraft routing, conflict avoidance, and a model for energy usage. We apply the models to Amsterdam Airport Schiphol, where the disrupted model is able to create assignments that remain the same in subsequent time steps for an average of 55% of the vehicles, on a busy day, when towing all aircraft. Furthermore, the results show that minimizing schedule changes does not come at the expense of fewer towed aircraft, i.e. of smaller emission savings. Lastly, we investigate the impact of fleet size and general on-time performance on the assignments created by the model.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Control & Simulation","","",""
"uuid:be75666f-c8f3-48a1-8eb2-111c3f20b972","http://resolver.tudelft.nl/uuid:be75666f-c8f3-48a1-8eb2-111c3f20b972","Optimal Energy Management Strategies and Mission Profiles for a generic Hybrid Aircraft","Oliviero, F. (TU Delft Flight Performance and Propulsion); Swannet, K. (TU Delft Flight Performance and Propulsion)","","2023","The present paper shows the main characteristic of a numerical simulation tool, developed in the framework of the European H2020 project MAHEPA, to estimate optimal flight performance of a generic aircraft featuring a Hybrid powertrain. The purpose of the study is to determine optimal flight trajectories together with optimal power controls when a powertrain with multi-energy or multi-power sources (as the case of a generic hybrid one) is considered. For this purpose a complete new software has been developed, which is composed by three main parts: a mission performance “analyser” where the system dynamics of the problem is determined and it solves the aircraft Equation of Motion; a powertrain simulator that determines the operating conditions of the powertrain components and it ultimately computes the consumption of each energy source; a numerical algorithm that optimizes the aircraft control variables to determine both the optimum flight trajectory and the power management according to a certain objective functions and a variety of constraints. Different study cases are discussed when two existing flying hybrid aircraft are considered: a Hybrid-Electric (HE) Pipistrel Panthera aircraft and a Fuel-Cell hybrid (FCH) Pipistrel HY4. Results are presented also depending on the capability to simulate the entire mission as a whole (Single-Phase approach) as well as through the distinction of different flight segments as in the case of the Multi-Phase approach. In addition, two different resolution algorithms are tested in order to evaluate what are the aspects that might dictate the selection of the most suitable one.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:121a50eb-c539-433f-965f-0a31cfd20cc2","http://resolver.tudelft.nl/uuid:121a50eb-c539-433f-965f-0a31cfd20cc2","Aerodynamic Design Space Exploration of a Fuselage Boundary Layer Ingesting Aircraft","van Sluis, M. (TU Delft Flight Performance and Propulsion); della Corte, B. (TU Delft Flight Performance and Propulsion); Gangoli Rao, A. (TU Delft Flight Performance and Propulsion)","","2023","Fuselage Boundary-Layer Ingestion (BLI) is a promising example of synergistic design and propulsion-airframe integration to reduce fuel burn. For a BLI configuration, the aero propulsive performance of the aircraft is a result of the complex aerodynamic interaction between the fuselage airframe and the BLI propulsor. This paper presents a design method for the aft fuselage including the propulsor shrouding to minimize the required shaft power of an aft-mounted propulsor in the conceptual design phase. First, a global aerodynamic design space exploration is carried out using Computational Fluid Dynamics (CFD) to identify the key design parameters and their influence to the aerodynamic performance of the propulsive fuselage. An optimization study is subsequently carried out to improve the aerodynamic performance of a baseline design. The optimization was performed for a turbo-electric BLI configuration and within representative design constraints. The optimization achieved a decrease of approximately 10% of the isentropic shaft power required for the aft-mounted propulsor for a constant net force acting on the propulsive fuselage. The presented methodology and the resulting design practices can be effectively applied to other advanced aircraft configurations.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:0d3db8c6-356e-4068-8d5a-e09395c83eba","http://resolver.tudelft.nl/uuid:0d3db8c6-356e-4068-8d5a-e09395c83eba","Active teaching and learning in GI sciences: lessons learned from the BSc. course Open Urban Data Governance","van Loenen, B. (TU Delft Urban Data Science); Ploeger, H.D. (TU Delft Urban Data Science); van Everdingen, N.A.L. (TU Delft BK Labs); Cuervo, Kristian (Student TU Delft); Monahan, Jessica (Student TU Delft); Pille, Julia (Student TU Delft); Verhaeghe, Carmel (Student TU Delft)","van Oosterom, Peter (editor); Ploeger, Hendrik (editor); Mansourian, Ali (editor); Scheider, Simon (editor); Lemmens, Rob (editor); van Loenen, Bastiaan (editor)","2023","A new active teaching and learning approach has been implemented in the BSc course Open Urban Data Governance.. This course is part of the minor Spatial Computing for Digital Twinning in the Bachelor of Architecture, Urbanism and Building Sciences at the Faculty of Architecture and The Built Environment, TU Delft, and offered TU wide as an elective. At the start of the course the students were tasked to collect and analyse noise level data, and to reflect on this process. In the second (main) assignment they had to develop an urban challenge to be answered with geo-data. Also here a reflection was required including an assessment of the FAIRness of the data. Both students and teachers highly appreciated the new approach. Through applying active teaching and learning we created an example of the theory on students’ activities. This approach also links students’ real world experiences to the content of the course, which allows them to put theory into context. Teachers especially appreciated the interaction with the students, the depth of the discussions, and were impressed by the steep learning curve of the students. Students enjoyed the freedom to operate, the frequent feedback sessions and the application of theory into practice. For the next year the lectures will take into account that ‘minor’ students do prepare well for their classes.","active learning; ACTIVE TEACHING; geo-information; digital twin","en","conference paper","Association of Geographic Information Laboratories for Europe (AGILE)","","","","","","","","","","Urban Data Science","","",""
"uuid:e079dc38-f76d-4d08-aee2-6826c404ff73","http://resolver.tudelft.nl/uuid:e079dc38-f76d-4d08-aee2-6826c404ff73","On the feasibility of monopile foundations for offshore wind in the Baltic Sea","van der Stap, Florian L. (Wood Thilsted Partners Limited); Nielsen, Martin B. (Wood Thilsted Partners Limited); Owen, C.C. (TU Delft Offshore Engineering); van der Male, P. (TU Delft Offshore Engineering); Hendrikse, H. (TU Delft Offshore Engineering)","","2023","For the design of offshore foundations in regions such as the Baltic Sea, it is paramount that ice-structure interaction is appropriately considered. For the monopile, a common foundation for offshore wind turbines, challenges with ice-induced vibrations and high ridge loads may require ice-mitigating measures to be included in the design. A ‘feasibility map’ showing the necessity for such ice-mitigating measures in the entire Baltic region has been developed for monopiles. The feasibility was considered in technical terms by imposing design, installation, and fabrication constraints, and in economic terms, expressed in weight increase of monopiles when compared to an ‘ice-free’ design. A design assessment of offshore wind turbines across the Baltic Sea was conducted by optimizing foundation designs for the IEA 15 MW reference turbine for nine identified characteristic regions of the Baltic Sea. The assessment was performed via the in-house foundation design software MORPHEUS by Wood Thilsted. MORPHEUS has been coupled to the phenomenological ice model “VANILLA” to capture the dynamic ice-structure interaction for level ice. From the assessment, the following regions are deemed feasible for monopiles without ice-mitigating measures: the Danish Straits, the Baltic Proper South, the Baltic Proper North, the Gulf of Riga and the Archipelago Sea. The Bothnian Sea North and the Bay of Bothnia are deemed infeasible without mitigating measures. For the Bothnian Sea South and the Gulf of Finland, no conclusive answer was found as more research into the cost competitiveness of alternative options is required. The increase in fatigue resulting from ice loading was found to be the main cause for foundation weight increase of monopiles compared to monopiles designed for ice-free waters.
implemented in the numerical domain of a hybrid test setup. When changing the mode shape amplitude, the total structural stiffness at the ice action point and modal damping as a fraction of critical were kept constant between the four structures. We found that the structure
experienced sustained frequency lock-in vibrations in a frequency corresponding to the mode shape amplitude of artificially high magnitude. When mode shape amplitudes of two eigenmodes were equalized, the structure experienced oscillations in the frequency of the mode with lower frequency or lower damping mainly. It was found that ice-induced vibrations of multi-degree-of-freedom structures are highly dependent on the relative velocity between the ice and structure and thus on the superposition of higher mode oscillations with lower mode oscillations.","Model testing; Multi-modal vibrations; Frequency lock-in; Hybrid test setup","en","conference paper","POAC","","","","","","","","","","Offshore Engineering","","",""
"uuid:6a1b6a18-3dc4-4648-a0c4-30fabba34ce0","http://resolver.tudelft.nl/uuid:6a1b6a18-3dc4-4648-a0c4-30fabba34ce0","Coupled Hybrid & Electric Aircraft Design and Strategic Airline Planning","Hoogreef, M.F.M. (TU Delft Flight Performance and Propulsion); Zuijderwijk, N.R. (Student TU Delft); Scheers, E. (Student TU Delft); Proesmans, P. (TU Delft Flight Performance and Propulsion); Santos, Bruno F. (TU Delft Air Transport & Operations)","","2023","Electrification of aviation is regarded as one of the means to make aircraft operations less polluting and to have lower climate impact. Yet, air transportation's environmental impact depends on power train technologies and novel designs and aircraft operations within airline networks. Fully- or hybrid-electric aircraft may enter existing air transport networks through fleet replacement yet require airlines to adapt in order to operate electrified aircraft strategically. This research studies how airlines can strategically adjust their network and fleet composition when considering electrified aircraft. The novelty of this approach is to provide a direct feedback coupling between fleet planning, conceptual hybrid-electric aircraft design and climate impact minimization. Therefore, a strategic airline planning model, consisting of fleet and network analysis, is coupled to a hybrid-electric aircraft design model. A case study on the sensitivity of a regional airline network is presented to demonstrate the framework and assess the impact of trying to design aircraft and fleets with minimal climate footprint. A decrease in emissions with respect to a kerosene fleet of 11% can be achieved when a hybrid-electric fleet is designed particularly for the specified network, at the penalty of a profit decrease of 13%. Limiting fleet diversity to three types results in only 7% emissions decrease. Increasing the battery-specific energy shows an expected beneficial effect on emissions.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:32470c9a-d402-4108-ab7d-e2e32572090a","http://resolver.tudelft.nl/uuid:32470c9a-d402-4108-ab7d-e2e32572090a","Turbulence-distortion analysis for leading-edge noise-prediction enhancement","Piccolo, A. (TU Delft Wind Energy); Zamponi, R. (TU Delft Wind Energy; von Karman Institute for Fluid Dynamics); Avallone, F. (TU Delft Wind Energy; Politecnico di Torino); Ragni, D. (TU Delft Wind Energy)","","2023","The analytical model for leading-edge noise prediction formulated by Amiet, developed for a flat plate, relates the far-field acoustic pressure to the upstream inflow conditions, modeled by canonical turbulence spectra. The inaccurate results provided by this low-fidelity method when applied to thick airfoils has been attributed to the distortion experienced by turbulent structures when approaching the airfoil, not modeled in the original formulation of Amiet. The first attempts to account for the effects of this physical mechanism consisted of modifying the term representing the incoming turbulence by means of the analytical results of the rapid distortion theory, obtaining a promising improvement of the noise-prediction accuracy. This paper aims to set up the physical framework to investigate the relation between turbulence distortion and noise-generation mechanisms with the purpose of enhancing inflow-turbulence noise modeling. A numerical database obtained for a rod-airfoil configuration has been chosen to allow the analysis of the vortex dynamics when interacting with a body. The analysis of the velocity field near the leading edge has highlighted that the extension of the region where turbulence distortion occurs depends on the size of the incoming turbulence structures. Furthermore, surface pressure fluctuations have been observed to peak at the same position along the airfoil where the pressure gradient in the streamwise direction is maximum. A novel approach has been proposed to account for turbulence distortion in Amiet's model by using as input the turbulence spectrum directly sampled in this position. A satisfactory agreement with the prediction provided by the solid formulation of the Ffowcs-Williams and Hawkings analogy has been obtained.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Wind Energy","","",""
"uuid:2fdfc59d-03e5-4a96-bf1e-249b47898ecd","http://resolver.tudelft.nl/uuid:2fdfc59d-03e5-4a96-bf1e-249b47898ecd","Wall treatments for aeroacoustic measurements in closed wind tunnel test sections","Mourão Bento, H.F. (TU Delft Wind Energy); VanDercreek, Colin (TU Delft Aircraft Noise and Climate Effects); Avallone, F. (TU Delft Wind Energy; Politecnico di Torino); Ragni, D. (TU Delft Wind Energy); Sijtsma, P. (TU Delft Aircraft Noise and Climate Effects); Snellen, M. (TU Delft Control & Operations)","","2023","Aeroacoustic tests in closed wind tunnels are affected by reflections in the tunnel circuit and background noise. Reflections can be mitigated by lining the tunnel circuit. The present study investigates if lining exclusively the most accessible segment of a closed wind tunnel circuit, in particular the test section, is an approach which improves acoustic measurements. Literature shows that a wind tunnel lining material should have high acoustic absorption, low inertial resistivity and low surface roughness. Therefore, the test section of TU Delft's closed Low Turbulence Tunnel is lined with melamine foam wall liners. A total of 4 test section configurations were tested: baseline; test section with lining on the floor and ceiling; test section with lined side--panels; and test section lined at all surfaces (floor, ceiling and side--panels). An omnidirectional speaker is used for evaluating the wind tunnel's acoustic performance. A geometric modelling algorithm, based on the mirror-source method, is used to predict the effect of lining on primary reflections in the test section. In addition, reflections in the test section and in the tunnel circuit are characterized experimentally. The results show that the closed loop of the tunnel circuit is responsible for a long reverberation time in the test section. However, reflections inside the test section itself are the dominant source of acoustic interference at the microphone array location. The low fidelity geometric modelling algorithm is shown to be a valuable approach for an initial estimation of the acoustic benefit of lining, for both flow--off and --on conditions. Lining of the test section walls significantly reduces reflections from the reference source, as well as the aerodynamic background noise that reaches the array.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","Control & Operations","Wind Energy","","",""
"uuid:ce92a302-35f8-441a-8e17-7dae56935460","http://resolver.tudelft.nl/uuid:ce92a302-35f8-441a-8e17-7dae56935460","Constrained Aerodynamic Shape Optimisation of the Flying V Outer Wing","van Luijk, N.L.M. (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2023","The Flying V is a flying wing aircraft consisting of two pressurized passenger cabins placed in a V shape. Its longitudinal and lateral control is ensured via elevons and split flaps on the outboard wing, and rudders on the tip-mounted winglets. The goal of this study is to devise a design for the outboard wing of the Flying V through a constrained aerodynamic shape optimization at cruise conditions. The design process is divided into a geometry preparation phase in which the existing parametrization is adjusted, followed by a planform design optimization guided by the Differential Evolution algorithm making use of a vortex-lattice method and an Euler flow analysis. The cross-sectional shape of the wing is subsequently optimized through a Free-Form Deformation (FFD) shape optimization based on the Euler equations. Two FFD optimizations are conducted to evaluate the effect of the integration of the elevons. The highest lift-to-drag ratio is obtained by neglecting the control surface integration and amounts to 20.3. While the constraints related to this elevon integration reduce the efficiency to 19.4. The overall efficiency gain compared to the original aircraft design is equivalent to 13% and 8%, respectively. A further increase is expected once the inefficient outboard wing is optimized in more detail.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:707a1d2b-1b61-4a76-a12f-e94a9ee87878","http://resolver.tudelft.nl/uuid:707a1d2b-1b61-4a76-a12f-e94a9ee87878","Validation of the Aircraft Noise and Performance Database Source Spectra","Van der Grift, R.C. (TU Delft Aircraft Noise and Climate Effects); Snellen, M. (TU Delft Control & Operations); Simons, D.G. (TU Delft Aircraft Noise and Climate Effects)","","2023","Accurate modelling of aircraft noise in different weather conditions is crucial for the reliability of noise predictions and their application worldwide. In best-practice aircraft noise models, such as Doc.29, the change in expected sound level on the ground due to changing atmosphere is modelled with a simplified propagation calculation. The Aircraft Noise and Performance (ANP) database contains several standardised source spectra, known as spectral classes, which are used for these calculations to account for the frequency dependence of the atmospheric effects. The spectral classes consist of Pressure Band Levels (PBL) of 24 1/3rd octave bands. This research focuses on the agreement of these spectral classes with measurements, taken around Amsterdam Schiphol Airport, and quantifies the effect of differences in these spectra for the Doc.29 weather correction. The measurements, taken by an acoustic array close to the runway and by continuous single microphone noise measurement stations (NOMOS) at long range, are propagated to the standard distance of 1000 ft (for which the ANP spectral classes are given) taking into account the geometrical spreading and the actual atmospheric absorption. For the B737-800 and A330, differences in shape are found between the two measured spectra and the spectral class. This is partly due to the low signal-to-noise ratio for the high frequencies in case of large distances between the aircraft and the measurement system. The effect of the application of the measured spectra on the Doc.29 weather correction is found to be smaller than 0.5 dBA for the NOMOS positions, indicating the suitability of the current ANP spectral classes for the weather correction.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","Control & Operations","Aircraft Noise and Climate Effects","","",""
"uuid:091e7270-ff04-42c3-be39-8b4536120a19","http://resolver.tudelft.nl/uuid:091e7270-ff04-42c3-be39-8b4536120a19","Cost benefit and environmental impact assessment of operational towing","Roling, P.C. (TU Delft Air Transport & Operations); Segeren, M. (Student TU Delft)","","2023","One of the potentially most significant ways of decreasing emissions at airport is by towing the aircraft on the ground instead of using its main engines. In this paper we will give a large overview of what the potential average and marginal fuel savings and impact on emissions is for some of the larger airports in Europe and North America. Especially for large hub airports, the savings are significant and are very likely more than enough so that the benefit of fuel saved outweighs the investment and cost of operating a fleet of towing vehicles.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Air Transport & Operations","","",""
"uuid:0d86440d-6472-4570-8fa7-74cf8d43d9a7","http://resolver.tudelft.nl/uuid:0d86440d-6472-4570-8fa7-74cf8d43d9a7","QAR Data-Driven Calibration of Physics-based Aircraft Performance Models using a Machine-Learning Approach","del Pozo Domínguez, María (Mediterranean Control Association (MCA)); López Leonés, Javier (Boeing Research and Technology Europe); Roling, P.C. (TU Delft Air Transport & Operations)","","2023","Aircraft performance has always been a focus of attention in aviation. The work of aircraft designers, certifying agencies, aircraft operators, and air traffic controllers relies on aircraft performance models. Current aircraft performance models are based on performance data of brand-new aircraft, independent of airline configuration and customizations. Nonetheless, over time aircraft suffer structure, engine and aerodynamic deterioration, as well as maintenance actions. These factors, which vary with tail number, make aircraft performance deviate from the theoretical and create the need for aircraft performance monitoring, and ultimately for aircraft performance tailoring. This research work proposes a novel approach to develop up-to-date, tail-specific performance models based on the use of Quick Access Recorder (QAR) data and machine-learning techniques. In particular, a methodology was designed to calibrate Base of Aircraft DAta (BADA), a widely consolidated physics-based performance model. As a result, more accurate performance models are generated, maintaining the same applicability over the entire flight envelope and during all phases of flight as BADA nominal models.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Air Transport & Operations","","",""
"uuid:6c770bae-6eb1-4301-9557-05235a694570","http://resolver.tudelft.nl/uuid:6c770bae-6eb1-4301-9557-05235a694570","High-Fidelity Simulations of Propeller-Wing Interactions in High-Lift Conditions","Pinto Ribeiro, A. (TU Delft Wind Energy; Dassault Systèmes); Duivenvoorden, R.R. (TU Delft Flight Performance and Propulsion); Martins, Diogo (Dassault Systèmes)","","2023","The recent increased interest in distributed propulsion and electric vertical take-off and landing vehicles have made propeller wake interactions with the aircraft more relevant. The interaction between high-lift wings and propeller slipstreams are still not fully understood and several research efforts are being carried out to improve that knowledge. Lattice-Boltzmann, very large eddy simulations of a propeller-wing-flap configuration are conducted in this work. The simulations are validated with experimental data, with very good agreement of surface static pressure, surface shearlines, and wake total pressure. The complex separation patterns on the flap and their interaction with the slipstream of the propeller are well captured. The effects of grid resolution and laminar-to-turbulent transition are demonstrated. With the simulations validated, they are used to better understand the flow field of this configuration. We find that the angle of attack has a strong effect on how the slipstream is split over the wing, that the tip vortices wrap around the wing leading-edge instead of being cut by it, and that increased circulation stabilizes the tip vortices on the suction side, while making the tip vortices on the pressure side more unstable.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Wind Energy","","",""
"uuid:378a6328-9f7b-462b-a7cc-2e71db7b9a07","http://resolver.tudelft.nl/uuid:378a6328-9f7b-462b-a7cc-2e71db7b9a07","Semi-empirical framework for predicting the noise from wind-turbine blades with serrated trailing edges","Lima Pereira, L.T. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy; Politecnico di Torino); Ragni, D. (TU Delft Wind Energy); Buck, Steven (Siemens Gamesa Renewable Energy); Oerlemans, S. (Siemens Gamesa Renewable Energy)","","2023","This work proposes a semi-empirical framework to predict the noise of wind turbines with serrated trailing edge blades. The framework is employed for studying the reduction of the noise of the SWT 2.3-93 benchmark wind turbine. The framework is verified against field acoustic measurements of the real wind-turbine model and of noise reduction measured for airfoil geometries with serrated trailing edges. Two different serration design strategies are proposed, respectively one with the same serration geometry along the blade and one with serrations scaled with the local boundary-layer properties along the radius. Results show the predicted noise reduction obtained with each of the add-ons and explore the benefits of tailoring the design of the serrations according to the varying flow conditions along the blade span.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Wind Energy","","",""
"uuid:1aaef6b5-2e5c-4d79-9387-b072eff51523","http://resolver.tudelft.nl/uuid:1aaef6b5-2e5c-4d79-9387-b072eff51523","Two-phase wake-mixing layer flow past a splitter plate: an experimental investigation","Della Pia, A. (TU Delft Aerodynamics); Michelis, Theodorus (TU Delft Aerodynamics); Chiatto, Matteo (Università degli Studi di Napoli Federico II); Kotsonis, M. (TU Delft Aerodynamics); de Luca, Luigi (Università degli Studi di Napoli Federico II)","","2023","The wake-mixing layer flow developing past a splitter plate separating two parallel gas and liquid co-flowing currents is experimentally investigated in this work. Time-resolved particle image velocimetry (TR-PIV) measurements of the two-phase velocity field are simultaneously performed in gas and liquid streams, shedding light on both mean (time-averaged) and unsteady features of the flow configuration. A selected reference case is first analyzed, revealing the presence of a wake region within the flow field, right behind the splitter plate. By progressively moving downstream along the streamwise direction, a pure mixing layer region is retrieved. The effect of two governing flow parameters, namely the gas Reynolds number and the gas-liquid dynamic pressure ratio, is then investigated, focusing first on the mean flow topology. It is found that the streamwise extension of the wake is a monotonic decreasing function of the Reynolds number, and it vanishes for the highest value considered, the two-phase flow resulting in a pure mixing layer regime. The flow unsteady dynamics is then characterized by means of the spectral analysis of normal-to-flow velocity fluctuating quantities, performed in both gas and liquid flows. As major results, it is found that frequency spectra are characterized by a high frequency content in the low Reynolds configuration, the peak frequency depending on the streamwise location. On the other hand, by progressively increasing the Reynolds number the peak frequency shifts to lower values, and it becomes independent on the specific spatial location by increasing the dynamic pressure ratio. It is found that, at high Reynolds and dynamic pressure ratio values, velocity fluctuations are characterized by low frequency temporal oscillations synchronized over a large spatial extent of the flow field. The different regimes outlined by variation of the flow governing parameters are found to be consistent with convective/absolute instability behaviors highlighted by spatio-temporal linear stability analyses of the flow recently presented in literature.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Aerodynamics","","",""
"uuid:a0f0b093-f335-4b7c-86ba-86e7941e82fc","http://resolver.tudelft.nl/uuid:a0f0b093-f335-4b7c-86ba-86e7941e82fc","Flow-field and Noise Characterization of a Controlled-Diffusion Airfoil under stall","Kalyani, S.K. (TU Delft Wind Energy); Jaiswal, P. (University of Sherbrooke); Rendón, Jose (University of Sherbrooke); Moreau, Stéphane (University of Sherbrooke); Ragni, D. (TU Delft Wind Energy)","","2023","The present experimental investigation focuses on a flow-field and noise characterization of a CD airfoil experiencing large flow separation and stall. Measurements are performed to investigate the effect of Reynolds number stalling noise signature of the CD airfoil. This study includes investigation of the potential interaction of wind tunnel shear layers with the separating shear layer of the airfoil, in an effort to validate previous experimental studies performed on a similar jet width using Planar-PIV. While a mean flow separation is observed near the leading-edge of the CD airfoil at angles of attack of 15 degrees, the mean reattaches before the trailing-edge region for the case of 15 degrees. In contrast for 22 degrees case the mean flow becomes completely separated and airfoil experiences a deep stall. For the latter, the Sound Pressure Levels are reduced and it is possibly linked to a decrease in overall velocity disturbances and attenuation of modulations in SPL linked to diffraction. More importantly, the velocity disturbances do not scale with overall extent of the separated shear layer or the boundary layer. As such, a one to one correspondence does not exist between SPL and boundary layer thickness.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Wind Energy","","",""
"uuid:fa7bd4f8-e3e9-492f-a83c-2d2110fc4386","http://resolver.tudelft.nl/uuid:fa7bd4f8-e3e9-492f-a83c-2d2110fc4386","Beamforming in an annular duct with swirling flow","Sijtsma, P. (PSA3: Pieter Sijtsma Advanced AeroAcoustics); Brouwer, Harry (Royal Netherlands Aerospace Centre NLR); Snellen, M. (TU Delft Control & Operations)","","2023","The fan-stator stage of turbofan engines is one of the main regions of broadband noise generation. The trend of increasing bypass ratios will make fan-stator broadband noise even more significant, as jet noise will decrease and nacelles will become shorter, thus leaving less space for liners. Within the fan-stator stage there are several aerodynamic phenomena that can cause broadband noise. However, techniques for experimental identification and quantification (and thus classification) of these broadband noise sources are still immature. Beamforming using in-duct microphones is feasible, but a major challenge is the strong rotational component of the flow that needs to be accounted for in the steering vectors. This paper proposes a solution for that challenge, based on a fast ray tracing approach. With synthesized microphone array data, calculated with an approximate method for the Green’s function in a ducted swirling flow, it is demonstrated that detection of acoustic sources is possible.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","Control & Operations","","","",""
"uuid:4bfae65f-cc23-4998-8950-d8cd13ced13e","http://resolver.tudelft.nl/uuid:4bfae65f-cc23-4998-8950-d8cd13ced13e","Quasi-Optical LO Coupling Validation for a Planarly Integrated 2 × 2 Pixel Heterodyne Array at 1.95 THz","Berkel, S. L. van (California Institute of Technology); Maestrini, A. (California Institute of Technology); Jung-Kubiak, C. (California Institute of Technology); Bosma, S. (TU Delft Tera-Hertz Sensing); Alonso Del Pino, M. (TU Delft Tera-Hertz Sensing); Hayton, D. (California Institute of Technology); Kooi, Jacob (California Institute of Technology); Siles, J. V. (California Institute of Technology); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Mehdi, I. (California Institute of Technology); Chattopadhyay, G. (California Institute of Technology)","","2023","Terahertz heterodyne spectrometer instruments have been traditionally limited to a single pixel or a handful of pixels due to integration and assembly constraints and a limited availability of local oscillator (LO) power. As a solution we propose a novel silicon-micromachined planar and modular packaging strategy, that will allow for a dense integration of a large number of pixels. Moreover, the RF- and LO signals will be quasi-optically coupled via two identical but opposite lens arrays, such that a single LO-source can efficiently pump all HEB-mixers of the 2x2 pixel demonstrator array simultaneously. This work reports on an intermediate step, where we validate the lens array performance and LO power coupling efficiency, by slightly modifying the silicon package into a transmit array configuration. In this way, the LO power coupled into the stack is directly reradiated on the other side, which is then measured using a liquid helium cooled bolometer.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Tera-Hertz Sensing","","",""
"uuid:d0e8fba9-cf04-49b0-a3a3-f95fcb4cc5b0","http://resolver.tudelft.nl/uuid:d0e8fba9-cf04-49b0-a3a3-f95fcb4cc5b0","Dimensioning Photoconductive Connected Array Sources to Maximize the Radiated Power","Huiskes, M.D. (TU Delft Tera-Hertz Sensing); Bueno Lopez, J. (TU Delft Electronics); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing)","","2023","Photoconductive antennas (PCAs) are used for imaging and sensing applications because of their ability to radiate short pulses with large bandwidths in the THz regime. The characterization of PCAs has previously been done using a time-domain Norton equivalent circuit. Thanks to a recent contribution, the size of the excited photoconductive area of PCAs that results in an impedance match with the antenna can be determined analytically using only the available optical power and the material parameters of the photoconductor. Through the impedance matching, the radiated THz power is maximized. These insights are used for the dimensioning of a wide-band photoconductive connected array to be used in the low THz band, excited by a high power laser (∼1W).","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Tera-Hertz Sensing","","",""
"uuid:02c1b42b-ad36-4095-8761-91e208f4c545","http://resolver.tudelft.nl/uuid:02c1b42b-ad36-4095-8761-91e208f4c545","On the Design of Wide Band Multi-lens Focal Plane Arrays for the TIFUUN Instrument","Mavropoulou, Alexandra (Student TU Delft); Dabironezare, Shahab Oddin (TU Delft Tera-Hertz Sensing; SRON Netherlands Institute for Space Research); Baselmans, J.J.A. (TU Delft Tera-Hertz Sensing; SRON Netherlands Institute for Space Research); Endo, A. (TU Delft Tera-Hertz Sensing)","","2023","Terahertz Integral Field Unit with Universal Nanotechnology (TIFUUN) is a wideband spectral mapper operating at (sub)-millimeter wavelengths. The instrument is under development for ground-based astronomy and will be deployed to the ASTE telescope in Chile. In this work, the building blocks for TIFUUN’s wideband (2:1) mappers are discussed. These components are based on multi-lens focal plane arrays of leaky lens antennas coupled to filter banks based on Microwave Kinetic Inductance Detectors.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Tera-Hertz Sensing","","",""
"uuid:20b380b4-3d2a-47ba-bc31-eb73ecee8906","http://resolver.tudelft.nl/uuid:20b380b4-3d2a-47ba-bc31-eb73ecee8906","Lens Absorber Coupled MKIDs for Far Infrared Imaging Spectroscopy","Dabironezare, Shahab Oddin (TU Delft Tera-Hertz Sensing; SRON Netherlands Institute for Space Research); van Berkel, Sven (NASA-Jet Propulsion Laboratory); Echternach, Pierre M. (NASA-Jet Propulsion Laboratory); Day, Peter K. (NASA-Jet Propulsion Laboratory); Bradford, Charles M. (NASA-Jet Propulsion Laboratory); Baselmans, J.J.A. (TU Delft Tera-Hertz Sensing)","","2023","Future generation of astronomical imaging spectrometers are targeting the far infrared wavelengths to close the THz astronomy gap. Similar to lens antenna coupled Microwave Kinetic Inductance Detectors (MKIDs), lens absorber coupled MKIDs are a candidate for highly sensitive large format detector arrays. However, the latter is more robust to misalignment and assembly issues at THz frequencies due to its incoherent detection mechanism while requiring a less complex fabrication process. In this work, the performance of such detectors is investigated. The fabrication and sensitivity measurement of several lens absorber coupled MKID array prototypes operating at 7.8 and 12 THz central frequencies is ongoing.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Tera-Hertz Sensing","","",""
"uuid:841c430f-0b20-4f23-b964-06d69d5989af","http://resolver.tudelft.nl/uuid:841c430f-0b20-4f23-b964-06d69d5989af","A Tightly-Sampled Focal Plane Array in 2nm CMOS with Integrated Direct-Detectors for Terahertz Imaging Applications","Hoogelander, M. (TU Delft Tera-Hertz Sensing); van Dijk, R. (Student TU Delft); Alonso Del Pino, M. (TU Delft Tera-Hertz Sensing); Spirito, M. (TU Delft Electronics); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2023","The design of a focal plane array (FPA) for imaging at sub-mm wavelengths generally is a trade-off between resolution and sensitivity. For maximum angular resolution, minimal spacing between FPA elements is desired, which leads to increased losses due to spillover and mutual coupling and therefore deteriorates the imaging sensitivity. This work presents the characterization of an ultra-wideband (200 GHz 600 GHz) FPA with integrated direct-detectors, achieving a tight sampling of the focal plane by implementing overlapping of the feed elements, hence alleviating the penalty in aperture efficiency. The overlapping of the feed elements in implemented using a combination of a dual-polarized connected array configuration resembling a chessboard, and leaky-wave propagation in the CMOS stratification. The measured radiation patterns and aperture efficiency show","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Tera-Hertz Sensing","","",""
"uuid:5d39609e-d83f-4d47-9132-04e8401ca425","http://resolver.tudelft.nl/uuid:5d39609e-d83f-4d47-9132-04e8401ca425","A Shaped Quartz Lens Antenna for Wide Scanning Sub-millimeter Imaging Systems","Zhang, H. (TU Delft Tera-Hertz Sensing); Dabironezare, Shahab Oddin (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2023","Lens based focal plane arrays (FPAs) with thousand elements are promising candidates for wide scanning sub-millimeter security imaging systems. To analyze such arrays, a field correlation approach is employed to design an FPA of quartz lenses coupled to a reflector. We consider quartz as the lens material due to its lower cost compared to silicon lenses. Here we focus on the design of the lens element at the edge of the FPA. The reflector’s scanning angle at the edge of its FPA is 20.3°, and the lens surface is shaped to couple better to the reflector. The far-field performance of the optimized shaped lens is validated by full-wave simulations with excellent agreement. The simulated scan loss of the system is 2.6 dB. A prototype was fabricated and will be measured to validate the simulation.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Tera-Hertz Sensing","","",""
"uuid:abe3cb3d-4968-4a90-9cff-d8849fab4076","http://resolver.tudelft.nl/uuid:abe3cb3d-4968-4a90-9cff-d8849fab4076","Uncovering the limits of uniqueness in sampled Gabor phase retrieval: A dense set of counterexamples in L2(ℝ)","Alaifari, Rima (ETH Zürich); Bartolucci, F. (TU Delft Analysis); Wellershoff, Matthias (University of Maryland)","","2023","Sampled Gabor phase retrieval — the problem of recovering a square-integrable signal from the magnitude of its Gabor transform sampled on a lattice — is a fundamental problem in signal processing, with important applications in areas such as imaging and audio processing. Recently, a classification of square-integrable signals which are not phase retrievable from Gabor measurements on parallel lines has been presented. This classification was used to exhibit a family of counterexamples to uniqueness in sampled Gabor phase retrieval. Here, we show that the set of counterexamples to uniqueness in sampled Gabor phase retrieval is dense in L2(ℝ), but is not equal to the whole of L2(ℝ) in general. Overall, our work contributes to a better understanding of the fundamental limits of sampled Gabor phase retrieval.","Phase retrieval; Gabor transform; sampling result","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-02","","","Analysis","","",""
"uuid:2b9618b4-bba9-4766-b248-2d7a03e29ba9","http://resolver.tudelft.nl/uuid:2b9618b4-bba9-4766-b248-2d7a03e29ba9","On the Experimental Characterization of Generated and Received Pulses of Photoconductive Antennas","Zhang, H. (TU Delft Tera-Hertz Sensing); Bueno Lopez, J. (TU Delft Electronics); Sberna, P.M. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing)","","2023","Photoconductive antennas (PCAs) are promising candidates for sensing and imaging systems. We have investigated their properties under pulsed laser illumination both in transmission and reception. First, a transmitting PCA has been characterized including a power measurement. Then, a Quasi-Optical (QO) link between a transmitter and a receiver was modelled and analyzed. In this work, we characterize this link with measurement. We use bow-tie based PCAs as examples, and measure the radiated power of the transmitter and the detected current of the receiver. The measurement shows very good agreement with the simulation.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Tera-Hertz Sensing","","",""
"uuid:7514a571-a5e1-4e3d-9e86-7325259057d8","http://resolver.tudelft.nl/uuid:7514a571-a5e1-4e3d-9e86-7325259057d8","Electrically Small High Permittivity Lens Antenna Using Artificially Loaded Thermoplastics at 170 GHz","van Rooijen, N. (TU Delft Tera-Hertz Sensing); Alonso Del Pino, M. (TU Delft Tera-Hertz Sensing); Bueno Lopez, J. (TU Delft Electronics); Spirito, M. (TU Delft Electronics); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2023","This contribution presents the development of an electrically small lens antenna using an artificially loaded thermoplastic at 140-170GHz. We will present the on-going development of the Fly’s Eye front end antenna concept that was presented in [1]. The antenna is composed on a dual plastic lens, a core lens and a shell lens, fed by a double slot. The core-lens, being presented in this contribution, is a spherical lens made from an artificially loaded plastic of permittivity 9.5. To the best of our knowledge, this thermoplastic material has not been used for lens antennas in this frequency range before. A 4mm lens prototype has been developed using this material, which includes an antireflective layer synthesized by drilling sub-wavelength holes on the lens contour. Full-wave simulations show a negligible degradation of the performance of the anti-reflection layer compared to an ideal homogeneous matching layer. Physical measurements and antenna measurements confirm that the antenna's performance matches the design specifications.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Tera-Hertz Sensing","","",""
"uuid:b11dfbf3-6aa4-44ae-9ede-f6eb84be1b59","http://resolver.tudelft.nl/uuid:b11dfbf3-6aa4-44ae-9ede-f6eb84be1b59","A gameified application to represent hand-drawn level curves in augmented reality","Hengst, Pauline (Student TU Delft); De Bruijn, Abel (Student TU Delft); Dur, Rens (Student TU Delft); Van Der Kris, Julia (Student TU Delft); van Gelderen, B.E. (TU Delft Support Delft Institute of Applied Mathematics)","Spencer, Stephen N. (editor)","2023","We present a mobile application designed to enhance students' understanding of directional derivatives and level curves in first year's calculus. The application offers visual tools and gamified learning to provide an engaging educational experience. Using novel technologies such the application is able to take a users drawing, generate a corresponding 3D model, and display this to the user. Through this presentation, attendees will gain a comprehensive understanding of the application's features and the benefits it offers to students in comprehending directional derivatives.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Support Delft Institute of Applied Mathematics","","",""
"uuid:a7b069e0-fdbf-4148-9b1a-1a6c18512908","http://resolver.tudelft.nl/uuid:a7b069e0-fdbf-4148-9b1a-1a6c18512908","From Curriculum To Career: Analysing The Contribution Of Delft University’s Robotics Msc Programme To The Career Path Of Its Alumni","Saunders-Smits, Gillian (TU Delft Robot Dynamics); Bossen, R.H. (TU Delft Education and Student Affairs); de Winter, J.C.F. (TU Delft Human-Robot Interaction)","","2023","The increasing global demand for robotics expertise led the Delft University of Technology to launch a two-year Master of Science programme in Robotics in 2020. The programme was designed to educate versatile robotics engineers capable of overseeing the entire process from conception of robotics systems to implementation. The curriculum integrates disciplines such as machine perception, artificial intelligence, robot planning and control, human-robot interaction, and ethics, and emphasises personal development through a course called Portfolio, which was later rebranded as Vision and Reflection. The effectiveness of the programme was evaluated by conducting a survey among the first cohort of students. The online survey, completed by 21 alumni, assessed the programme’s alignment with graduates’ career paths and their perceptions of the programme. Most respondents (81%) secured employment, with 69% in robotics, and all others had consciously chosen different fields. On average, graduates found jobs in under a month. Common job titles were Robotics Engineer and Software Engineer. However, graduates least appreciated the original Robot & Society and Portfolio courses. The recently rebranded Vision and Reflection course is expected to improve student engagement by focusing on meaningful reflection rather than documentation. Overall, the programme received positive feedback, with 88% of respondents saying it provided a comprehensive robotics education, and 94% stating they would choose it again. However, the evaluation was limited to the more successful half of the cohort, indicating the need to assess the experiences of the remaining graduates, who took over 2.5 years to complete their degrees.
In this study, a novel experimental method was developed to investigate the planar delamination behaviour under quasi-static out-of-plane loading. The planar central loaded split (PCLS) specimen was designed to investigate the planar delamination behaviour under mode II loading condition. By analysing digital image correlation (DIC) and C-scan data, the delamination progress was monitored. An acoustic emission (AE) system was used to capture the initiation of damage and to identify different damage types.
The planar delamination growth was found to be dependent on the stacking sequence and interface properties. Additionally, it was found that positioning a rubber mat between the indenter and the specimen prevented the occurrence of delaminations at undesired interfaces. The artificially embedded delamination propagated in the direction to which the fibre orientation of the layer above the crack interface was parallel, but migrated initially to an upper interface at the place where the fibre was perpendicular. A constant increase in the load was observed even though the delamination propagated. The significant drop of loading seen at the end of the test was attributed to the substantial surface cracking.
The research results provide a clearer understanding of the mechanisms of planar delamination under out-of-plane loading. Furthermore, combining with the experimental results, numerical simulation will be conducted to characterize planar delamination behaviour qualitatively and quantitatively, thus to establish a more reliable assessment method for planar delamination growth","Planar delamination; Stiffness degradation; Delamination migration; Mode II fracture","en","conference paper","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:118bf208-ba4a-4f16-b3bd-a3dae9c063f0","http://resolver.tudelft.nl/uuid:118bf208-ba4a-4f16-b3bd-a3dae9c063f0","Compression after impact fatigue damage growth in CFRP – what does no-growth really mean?","Biagini, D. (TU Delft Structural Integrity & Composites); Pascoe, J.A. (TU Delft Structural Integrity & Composites); Alderliesten, R.C. (TU Delft Structural Integrity & Composites)","","2023","Impacts on carbon fiber reinforced composites (CFRP) can produce a complex internal damage comprising multiple delaminations, which is hard to detect from visual inspection. This situation is known as barely visible impact damage (BVID). Considering that every airplane faces several impacts during its operational life, and that the majority of exposed surfaces in new generation aircraft is made of CFRP, there is a high chance that some aircraft will be flying with unnoticed impact damage. For this reason, BVID damage tolerance must be taken into account in design. The FAA and EASA dictate a no-growth design philosophy for BVID. Although multiple delaminations are present, BVID fatigue growth is usually assessed by measuring only the projected delaminated area with ultrasound inspections. This is done to simplify the damage description and because of the limitations in ultrasound inspection methodologies. In the present work, we show two cases of delamination propagation that are neglected following this procedure. Our experimental monitoring of delamination propagation with different ultrasound techniques shows a) growth inside the impact cone and b) faster growth of shorter delamination. The conclusion is that the projected area description is insufficient, since a no-growth in the projected area does not necessarily correspond to a no-growth in the actual damage.","BVID; Damage Tolerance; Delamination; Fatigue; Ultrasound-scan","en","conference paper","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:9becec09-6558-4bc3-b514-68e6e957aab1","http://resolver.tudelft.nl/uuid:9becec09-6558-4bc3-b514-68e6e957aab1","Evaluating the Influence of PMUT Mechanical Support Properties on Power Conversion Efficiency in Ultrasonically Powered Implants","Savoia, Alessandro S. (University of Roma Tre); Giustiniano, Domenico (STMicroelectronics); Prelini, Carlo (STMicroelectronics); Saccher, M. (TU Delft Electronic Components, Technology and Materials); Rashidi, A. (TU Delft Bio-Electronics); Leotti, Alberto (STMicroelectronics); Giagka, Vasiliki (TU Delft Bio-Electronics; Fraunhofer Institute for Reliability and Microintegration IZM); Ferrera, Marco (STMicroelectronics)","","2023","Micromachined Ultrasonic Transducers (MUTs) are being explored as power converters in wirelessly powered biomedical implants. This paper investigates the role of mechanical support properties in piezoelectric MUTs (PMUTs) on their power conversion efficiency. For this purpose, a finite element model (FEM) of a PMUT array was developed and integrated with an equivalent circuit model (ECM). The study considered different mechanical support scenarios, from rigidly clamped to completely free. These were numerically analyzed and validated by impedance measurements and acoustic power transfer experiments on PMUT prototypes. The results show that reducing the mass of the mechanical support increases the Q factor, leading to a significant improvement in power conversion efficiency, with an efficiency increase factor of 5.6x from the clamped to the free case. This approach can potentially enhance overall power conversion efficiency, reduce the need for matching networks, and enable miniaturization in ultrasonically powered implants.","PMUT; Ultrasonic MEMS; PZT; Ultrasonic Powering; Biomedical Implants; Power Transfer","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-07","","","Electronic Components, Technology and Materials","","",""
"uuid:b8b796f4-e0e9-40c6-bcec-527d631f428a","http://resolver.tudelft.nl/uuid:b8b796f4-e0e9-40c6-bcec-527d631f428a","Low-Offset Band-Pass Signal Shaper with High Time Resolution in 40 nm CMOS Technology","Mohammad Zaki, A.R. (TU Delft Electronic Instrumentation); Nihtianova, S. (TU Delft Electronic Instrumentation)","","2023","State-of-the-art readout integrated circuits (ROICs) operating in particle-counting mode are gravitating toward high time resolution, low-noise, and low-power analog readout frontends to detect and register the arrival time of charge signals with a high accuracy. To achieve a time resolution of a few nanoseconds, an intermediate stage, known as a signal shaper block, is the preferred solution in the readout frontend, as it compensates for the inter-symbol interference-induced errors by realizing a band-pass transfer function. This paper presents the design methodology and experimental characterization of a state-of-the-art, high time resolution, low-offset, and power-efficient band-pass signal shaper block intended for fitting the voltage signals generated by a charge-sensitive amplifier (CSA) as a function of charge signals as small as 160 aC, into timeframes of 2.5 ns with 17 times offset attenuation while consuming 0.17 mW of power. Detailed information about the operation principle of this CSA, designed in TSMC 40 nm MS/RF CMOS technology, is reported in a previous publication.","readout integrated circuit ROIC; analog frontend; charge-sensitive amplifier; signal shaper block; low-offset; lownoise; power-efficient; high time resolution","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-16","","","Electronic Instrumentation","","",""
"uuid:3b9b1648-3168-48ec-8f69-363c91c08039","http://resolver.tudelft.nl/uuid:3b9b1648-3168-48ec-8f69-363c91c08039","Aging-Aware Battery Operation for Multicarrier Energy Systems","Slaifstein, D.A. (TU Delft DC systems, Energy conversion & Storage); Alpizar Castillo, J.J. (TU Delft DC systems, Energy conversion & Storage); Menendez Agudin, A. (TU Delft DC systems, Energy conversion & Storage); Ramirez Elizondo, L.M. (TU Delft DC systems, Energy conversion & Storage); Chandra Mouli, G.R. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","In the context of building electrification the operation of distributed energy resources integrating multiple energy carriers poses a significant challenge. Such an operation calls for an energy management system that decides the set-points of the primary control layer in the best way possible. This is done by fulfilling user requirements, minimizing costs, and balancing local generation with energy storage. This last component is what enables building flexibility. This paper presents a novel aging-aware strategy for operating grid-connected buildings that combine multiple energy carriers (heat and electricity), storage devices (electric vehicles, batteries, and thermal storage), and power sources (solar photovoltaics, solar collectors). The novel energy management algorithm presented considers the aging of the batteries to enhance the operational differences between storage technologies, thus making explicit the trade-off between the services provided by the hybrid energy storage system and its degradation. This unlocks grid cost reductions between 20–45 % depending on the season when compared to state-of-the-art solutions.","Energy management systems; Battery degradation; Hybrid energy storage; Multicarrier energy systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-16","","","DC systems, Energy conversion & Storage","","",""
"uuid:0a72ecfc-5cf4-42a4-8395-0e022f622cb0","http://resolver.tudelft.nl/uuid:0a72ecfc-5cf4-42a4-8395-0e022f622cb0","Lyapunov-Based Model Predictive Control for Stable Operation of a 9-Level Crossover Switches Cell Inverter in Grid Connection Mode","Trabelsi, Mohamed (Kuwait College of Science and Technology, Kuwait); Makhamreh, Harnza (Özyeğin University); Alquennah, Alamera Nouran (Texas A and M University); Vahedi, H (TU Delft DC systems, Energy conversion & Storage)","","2023","This study proposes the application of a Lyapunov-based Model Predictive Control (L-MPC) approach to a 9-level Crossover Switches Cell (CSC9) converter operating in grid connection mode. The proposed method utilizes the structure of the classical finite-control-set MPC (FCS-MPC) technique while integrating a cost function that requires no tuning. By deriving the cost function based on Lyapunov theory, the system stability is ensured. Notably, the suggested approach offers several advantages over traditional MPC controllers. Firstly, it eliminates the need for gain tuning, thereby simplifying the implementation process. Secondly, the proposed controller prioritizes stability as a key design aspect. The presented simulation results prove that the proposed controller effectively regulates the voltage of the DC capacitor around its desired value and feed a smooth sinusoidal current to the grid with low total harmonic distortion (THD) while operating at a unity power factor.","Crossover Switches Cell Converter; Multilevel Inverters; Lyapunov-based Control; Model Predictive Control; Grid-Connection","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-16","","","DC systems, Energy conversion & Storage","","",""
"uuid:ef2222d0-38ac-41f7-9c5e-7063ec65e07b","http://resolver.tudelft.nl/uuid:ef2222d0-38ac-41f7-9c5e-7063ec65e07b","Power and Thermal Cycling Testbed for End of Life Assessment of Semiconductor Devices","Molenaar, M. (TU Delft DC systems, Energy conversion & Storage); Kardan Halvaei, F. (TU Delft DC systems, Energy conversion & Storage); Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","The reliability of semiconductor power devices can be studied by performing a thermal and power cycling test. In order to create the desired temperature cycles, there are four free variables to select during the power cycling test, namely the heating current, heating time, cooling time, and heatsink temperature. In this paper, the relation between the selected variables and the minimum and maximum junction temperature is extensively tested for the silicon IGBT with serial number IKP06N60T. Furthermore, the thermal model is discussed and verified and a rough estimate of the electrical resistance, thermal time constant, thermal resistance, and thermal capacitance are calculated.","Power cycling test; Reliability; Thermal model; Silicon IGBT; Silicon-Carbide MOSFET; Thermal device characteristics; Lifetime testbed","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-16","","","DC systems, Energy conversion & Storage","","",""
"uuid:58ccce28-ab08-478f-80b5-d2a92cb88179","http://resolver.tudelft.nl/uuid:58ccce28-ab08-478f-80b5-d2a92cb88179","Web3-based role and token data access: the case of building material passports","Hunhevicz, Jens J. (ETH Zürich; Swiss Federal Laboratories for Materials Science and Technology (Empa)); Bucher, David F. (ETH Zürich); Kuttantharappel Soman, R. (TU Delft Integral Design & Management); Honic, Meliha (ETH Zürich); Hall, Daniel M. (TU Delft Design & Construction Management); De Wolf, C.E.L. (ETH Zürich)","Kassem, Mohamad (editor); Tagliabue, Lavinia Chiara (editor); Amor, Robert (editor); Sreckovic, Marijana (editor); Chassiakos, Athanasios (editor)","2023","Long-term access to lifecycle data is key to a successful transition towards a circular built environment. However, the underlying technology often remains centralized and risks becoming inaccessible over time. In this paper, we investigate whether decentralized access methods using Web3, i.e. blockchain and decentralized data storage protocols, can help to mitigate this limitation. We implement Web3 data access mechanisms for a material passport with both a role-based and a token-based smart contract. Initial results suggest that Web3 offers a promising approach to data access over the lifecycle of a built asset-but only with careful design choices.","","en","conference paper","European Council on Computing in Construction (EC3)","","","","","","","","","","Integral Design & Management","","",""
"uuid:ce467aca-4ebe-42bc-8702-ef9b05e20f2a","http://resolver.tudelft.nl/uuid:ce467aca-4ebe-42bc-8702-ef9b05e20f2a","A Living Open Architecture Archive: Lessons from Docomomo and Architectuul Collaboration, Challenges and Potentials","Altıntaş Kaptan, Meriç (Istanbul Technical University); Burkhard, Christian (University of Kassel); Pottgiesser, U. (TU Delft Heritage & Architecture)","van den Heuvel, Dirk (editor); Tanis, Fatma (editor); van de Sande, Winnie (editor)","2023","In an era of rapid architectural evolution, the preservation of architectural heritage, particularly that of the Modern Movement, stands as a paramount challenge. This contribution aims to showcase the collaboration between Architectuul, an open data architecture community, and Docomomo International, a global non-profit organisation dedicated to the documentation and conservation of buildings, sites and neighbourhoods of the Modern Movement, which is present with national working parties in 79 countries. Since 1990, Docomomo International has been collecting, researching, and documenting information of over a thousand Modern Movement buildings in systematic ‘building fiches’, part of which have been published in the Docomomo Journal, biannual international conference proceedings, and several book publications in English and other languages. Consequently, Docomomo has established itself nationally and internationally as a point of reference and partner for organisations like ICOMOS, UIA or the Getty Conservation Institute. Nevertheless, only a fraction of this rich material is readily accessible in digital form, and over the years, Docomomo has recognised the critical and challenging task of building a sustainable, continually updated, cost-efficient, and openly accessible archive to disseminate this architectural knowledge further across geographies and cultures, beyond the printed versions and local digital repositories.","","en","conference paper","TU Delft/Het Nieuwe Instituut","","","","","","","","","","Heritage & Architecture","","",""
"uuid:4cc4ffc4-7644-4870-a0d0-805a0397f23b","http://resolver.tudelft.nl/uuid:4cc4ffc4-7644-4870-a0d0-805a0397f23b","Open Digital Architecture Archives or the Infinite Metaphorical Iteration of Architecture","Van Rooyen, X.V.R. (TU Delft Building Knowledge)","van den Heuvel, Dirk (editor); Tanis, Fatma (editor); van de Sande, Winnie (editor)","2023","Digital archives raise the question of how to preserve them, display them or
catalogue them. The question we would like to address here concerns the
potential of digital archives to be integrated into an ideation process, enabling
the creation of new architectural types based on archives of the past.
In a vision of an open society, the data represented by digital archives
can from our point of view be more widely opened up to academics and
practitioners alike, to increase their potential for use....","","en","conference paper","TU Delft/Het Nieuwe Instituut","","","","","","","","","","Building Knowledge","","",""
"uuid:172246eb-cde6-40c1-aabc-dc36cfcd238d","http://resolver.tudelft.nl/uuid:172246eb-cde6-40c1-aabc-dc36cfcd238d","Design Labels: The Words that Divide & Unite Us","Stappers, P.J. (TU Delft Design Conceptualization and Communication); Sleeswijk Visser, F. (TU Delft Design Conceptualization and Communication); van Boeijen, A.G.C. (TU Delft Design Aesthetics)","","2023","""This paper explores the limitations and functions of design labels, such as social design, codesign, and sustainable design. It argues for a clearer and more nuanced approach to describing design practices. The authors collected over seventy of such labels and categorized them into five clusters. Four of these clusters derive their name from a necessary element of a design project, namely resources, outcomes, criteria, and methods. The labels in the fifth cluster pertain to specific application domains. The discussion explores the relations between these labels and the elements they represent, highlighting that each element can evolve during a design project. The authors conclude that the elements can assist students, professionals, and academics to planning and describing the execution of a design practice. It’s important to notice that although design labels do not define the practices, they do serve to identify specialist communities, and indicate new directions in the field. ""","Design Terminology; Design Methods; Design for Values; Design Labels","en","conference paper","Blucher","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:ce904b00-3c4d-4ddc-8857-361a078d1956","http://resolver.tudelft.nl/uuid:ce904b00-3c4d-4ddc-8857-361a078d1956","Modeling and Analysis of SRAM PUF Bias Patterns in 14nm and 7nm FinFET Technology Nodes","Masoumian, S. (TU Delft Computer Engineering; Intrinsic ID B.V.); Maes, Roel (Intrinsic ID B.V.); Wang, Rui (Intrinsic ID B.V.); Yerriswamy, Karthik Keni (Intrinsic ID B.V.); Schrijen, Geert-Jan (Intrinsic ID B.V.); Hamdioui, S. (TU Delft Computer Engineering); Taouil, M. (TU Delft Computer Engineering)","","2023","SRAM Physical Unclonable Functions (PUFs) are one of the popular forms of PUFs that can be used to generate unique identifiers and randomness for security purposes. Hence, their resilience to attacks is crucial. The probability of attacks increases when the SRAM PUF start-up values follow a predictable pattern which we refer to as bias. In this paper, we investigate the parameters impacting the SRAM PUF bias of advanced FinFET SRAM designs. In particular, we analyze the bias with respect to temperature, mismatches in the power supply network, and ramp-up time. We also consider process variation, circuit noise, and SRAM layout in our analysis. Our simulations results match with the silicon measurements. From the experiments we conclude that (i) the SRAM layout and in particular the power supply network can lead to a bias, (ii) this bias increases with temperature, and (iii) this bias increases when the supply ramp-up time decreases.","Bias; FinFET; Power Supply Network; SRAM PUF; Temperature","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-22","","","Computer Engineering","","",""
"uuid:1ac350fc-aba6-4633-92c1-4964270b4089","http://resolver.tudelft.nl/uuid:1ac350fc-aba6-4633-92c1-4964270b4089","Impact of stator segmentation on forces and vibrations in PM generators for offshore wind power","Klop, Casper L. (Norwegian University of Science and Technology (NTNU)); Dong, J. (TU Delft DC systems, Energy conversion & Storage); Olsen, Pål Keim (Norwegian University of Science and Technology (NTNU))","","2023","The ModHVDC concept is a modular permanent magnet generator which uses insulated stator segments with dedicated power electronic converters to produce HVDC. This could significantly reduce the number of required power conversion steps for HVDC-connected offshore wind parks. However, the concept poses structural challenges to the machine design, which are investigated in this paper. It is observed that segmentation of the stator has two major effects on the harmonic forces that occur inside in the machine: the addition of low spatial order harmonics, referred to as the segment harmonics, and an increase of the cogging torque. Furthermore, the structural integrity of the stator was found to be reduced. Based on these findings, design recommendations are put forward to mitigate the drawbacks of stator segmentation.","HVDC; MODULARITY; WIND POWER; FORCES; VIBRATIONS","en","conference paper","IET","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-21","","","DC systems, Energy conversion & Storage","","",""
"uuid:3e51d5a1-6f65-4c45-b5e6-15999e215f78","http://resolver.tudelft.nl/uuid:3e51d5a1-6f65-4c45-b5e6-15999e215f78","On the Reliability of RRAM-Based Neural Networks","Aziza, Hassen (Aix Marseille Université); Zambelli, Cristian (Università degli Studi di Ferrara); Hamdioui, S. (TU Delft Computer Engineering); Diware, S.S. (TU Delft Computer Engineering); Bishnoi, R.K. (TU Delft Computer Engineering); Gebregiorgis, A.B. (TU Delft Computer Engineering)","","2023","Emerging device technologies such as Resistive RAMs (RRAMs) are under investigation by many researchers and semiconductor companies; not only to realize e.g., embedded non-volatile memories, but also to enable energy-efficient computing making use of new data processing paradigms such as computation-in-memory. However, such devices suffer from various non-idealities and reliability failure mechanisms (e.g., variability, endurance, and retention); these negatively impact the memory robustness and the computation accuracy. This paper discusses the non-idealities and reliability failure mechanisms for RRAM devices, provides an overview on the most popular ones. In addition, it reports detailed anlysis of some of these based on data measurements. Finally, it presents two different mitigation schemes for RRAM based accelerators; one is based on RRAM non-ideality aware quantization and conductance control for neural network accuracy enhancement while the second is based on reliability-aware biased training technique.","RRAM; reliability; neural network; in-memory computing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-22","","","Computer Engineering","","",""
"uuid:d5f3495e-a1dd-4450-b578-8cea6eec471f","http://resolver.tudelft.nl/uuid:d5f3495e-a1dd-4450-b578-8cea6eec471f","Let’s step into each other’s worlds: designing for local transformation processes","Sleeswijk Visser, F. (TU Delft Design Conceptualization and Communication); van Erp, Jeroen (Fabrique)","Cipolla, C. (editor); Mont'Alvao, C. (editor); Farias, L. (editor); Quaresma, M. (editor)","2023","In our densely-populated cities, fostering harmony between differing communities is an increasingly difficult art, and one in which design can provide positive contributions. This paper describes a design project which aimed to decrease tensions between youth and residents in a city neighbourhood through an empathy- building process. Individuals from both groups were guided through the process of stepping into each others’ worlds (through Virtual Reality) and developing solutions together to address points of tension. Their individual transformative processes were tracked in order to make the implicit outcomes of such design processes explicit. Throughout this process new dynamics and connections emerged, revealing grounds for structurally decreasing tensions and promoting participatory approaches for local transformation processes.
This paper describes the project and presents our learnings regarding (1) the transformative impact on the involved individuals from the neighbourhood and (2) reflections on the contributing roles of the designers in social innovation projects.
2n estimation","Pierzyna, Maximilian (TU Delft Atmospheric Remote Sensing); Saathof, R. (TU Delft Space Systems Egineering); Basu, S. (University at Albany)","Stein, Karin (editor); Gladysz, Szymon (editor)","2023","Free-Space Optical Communication (FSOC) links are considered a key technology to support the increasing needs of our connected, data-heavy world, but they are prone to disturbance through atmospheric processes such as optical turbulence. Since turbulence is highly dependent on local topographic and meteorological conditions, modeling optical turbulence strength (Cn 2) is challenging during the design phase of an optical link or network. Over the past 25 years, (see manuscript PDF for symbol) parameterizations of varying complexities have been combined with various numerical weather prediction models for the spatio-temporal estimation of (Cn 2). However, the outputs of these models can exhibit substantial variability based on the user-defined configuration that determines how atmospheric processes are represented. To address this concern, we propose to run not a single model configuration but multiple diverse ones to generate an ensemble estimate of (Cn 2). We employ the Weather Research and Forecasting model (WRF) with ten different Planetary Boundary Layer (PBL) physics schemes forming a diverse ensemble yielding a probabilistic (Cn 2) estimate. We demonstrate that this ensemble outperforms the individual runs when compared to scintillometer field measurements and show it to be robust against outliers. We believe that FSOC downstream tasks such as link budget estimations should also become more robust if based on a (Cn 2) ensemble estimate compared to single model runs.","Optical Turbulence; Free-Space Optical Communication; Mesoscale Modelling; Ensemble Modelling","en","conference paper","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:4938f46f-3ae2-482c-840e-91fc2dea08ef","http://resolver.tudelft.nl/uuid:4938f46f-3ae2-482c-840e-91fc2dea08ef","Da Vinci Satellite – Roll Of The Dice","Regnerija, Kim S.C.N.A. (Student TU Delft); Bagchus, F.M. (Student TU Delft); Speretta, S. (TU Delft Space Systems Egineering); Uludag, M.S. (TU Delft Space Systems Egineering)","","2023","The Da Vinci Satellite project is a non-profit initiative started at the Delft University of Technology to inspire and enthuse the youth to learn more about technology and space travel. The team does this by focussing on demystifying space and making it a fun and engaging subject. The non-profit student team is divided into different sub teams, two of which are the technical team and the educational team. The technical team has been building a 2U CubeSat with two payloads that have been designed to support educational packages for children from primary schools and high schools. The educational team works to make these educational modules available for schools all around the world such that children have the opportunity to interact directly with space via The Da Vinci Satellite.","Primary School Education; Educational Satellite","en","conference paper","","","","","","","","2024-05-06","","","Space Systems Egineering","","",""
"uuid:771066f2-dd2b-4f40-a728-c761ebec8b25","http://resolver.tudelft.nl/uuid:771066f2-dd2b-4f40-a728-c761ebec8b25","Comparison of Lower Arm Weight and Passive Elbow Joint Impedance Compensation Strategies in Non-Disabled Participants","Filius, S.J. (TU Delft Biomechatronics & Human-Machine Control); Janssen, Mariska (Radboud University Medical Center); van der Kooij, H. (TU Delft Support Biomechanical Engineering; TU Delft Biomechatronics & Human-Machine Control; University of Twente); Harlaar, J. (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC)","","2023","People with severe muscle weakness in the upper extremity are in need of an arm support to enhance arm function and improve their quality of life. In addition to weight support, compensation of passive joint impedance (pJimp) seems necessary. Existing devices do not compensate for pJimp yet, and the best way to compensate for it is still unknown. The aim of this study is to 1) identify pJimp of the elbow, and 2) compare four different compensation strategies of weight and combined weight and pJimp in an active elbow support system. The passive elbow joint moments, including gravitational and pJimp contributions, were measured in 12 non-disabled participants. The four compensation strategies (scaled-model, measured, hybrid, and fitted-model) were compared using a position-tracking task in the near vertical plane. All four strategies showed a significant reduction (20–47%) in the anti-gravity elbow flexor activity measured by surface electromyography. The pJimp turned out to contribute to a large extent to the passive elbow joint moments (range took up 60%) in non-disabled participants. This underlines the relevance of compensating for pJimp in arm support systems. The parameters of the scaled-model and hybrid strategy seem to overestimate the gravitational component. Therefore, the measured and fitted-model strategies are expected to be most promising to test in people with severe muscle weakness combined with elevated pJimp.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-08","","","Biomechatronics & Human-Machine Control","","",""
"uuid:d1cf6a5b-49e1-47cc-af28-793b77c0df42","http://resolver.tudelft.nl/uuid:d1cf6a5b-49e1-47cc-af28-793b77c0df42","Development and Validation of a Kinematically Accurate Upper-Limb Exoskeleton Digital Twin for Stroke Rehabilitation","Ratschat, A.L. (TU Delft Human-Robot Interaction; Erasmus MC); Lomba, T.M.C. (TU Delft Cognitive Robotics); Dalla Gasperina, S. (TU Delft Human-Robot Interaction); Marchal Crespo, L. (TU Delft Human-Robot Interaction; Erasmus MC)","","2023","Rehabilitation robotics combined with virtual reality using head-mounted displays enable naturalistic, immersive, and motivating therapy for people after stroke. There is growing interest in employing digital twins in robotic neurore-habilitation, e.g., in telerehabilitation for virtual coaching and monitoring, as well as in immersive virtual reality applications. However, the kinematic matching of the robot's visualization with the real robot movements is hardly validated, potentially affecting the users' experience while immersed in the virtual environment due to a visual-proprioceptive mismatch. The kinematic mismatch may also limit the validity of assessment measures recorded with the digital twin. We present the development and low-cost kinematic validation of a digital twin of a seven active degrees-of-freedom exoskeleton for stroke rehabilitation. We validated the kinematic accuracy of the digital twin end-effector by performing two tasks-a planar reaching task and a 3D functional task-performed by a single healthy participant. We computed the end-effector position and rotation from the forward kinematics of the robot, the digital twin, and data recorded from the real robot using a low-cost tracking system based on HTC VIVE trackers and compared them pair-wise. We found that the digital twin closely matches the forward kinematics and tracked movement of the real robot and thus provides a reliable platform for future research on digital twins for stroke rehabilitation.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-08","","Cognitive Robotics","Human-Robot Interaction","","",""
"uuid:9b2bbe3b-a8c2-423d-8977-176b9a0b6b86","http://resolver.tudelft.nl/uuid:9b2bbe3b-a8c2-423d-8977-176b9a0b6b86","Ultrasound Imaging with Pre-charged Collapse-Mode CMUTs","Kawasaki, S. (TNO); Saccher, M. (TU Delft Electronic Components, Technology and Materials); de Wijs, Willem-Jan (Philips); van den Brand, Jeroen (TNO); Dekker, R. (TU Delft Electronic Components, Technology and Materials; Philips)","","2023","Capacitive micromachined ultrasonic transducers (CMUTs) with a built-in charge layer are known as a pre-charged CMUT. In our prior work, we have shown how to model and characterize the charges inside the pre-charged collapse-mode CMUT and conducted life-time test that showed that the charges trapped inside the dielectric were stable in the order of years [1]. However, our prior work focused on the use of pre-charged collapse-mode CMUTs as a way to achieve ultrasound power reception, which does not require the CMUT to be actively driven. In this work, for the first time we use pre-charged collapse-mode CMUTs with an Al2O3 charge-trapping layer to create a B-mode ultrasound image. Thus, this work shows the first example that pre-charged collapse-mode CMUTs can fully operate with only an AC voltage.","CMUT; pre-charged CMUT; wearable ultrasound","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-07","","","Electronic Components, Technology and Materials","","",""
"uuid:bd4aab69-51c2-4a9d-b2f0-1e4875e20f7a","http://resolver.tudelft.nl/uuid:bd4aab69-51c2-4a9d-b2f0-1e4875e20f7a","Quantitative and Qualitative Evaluation of Exoskeleton Transparency Controllers for Upper-Limb Neurorehabilitation","Dalla Gasperina, S. (TU Delft Human-Robot Interaction); Ratschat, A.L. (TU Delft Human-Robot Interaction; Erasmus MC); Marchal Crespo, L. (TU Delft Human-Robot Interaction; Erasmus MC)","","2023","High transparency is a fundamental requirement for upper-limb exoskeletons to promote active patient participation. Although various control strategies have been suggested to improve the transparency of these robots, there are still some limitations, such as the need for precise dynamic models and potential safety issues when external forces are applied to the robot. This study presents a novel hybrid controller designed to tackle these limitations by combining a traditional zero-torque controller with an interaction torque observer that compensates for residual undesired disturbances. The transparency of the proposed controller was evaluated using both quantitative-e.g., residual joint torques and movement smoothness-and qualitative measures-e.g., comfort, agency, and perceived resistance-in a pilot study with six healthy participants. The performance of the new controller was compared to that of two conventional controllers: a zero-torque closed-loop controller and a velocity-based disturbance observer. Our preliminary results show that the proposed hybrid controller may be a good alternative to state-of-the-art controllers as it allows participants to perform precise and smooth movements with low interaction joint torques. Importantly, participants rated the new controller higher in comfort and agency, and lower in perceived resistance. This study highlights the importance of incorporating both quantitative and qualitative assessments in evaluating control strategies developed to enhance the transparency of rehabilitation robots.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-08","","","Human-Robot Interaction","","",""
"uuid:4d3d4a58-572f-4dbb-b5d6-e580330d40bf","http://resolver.tudelft.nl/uuid:4d3d4a58-572f-4dbb-b5d6-e580330d40bf","Interactive Visual Exploration of Region-based Sensitivities in Fiber Tracking","Siddiqui, F.P. (TU Delft Computer Graphics and Visualisation; Eindhoven University of Technology); Höllt, T. (TU Delft Computer Graphics and Visualisation); Vilanova, Anna (Eindhoven University of Technology)","Hansen, Christian (editor); Procter, James (editor); Raidou, Renata G. (editor); Jönsson, Daniel (editor); Höllt, Thomas (editor)","2023","Fiber tracking is a powerful technique that provides valuable insights into the complex white matter structure of the human brain. However, the processing pipeline involves many sources of uncertainty, with one notable factor being the user-defined parameters that significantly influence the resulting outputs. Among these parameters, the definition of seed-points is a crucial aspect in most fiber tracking algorithms. These seed-points are determined through regions of interest (ROI) and serve as the initial points for fiber tract generation. In this work, we present an interactive technique that utilizes seed-point sensitivities to guide the definition of regions of interest (ROI). We examine various scenarios where sensitivity information can enhance the ROI definition process and provide user guidelines and recommended actions for each scenario. Building upon this analysis, we have developed a visualization strategy that enables users to explore seed-point sensitivities effectively and facilitate the definition of optimal ROIs. We present results highlighting the benefits of the proposed visual design in the clinical pipelines.","","en","conference paper","The Eurographics Association","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:afdd61f3-1a9f-4912-9ab4-6da25bdc75ce","http://resolver.tudelft.nl/uuid:afdd61f3-1a9f-4912-9ab4-6da25bdc75ce","Interactions for Seamlessly Coupled Exploration of High-Dimensional Images and Hierarchical Embeddings","Vieth, A. (TU Delft Computer Graphics and Visualisation); Lelieveldt, B.P.F. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Eisemann, E. (TU Delft Computer Graphics and Visualisation); Vilanova, Anna (Eindhoven University of Technology); Höllt, T. (TU Delft Computer Graphics and Visualisation)","Guthe, Michael (editor); Grosch, Thorsten (editor)","2023","High-dimensional images (i.e., with many attributes per pixel) are commonly acquired in many domains, such as geosciences or systems biology. The spatial and attribute information of such data are typically explored separately, e.g., by using coordinated views of an image representation and a low-dimensional embedding of the high-dimensional attribute data. Facing ever growing image data sets, hierarchical dimensionality reduction techniques lend themselves to overcome scalability issues. However, current embedding methods do not provide suitable interactions to reflect image space exploration. Specifically, it is not possible to adjust the level of detail in the embedding hierarchy to reflect changing level of detail in image space stemming from navigation such as zooming and panning. In this paper, we propose such a mapping from image navigation interactions to embedding space adjustments. We show how our mapping applies the ""overview first, details-on-demand"" characteristic inherent to image exploration in the high-dimensional attribute space. We compare our strategy with regular hierarchical embedding technique interactions and demonstrate the advantages of linking image and embedding interactions through a representative use case.","Human-centered computing; Interaction design; Visual analytics; Mathematics of computing; Dimensionality reduction","en","conference paper","The Eurographics Association","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:509d2a78-ff26-4cda-8405-e792015b016b","http://resolver.tudelft.nl/uuid:509d2a78-ff26-4cda-8405-e792015b016b","Cytosplore Simian Viewer: Visual Exploration for Multi-Species Single-Cell RNA Sequencing Data","Basu, S. (TU Delft Computer Graphics and Visualisation; Leiden University Medical Center); Eggermont, Jeroen (Leiden University Medical Center); Kroes, Thomas (Leiden University Medical Center); Jorstad, Nikolas (Allen Institute for Brain Science); Bakken, Trygve (Allen Institute for Brain Science); Lein, Ed (Allen Institute for Brain Science); Lelieveldt, B.P.F. (Leiden University Medical Center); Höllt, T. (TU Delft Computer Graphics and Visualisation)","Hansen, Christian (editor); Procter, James (editor); Raidou, Renata G. (editor); Jönsson, Daniel (editor); Höllt, Thomas (editor)","2023","With the rapid advances in single-cell sequencing technologies, novel types of studies into the cell-type makeup of the brain have become possible. Biologists often analyze large and complex single-cell transcriptomic datasets to enhance knowledge of the intricate features of cellular and molecular tissue organization. A particular area of interest is the study of whether cell types and their gene regulation are conserved across species during evolution. However, in-depth comparisons across species of such high-dimensional, multi-modal single-cell data pose considerable visualization challenges. This paper introduces Cytosplore Simian Viewer, a visualization system that combines various views and linked interaction methods for comparative analysis of single-cell transcriptomic datasets across multiple species. Cytosplore Simian Viewer enables biologists to help gain insights into the cell type and gene expression differences and similarities among different species, particularly focusing on comparing human data to other species. The system validation in discovery research on real-world datasets demonstrates its utility in visualizing valuable results related to the evolutionary development of the middle temporal gyrus.","Human-centered computing; Visualization systems and tools","en","conference paper","The Eurographics Association","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:eda08b4f-d4a4-4cc3-bf0a-6057b2f3267a","http://resolver.tudelft.nl/uuid:eda08b4f-d4a4-4cc3-bf0a-6057b2f3267a","A small-scale pile impact test for demonstrating the coupling between structural vibration and underwater noise generation","Azevedo Vasconcelos, A.C. (TU Delft Transport Engineering and Logistics); Heijnen, S. (Student TU Delft); Holtzer, Bart (Huisman Equipment BV); Aragon, A.M. (TU Delft Computational Design and Mechanics); Schott, D.L. (TU Delft Transport Engineering and Logistics); Jovanova, J. (TU Delft Transport Engineering and Logistics)","","2023","The performance improvement of renewable energy sources has become nowadays a crucial topic to boost the energy transition. With respect to offshore wind farms, larger wind turbines are currently being designed to increase their power capacity. To support such turbines into the seabed, monopile foundations are mainly used, due to their low-cost manufacturing and transportation. Because of the increased wind turbines’ dimensions, larger monopiles are needed, which have shown to shift high sound waves to lower-frequency ranges during their installation. A precise prediction of the high noise level is necessary to properly design noise-cancelling devices, which prevent negative impacts on marine life. Because the evaluation of sound levels at a full-scale monopile installation is time-consuming and expensive, small-scale tests are being explored. Here we propose a small-scale test for investigating the high noise levels source. To that end, proper scaling laws and instrumentation have been adopted to assure the similarity between the small- and full-scale cases. The experimental results were also compared to a simplified numerical model. The findings of this work showed that investigating a priori the monopile’s features provides an underwater noise prediction, which can be used to design the upcoming noise-cancelling devices.","","en","conference paper","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:2fa134c4-a818-4d36-bf2b-51753c22e11d","http://resolver.tudelft.nl/uuid:2fa134c4-a818-4d36-bf2b-51753c22e11d","Collect your Retrofits: Parametric modelling to support homeowner energy retrofits in heritage buildings at the early design stage","Dang, M.K. (TU Delft Environmental & Climate Design; Amsterdam Institute for Advanced Metropolitan Solutions (AMS)); Cunin, Maxime (Superworld); van den Dobbelsteen, A.A.J.F. (TU Delft Environmental & Climate Design; Amsterdam Institute for Advanced Metropolitan Solutions (AMS))","Lopes, Marta (editor); Matschoss, Kaisa (editor); Bouman, Thijs (editor)","2023","The joint deployment of energy reduction actions across multiple buildings at once is much needed to reach climate targets, but collective decision-making with shared ownership is a complex process. Each homeowner is accountable for their own energy use, while being constrained by their personal financial capacity and will to act with other co-owners. At the same time, decision-making for energy retrofits involves multiple constraints and criteria, relating to divergent and sometimes conflicting technical, environmental, economic, and social issues, leading to a fragmented response to the retrofitting challenge. This article presents a community-led approach to energy retrofit based on parametric modelling and design space exploration. The approach was tested under the conditions of a homeowner association residing in a heritage building in Amsterdam. Cards displaying each retrofit option and its associated impacts in terms of costs, operational carbon emissions, and energy performance were designed to facilitate negotiation between the participants and their interaction with the computational model. The intention was to empower the group by enabling the exploration of various design alternatives and to nourish conversations about sustainable retrofitting that would normally not take place. Participant feedback shows that the approach effectively improved the quality of the discussion and increased their understanding on the pathways to make their building more sustainable. This article presents the Collect your Retrofits project and describes the potentials and limitations of using parametric modelling to facilitate group decisions made at early stages of retrofit design.","Energy efficiency retrofit; Performance design; Heritage buildings; Renovation participation; Group decision-making","en","conference paper","Rijksdienst voor Ondernemend Nederland (RVO)","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:9bb474a1-3090-480e-a093-4e71489ad237","http://resolver.tudelft.nl/uuid:9bb474a1-3090-480e-a093-4e71489ad237","Spectropolarimetry for space object identification","Snel, Ralph (TNO); Mr. Vasilescu, B.V. (TU Delft Spaceborne Instrumentation); Di Iorio, Eugenio Iorio (TNO); Piron, P. (TU Delft Spaceborne Instrumentation); Loicq, J.J.D. (TU Delft Spaceborne Instrumentation); Ferrario, Ivan (TNO); Silvestri, Fabrizio (TNO)","Hickman, Duncan L. (editor); Bursing, Helge (editor); Kamerman, Gary W. (editor); Steinvall, Ove (editor)","2023","A novel method for space object identification is proposed, based on full Stokes spectropolarimetry in the visible and near-infrared wavelength range. Space objects that have been previously detected and are illuminated by the sun can be observed with a telescope to simultaneously obtain intensity, spectra, and polarimetry, and compose light curves of these parameters as function of time. The intention is to thus assign a unique identification, or at least a classification to these objects. Single, double, and multiple reflections of sunlight off the space object (natural or artificial objects, including debris) will introduce spectrally dependent polarisation into the scattered light, the spectral signature of which is affected by the complex refractive index of the scattering materials and the geometry. The simultaneous measurement of the full Stokes vector allows separation of the light source unpolarised spectral signatures on the one hand from the polarisation spectral features on the other hand. To illustrate the concept, we have performed a number of simulations for double scattering off a small selection of materials, for a large range of scattering geometries. Examples of individual scattering geometries and statistical summaries of all geometries are presented. A demonstrator spectropolarimeter is being built, we present an overview of the design and the high level planning, as well as some predicted performance parameters.","Spectropolarimetry; polarimetry; space object identification; UV-vis-NIR; scattered sunlight","en","conference paper","SPIE","","","","","","","","","","Spaceborne Instrumentation","","",""
"uuid:bf76c6cb-36ac-4e62-ac07-97773d5dd57d","http://resolver.tudelft.nl/uuid:bf76c6cb-36ac-4e62-ac07-97773d5dd57d","Future Dutch Electricity Grid: Assessing the Potential of Overplanting in Photovoltaic Systems","Reis, F.R. (TU Delft Intelligent Electrical Power Grids); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy); Gonzalez-Longatt, Francisco (University of Exeter)","","2023","This paper concerns with the determination of a suitable level of overplanting for photovoltaic systems. For this purpose, six futuristic operational scenarios for the Dutch electrical power system are generated for year 2050. A synthetic model is developed by using DIgSILENT Power Factory 2022 SP3 to investigate the steady-state systemic performance in each operational scenario, taking into account three cases with different levels of overplanting. Power flow calculations are conducted to reflect on the resulting voltage profiles and active power losses as well as on the implications on the required network upgrades (e.g. addition of lines, transformers, and reactive power compensation devices).","power system; national scenario; overplanting; photovoltaic systems; power flow","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-16","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:513b5e4f-880f-42da-bcc3-b48e0383331d","http://resolver.tudelft.nl/uuid:513b5e4f-880f-42da-bcc3-b48e0383331d","Cyber Forensic Analysis for Operational Technology Using Graph-Based Deep Learning","Presekal, A. (TU Delft Intelligent Electrical Power Grids); Stefanov, Alexandru (TU Delft Intelligent Electrical Power Grids); Subramaniam Rajkumar, Vetrivel (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy)","","2023","The cyber attacks in Ukraine in 2015 and 2016 demonstrated the vulnerability of electrical power grids to cyber threats. They highlighted the significance of Operational Technology (OT) communication-based anomaly detection. Many anomaly detection methods are based on real-time traffic monitoring, i.e., Intrusion Detection Systems (IDS) that may produce false positives and degrade the OT communication performance. Security Operations Center (SOC) needs intelligent tools to conduct forensic analysis on generated IDS alarms and identify the attack locations. Therefore, in this paper, we propose a novel, graph-based forensic analysis method for anomaly detection in power systems using OT communication network traffic throughput. It employs a hybrid deep learning model involving Graph Convolutional Long Short-Term Memory and a Convolutional Neural Network. The proposed method aids SOC with continuous OT security monitoring and post-mortem investigations. Results indicate that the proposed method is able to pinpoint the locations of cyber attacks on power grid OT networks with an AUC score above 75%.","Anomaly Detection; Attack Graph; CNN; Cyber Security; Digital Forensics; Graph; GNN; LSTM; Operational Technology","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-06","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:248d4da7-4d4d-4c73-8c08-e79228384f01","http://resolver.tudelft.nl/uuid:248d4da7-4d4d-4c73-8c08-e79228384f01","A stochastic MPC framework for the control of pumping stations in polder systems with regard for uncertainty in inflow and hourly electricity prices","van der Heijden, T.J.T. (TU Delft Civil Engineering & Geosciences); van de Giesen, N.C. (TU Delft Water Resources); Palensky, P. (TU Delft Electrical Sustainable Energy); Abraham, E. (TU Delft Water Resources)","","2023","The Netherlands is a low-lying country situated in the Rhine-Meuse delta. A significant portion of the Netherlands is located below sea level, making the proper management of local and national waterways essential. Polders are used to manage groundwater levels, drain excess rainwater, and store water during times of drought. These polders often have pumping stations that pump water into drainage canals, like the Noordzeekanaal-Amsterdam-Rijnkanaal (NZK-ARK), which receives water from the Rhine river and four local water authorities and connects to the North Sea at IJmuiden through a pumping station and a series of undershot gates.
The operators of the NZK-ARK utilize Model Predictive Control (MPC) to schedule the discharge of water through the gates and pumps. The combination of the pump and gate discharge allows the NZK-ARK to discharge excess water to the North Sea when the sea water level is both higher and lower than the water level in the canal. However, traditional MPC can lead to suboptimal schedules when uncertainty is introduced, resulting from, for example, incoming discharge, fluctuating electricity prices, and the availability of renewable energy. Stochastic MPC allows for the consideration of uncertainty in decision-making, optimizing control actions based on a range of potential scenarios. In the future, the objectives for the control system of the gates and pumps may become more complex and may need to take into account factors like renewable energy availability and electricity prices. Ensuring the effective and efficient management of water in the Netherlands is critical, and the use of polders for water storage and control of groundwater tables, and techniques like MPC and stochastic MPC play important roles in achieving this goal.
In this study, we present a framework that combines probabilistic forecasting, scenario generation and reduction, and stochastic MPC to minimize energy costs associated with pumping at the NZK-ARK. This framework is based on probabilistic forecasts of electricity prices and incoming discharge and is specifically designed for use at the NZK-ARK. By considering the uncertainty present in electricity prices and incoming discharge, our framework allows for the optimization of control actions through the use of stochastic MPC. The ultimate goal of this approach is to reduce energy costs at the NZK-ARK by effectively managing the discharge of water through the pumps and gates while complying with local constraints.","","en","conference paper","","","","","","","","","Civil Engineering & Geosciences","Electrical Sustainable Energy","Water Resources","","",""
"uuid:8b3f2a07-0af3-4089-a6cb-82a4201c848c","http://resolver.tudelft.nl/uuid:8b3f2a07-0af3-4089-a6cb-82a4201c848c","Point Transformer-Based Human Activity Recognition Using High-Dimensional Radar Point Clouds","Guo, Zhongyuan (Student TU Delft); Guendel, Ronny (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2023","Radar-based Human Activity Recognition(HAR) is considered by using snapshots of point clouds. Such point cloudsinterpret 2D images generated by an mm-wave FMCW MIMO radar enriched byincluding Doppler and temporal information. We use the similarity between suchradar data representation and the core of the self-attention concept inartificial intelligence. Three self-attention models (Point Transformer) areinvestigated to classify Activities of Daily Living (ADL). An experimentaldataset collected at TU Delft is used to explore the best combination ofdifferent input features, the effect of a proposed Adaptive ClutterCancellation (ACC) method, and the robustness in a leave-one-subject-outscenario. Results with a macro F1 score in the order of 90% are demonstratedwith the proposed method, including activities that are static postures withlittle associated Doppler.","Human Activity Recognition; Imaging Radar; Deep Learning; Point Transformer; Activities of Daily Living","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-21","","","Microwave Sensing, Signals & Systems","","",""
"uuid:53fccd0c-3637-45b4-bd64-f5bc14648a98","http://resolver.tudelft.nl/uuid:53fccd0c-3637-45b4-bd64-f5bc14648a98","Drone Detection & Classification with Surveillance ‘Radar On-The-Move’ and YOLO","Haifawi, Hani (Student TU Delft; Robin Radar Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems); van der Meer, Rob (Robin Radar Systems)","","2023","A new method to jointly detect and classify drones using a moving surveillance radar system (‘radar on-the-move’) and computer vision is presented. While most conventional counter-drone radar-based techniques focus on time-frequency distributions to obtain classification features, such approaches are limited in volumetric spatial coverage. To compensate for this, surveillance radars that offer full spatial coverage are used, but the determination of the best detection and classification approach to be applied on the resulting data is still an open challenge. In this paper a framework is proposed that combines deep learning approaches from computer vision, specifically the You Only Look Once (YOLO) network, with data from the moving surveillance radar produced by Robin Radar Systems B.V. This framework allows to jointly detect and label targets based on range-Doppler images generated in real-time. The method is validated on experimental data, with preliminary results on a small dataset showing precision, recall, mean average precision (mAP@0.5) and Area Under Curve (AUC) of over 99%","drone detection; drone classification; surveillance radar; YOLO","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-21","","","Microwave Sensing, Signals & Systems","","",""
"uuid:4404f934-0e39-4755-825e-2b8a79637837","http://resolver.tudelft.nl/uuid:4404f934-0e39-4755-825e-2b8a79637837","Low Complexity Single-Snapshot DoA Estimation via Bayesian Compressive Sensing","Roldan Montero, I. (TU Delft Microwave Sensing, Signals & Systems); Lamberti, Lucas (Student TU Delft); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","The problem of single-snapshot direction of arrival (DoA) estimation with antenna arrays has been considered. A sectorized approach based on Bayesian Compressive Sensing (BCS) has been proposed. In this method, the angular space is discretized, defining many non-overlapping small grids which cover the desired large angular space. First, a BCS estimation is run in each of the sectors to estimate the DoA of the signals. Then, a second stage is performed to correct the inconsistencies at the edges due to signal leaking between sectors. The performance of the method has been analyzed via extensive Monte-Carlo simulations in which the number of targets, their Radar Cross Section (RCS), and their location have been varied in a large extent, and the targets were observed by a Frequency Modulated Continuous Wave (FMCW) radar with an 86-element Uniform Linear Array (ULA). The results are compared with state-of-the-art methods in terms of estimation accuracy and resolution. Moreover, an analysis of the computational time, critical for many real-time applications, is presented, which shows a reduction of 20 times in the computational time compared with the standard BCS. Finally, the method has also been validated using experimental data collected with a commercial automotive radar.","Bayesian compressive sensing (BCS); direction of-arrival estimation (DoA); antenna arrays","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-21","","","Microwave Sensing, Signals & Systems","","",""
"uuid:5bbdd7aa-5d43-48e6-974c-ebcf76d3472a","http://resolver.tudelft.nl/uuid:5bbdd7aa-5d43-48e6-974c-ebcf76d3472a","Contributing Factors to Flight-Centric Complexity in En-Route Air Traffic Control","de Rooij, G. (TU Delft Control & Simulation); Stienstra, A. (Student TU Delft); Borst, C. (TU Delft Control & Simulation); Tisza, A. B. (EUROCONTROL); van Paassen, M.M. (TU Delft Control & Simulation); Mulder, Max (TU Delft Control & Simulation)","","2023","To alleviate the workload of air traffic controllers, part of the air traffic may be handled by a future automated system. When deciding which flights to delegate, a distinction can be made between basic and non-basic flights, with the former being prime candidates for delegation. The human controller can then focus on the non-basic flights, where human competencies are most valuable and more difficult to automate. The classification of flights is preferably based on objective measures relating to the traffic situation. Existing complexity models are, however, often used for capacity predictions or airspace restructuring and primarily to assess the complexity of a sector as a whole. In this paper we use empirically collected flight complexity ratings from 15 professional en-route air traffic controllers. They indicated which other flights contributed to their complexity assessment of a single flight of interest. This exploratory study was able to build a machine-learning model which adequately classifies these flights, based on a qualified majority of controllers. By analyzing the interactions between the included flights, we discuss whether a classification model can differentiate between basic and non-basic flights, and which traffic features play the largest role. Once this can be done reliably and an appropriate complexity threshold has been chosen, a model can be developed as a starting point for an automatic allocation algorithm that distributes flights between a human controller and the computer.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:71a31593-a0a1-4b7f-935b-860098e4cb5a","http://resolver.tudelft.nl/uuid:71a31593-a0a1-4b7f-935b-860098e4cb5a","Multiscale Stratigraphic Reservoir Characterization for Flow and Storage of CO2: Roadmap for Modelling and Quantitative Understanding","Hampson, G. (Imperial College London); Martinius, A.W. (TU Delft Applied Geology); Jackson, M. (Imperial College London); Krevor, S. (Imperial College London); Storms, J.E.A. (TU Delft Applied Geology); Voskov, D.V. (TU Delft Reservoir Engineering); Hajibeygi, H. (TU Delft Reservoir Engineering); Geiger, S. (TU Delft Applied Geology)","","2023","This poster outlines a hierarchical, multiscale modelling approach that is adapted from proven hydrocarbon reservoir characterization workflows to determine which 3D sedimentological and stratigraphic heterogeneity types at which temporal and spatial scales and in which configurations are most important for successful long-term CO2 storage. The approach is particularly in saline aquifers that are data-poor but have the potential to store large CO2 volumes. It uses the Representative Element Volume (REV) concept and associated upscaling methodology to characterise sedimentological heterogeneity types, and it leverages novel modelling tools that facilitate rapid model construction and prototyping, geometrically accurate representation of key geological heterogeneities in models, and computationally efficient simulation of all CO2 trapping mechanisms over relevant spatial and temporal scales.","","en","conference paper","","","","","","","","","","","Applied Geology","","",""
"uuid:99b62449-5431-4d6e-ba56-62b7c8c24d72","http://resolver.tudelft.nl/uuid:99b62449-5431-4d6e-ba56-62b7c8c24d72","Monitoring pore-pressure depletion in the Groningen reservoir using ghost reflections from seismic interferometry","Shirmohammadi, F. (TU Delft Applied Geophysics and Petrophysics); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Veltmeijer, A.V. (TU Delft Applied Geophysics and Petrophysics); Naderloo, M. (TU Delft Applied Geophysics and Petrophysics); Barnhoorn, A. (TU Delft Applied Geophysics and Petrophysics)","","2023","Seismic interferometry (SI) retrieves new seismic responses between receivers or sources using, e.g., cross-correlation. Applying SI to a reflection survey with active sources and receivers at the surface, one retrieves ghost reflections besides the physical reflections. Ghost reflections are retrieved from the correlation of two primary reflections or multiples from two different depth levels. They are only sensitive to the changes in the layer that cause them to appear in the result of SI.
Using ghost reflections from SI, we investigate the possibility of monitoring pore-pressure depletion due to gas extraction in the Groningen gas field, Netherlands. We performed an active-source transmission laboratory experiment to measure S-wave velocities at pore pressures of 50, 80, 100, 200, and 300 bar. Using these values; we numerically model scalar reflection data with sources and receivers at the surface for the Groningen subsurface model. Applying SI by auto-correlation to these datasets, we retrieve zero-offset ghost reflections. We show that using only the reflections from the top and the bottom of the reservoir is essential for retrieving a specific ghost reflection from inside the reservoir. The retrieved ghost reflections showed clear time differences, indicating they can be utilized to monitor reservoir pore-pressure depletion changes.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:d0fd8ee0-d1e8-4e5f-9b55-f57a4cb6a4a9","http://resolver.tudelft.nl/uuid:d0fd8ee0-d1e8-4e5f-9b55-f57a4cb6a4a9","Monitoring tidal water-column changes in ports using distributed acoustic sensing","Buisman, M. (TU Delft Applied Geophysics and Petrophysics; Port of Rotterdam); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","","2023","We show results of of using distributed acoustic sensing (DAS) for continuous relative water-column changes monitoring by relating the oscillating frequencies to measurements of a nearby tidal-station. The oscillations have a great qualitative agreement with the tidal-station, having a period of 12 hours and 25 minutes. No calibration is required to measure the tides and the relative difference in water height, though calibration would allow measuring the absolute water height at any location. Because we used two poles with different exposure lengths to air, at different depths and only 38 m apart, we can interpret he spectral oscillations are a result of constructive interference in our poles, likely generated by the wind. DAS could be a very attractive alternative for tidal monitoring in shallow marine environments, ports and waterways. DAS could potentially resolve spatial resolution problems with tidal monitoring, which is currently cost-prohibited, at a relatively low expense by wrapping a fibre around a pre-existing structure such as a docking pole. Furthermore, DAS can be used remotely and continuously, allowing for better model calibrations or local tidal fluctuation monitoring. This monitoring system could help determine if ships have enough water clearance to dock and, in turn, increase the occupation rate.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:b2d4fb9e-5cc0-454a-85b6-7a2fc1f1d667","http://resolver.tudelft.nl/uuid:b2d4fb9e-5cc0-454a-85b6-7a2fc1f1d667","3D geomechanical modelling of induced seismicity including intersecting faults and reservoir compartments","Ruan, J. (TU Delft Applied Geophysics and Petrophysics); Ghose, R. (TU Delft Applied Geophysics and Petrophysics); Mulder, W.A. (TU Delft Applied Geophysics and Petrophysics; Shell Global Solutions International B.V.)","","2023","To investigate the physical processes behind induced seismicities due to, for example, production of hydrocarbons from a reservoir, most of the earlier studies performed geomechanical simulations on a simple reservoir geometry. The effect of fluid depletion is, in general, simulated for such a simple geometry. Neglecting the contribution of realistic 3-D reservoir geometries can lead to a wrong estimation of the incremental stress field. A reliable estimate of the induced stress field is key to producing meaningful simulation results. We perform geomechanical simulations on a simple fault model as well as a more realistic model based on the known geological structures at the earthquake source-region in Zeerijp region, the Netherlands. Our results demonstrate that the angle of the fault intersection affects the incremental stress field, including the effective normal stress, the shear stress, and hence, the Coulomb stress and the SCU value. Our results also show a shift in the rupture pattern and the location of the maximum slip on the fault plane. We conclude that, to properly evaluate the effects of production activities and to simulate precisely the in-situ stress field and the induced seismicity, the incorporation of a realistic reservoir structure in modelling is essential.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:f2ae91c0-8e47-4f9a-afe3-d562a2735efe","http://resolver.tudelft.nl/uuid:f2ae91c0-8e47-4f9a-afe3-d562a2735efe","Treat societally impactful scientific insights as open-source software artifacts","Liem, C.C.S. (TU Delft Multimedia Computing); Demetriou, A.M. (TU Delft Multimedia Computing)","O'Conner, L. (editor)","2023","So far, the relationship between open science and software engineering expertise has largely focused on the open release of software engineering research insights and reproducible artifacts, in the form of open-access papers, open data, and open-source tools and libraries. In this position paper, we draw attention to another perspective: scientific insight itself is a complex and collaborative artifact under continuous development and in need of continuous quality assurance, and as such, has many parallels to software artifacts. Considering current calls for more open, collaborative and reproducible science; increasing demands for public accountability on matters of scientific integrity and credibility; methodological challenges coming with transdisciplinary science; political and communication tensions when scientific insight on societally relevant topics is to be translated to policy; and struggles to incentivize and reward academics who truly want to move into these directions beyond traditional publishing habits and cultures, we make the parallels between the emerging open science requirements and concepts already well-known in (open-source) software engineering research more explicit. We argue that the societal impact of software engineering expertise can reach far beyond the software engineering research community, and call upon the community members to proactively help driving the necessary systems and cultural changes towards more open and accountable research.","open science; software engineering; open source; transdisciplinary research; responsible research practice","en","conference paper","IEEE","","","","","","","","","","Multimedia Computing","","",""
"uuid:99e621ca-0546-4ee0-9383-e35b545059d7","http://resolver.tudelft.nl/uuid:99e621ca-0546-4ee0-9383-e35b545059d7","Foundation for risk-based asset management for storm surge barriers","Kharoubi, Y. (TU Delft Integral Design & Management); van den Boomen, M. (TU Delft Integral Design & Management); Hertogh, M.J.C.M. (TU Delft Integral Design & Management); van den Bogaard, J. (Rijkswaterstaat)","Biondini, Fabio (editor); Frangopol, Dan M. (editor)","2023","Due to climate change, the risk of flooding is increasing with potentially severe consequences on highly populated and economically developed coastal zones. Storm surge barriers protect against such events with the critical task of closing during extreme weather conditions to prohibit the propagation of water. This highlights the importance of maintaining the high reliability of these structures and the challenge to reach this goal for rarely operated and unique infrastructures. To deal with this challenge, the study creates a foundation to set an asset management approach for storm surge barriers or assets with similar characteristics. This is done by studying the case of The Netherlands with the aim to [1] describe the asset management approach, [2] identify key features of the approach, [3] investigate the connection between these features and the characteristics of the barriers, and [4] conclude the influence of the characteristics on the establishment of an asset management approach.","","en","conference paper","Taylor and Francis","","","","","","","","","","Integral Design & Management","","",""
"uuid:29892432-31d6-4d61-9ae9-4ad99f6ee8c0","http://resolver.tudelft.nl/uuid:29892432-31d6-4d61-9ae9-4ad99f6ee8c0","Design strategies for reusable structural components in the built environment","Kavoura, Dr. Florentia (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures)","Biondini, Fabio (editor); Frangopol, Dan M. (editor)","2023","The technical solutions for deconstruction are reviewed and investigated in the building sector as this is an expected trend under the sustainability requirements set in the EU Commission´s “Green Deal” towards net zero greenhouse gas emissions by 2050. Two of the main research areas for efficient deconstruction strategies are; i) the behavior of multi-material structural components (hybrid structures) that combine the advantages of mechanical properties and architectural appearance of different construction materials, and ii) the techniques and mechanical properties of connections between structural components which allow deconstruction and reuse. However, there is a very limited number of studies and methods into specific demountable and hybrid structural systems, and even fewer focus on their practicability and feasibility. Since these systems have the potential to reduce construction waste, encourage resource efficiency and reduce embodied carbon impacts, it is expected that they will contribute immensely to a sustainable built environment. This paper focuses on technical solutions of the design strategies that currently have been developed for hybrid and steel reusable structural systems, and proposes an approach on implementing structural floor systems designed with the linear approach to a circular building environment.","","en","conference paper","Taylor and Francis","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:21aefc58-7c7f-487c-9bbb-d7cfb10b4e86","http://resolver.tudelft.nl/uuid:21aefc58-7c7f-487c-9bbb-d7cfb10b4e86","Read-disturb Detection Methodology for RRAM-based Computation-in-Memory Architecture","Yaldagard, Mohammad Amin (TU Delft Computer Engineering); Diware, S.S. (TU Delft Computer Engineering); Joshi, R.V. (TU Delft Computer Engineering; IBM Thomas J. Watson Research Centre); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Bishnoi, R.K. (TU Delft Computer Engineering)","","2023","Resistive random access memory (RRAM) based computation-in-memory (CIM) architectures can meet the unprecedented energy efficiency requirements to execute AI algorithms directly on edge devices. However, the read-disturb problem associated with these architectures can lead to accumulated computational errors. To achieve the necessary level of computational accuracy, after a specific number of read cycles, these devices must undergo a reprogramming process which is a static approach and needs a large counter. This paper proposes a circuit-level RRAM read-disturb detection technique by monitoring real-time conductance drifts of RRAM devices, which initiate the reprogramming when actually it needs. Moreover, an analytic method is presented to determine the minimum conductance detection requirements, and our proposed read-disturb detection technique is tuned for the same to detect it dynamically. SPICE simulation result using TSMC 40 nm shows the correct functionality of our proposed detection technique.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-08","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:f8148446-9e3c-47e8-b8a9-4a360e7b1095","http://resolver.tudelft.nl/uuid:f8148446-9e3c-47e8-b8a9-4a360e7b1095","Recommendations on finite element modelling of non-seismic excitation in soil-structure interaction problems","Schepers, W. (Federal Institute for Materials Research and Testing Berlin); Brinkgreve, R.B.J. (TU Delft Geo-engineering); Appel, S. (GuD Geotechnik und Dynamik Consult GmbH)","","2023","Nowadays geotechnical engineering firms have powerful software tools to extent their consulting business also into dynamic soil-structure interaction, which before has been restricted to a rather small community of specialized experts in this field, and they certainly do. This is particularly true with respect to non-seismic sources, that is all kinds of human induced vibra-tions. Hence, there is a demand from clients as well as from contractors to have guidance on the requirements as well as the limits of numerical modelling of soil-structure interaction. From the literature as well as from relevant standards, recommendations for the numerical modelling of soil-structure interaction problems involving seismic actions are well known, e. g. ASCE/SEI 4-16. There are, however, some particularities when dealing with human-induced vibrations, which are absent in seismic analyses. For human-induced excitations very little specific guidance has been published in the past. A machine foundation on a homogeneous half space excited by har-monic loads with excitation frequency between 4 Hz and 64 Hz has been analysed by means of several commercially available software packages. Parametric studies have been performed to verify if recommendations for seismic soil-structure analyses are valid for non-seismic analyses as well. This paper provides details on the benchmark example and the most important conclu-sions from the undertaken parametric studies.","","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:f64abc28-7779-47ad-acf5-1b8973eb971c","http://resolver.tudelft.nl/uuid:f64abc28-7779-47ad-acf5-1b8973eb971c","Personalized Agent Explanations for Human-Agent Teamwork: Adapting Explanations to User Trust, Workload, and Performance","Verhagen, R.S. (TU Delft Interactive Intelligence); Neerincx, M.A. (TU Delft Interactive Intelligence); Parlar, C. (Student TU Delft); Vogel, M. (Student TU Delft); Tielman, M.L. (TU Delft Interactive Intelligence)","","2023","For human-agent teams to be successful, agent explanations are crucial. These explanations should ideally be personalized by adapting them to intended human users. So far, little work has been conducted on personalized agent explanations during human-agent teamwork. Therefore, an online experiment (n = 60) was conducted to compare personalized agent explanations against a baseline of non-personalized explanations. We implemented four agents who adapted their explanations during a search and rescue task randomly, or based on human workload, performance, or trust. Results show that personalized explanations can increase explanation satisfaction and trust in the agent, but also decrease performance. Therefore, we conclude that personalized agent explanations can be beneficial to human-agent teamwork, but that user modelling and personalization techniques should be carefully considered.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Interactive Intelligence","","",""
"uuid:0c240bc8-cb82-41f9-8105-a9df435bddf1","http://resolver.tudelft.nl/uuid:0c240bc8-cb82-41f9-8105-a9df435bddf1","Automated CPT interpretation and modelling in a BIM/Digital Twin environment","Brinkgreve, R.B.J. (TU Delft Geo-engineering); Tschuchnigg, F. (Graz University of Technology); Laera, A. (Seequent); Brasile, S. (Seequent)","","2023","Following up on previous research on Automated Parameter Determination (APD), in which the soil stratification and numerical model parameters are automatically derived from individual CPTs, this article describes ongoing research in which the geotechnical modelling workflow is further automated in a BIM / Digital Twin environment. Especially in a preliminary project phase, when limited soil data are available, a workflow in which CPT data are used to automatically create a 3D geological model from which 2D or 3D numerical models can be extracted, may be very helpful in exploring different design alternatives. For existing (infrastructural) projects, such an automated system in a Digital Twin environment could also help responsible authorities to check the infrastructure’s safety under changing conditions. In addition to the description of technical solutions used for automatic layer detection and clustering (based on Machine Learning) across different CPTs, the article touches upon the discussion on transparency and accessibility of the automated system in view of the expertise and responsibilities of the operating geotechnical engineer.","CPT; automation; geological modelling; clustering; machine learning (ML)","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:b2e0d0d4-4583-4cdc-b58c-0669560f2652","http://resolver.tudelft.nl/uuid:b2e0d0d4-4583-4cdc-b58c-0669560f2652","Data Background-Based Test Development for All Interconnect and Contact Defects in RRAMs","Xun, H. (TU Delft Computer Engineering); Fieback, M. (TU Delft Computer Engineering); Yuan, S. (TU Delft Computer Engineering); Zhang, Ziwei (Student TU Delft); Taouil, M. (TU Delft Computer Engineering; CognitiveIC); Hamdioui, S. (TU Delft Quantum & Computer Engineering; CognitiveIC)","","2023","Resistive Random Access Memory (RRAM) is a potential technology to replace conventional memories by providing low power consumption and high-density storage. As various manufacturing vendors make significant efforts to push it to high-volume production and commercialization, high-quality and efficient test solutions are of great importance. This paper analyzes interconnect and contact defects in RRAMs, while considering the impact of the memory Data Background (DB), and proposes test solutions. The complete interconnect and contact defect space in a layout-independent RRAM design is defined. Exhaustive defect injection and circuit simulation are performed in a systematic manner to derive appropriate fault models, not only for single-cell and two-cell coupling faults, but also for multi-cell coupling faults where the DBs are important. The results show the existence of unique 3-cell and 4-cell coupling faults due to e.g., the sneak path in the array induced by defects. These unique faults cannot be detected with traditional RRAM test solutions. Therefore, the paper introduces a test generation method that takes into account the DB, which is able to efficiently detect all these faults; hence, further improving the fault/defect coverage in RRAMs.","RRAM; interconnect and contact defects; data background; fault models; test development","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-12","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:b00e21cf-c470-4f6d-a220-76c0cbbe2697","http://resolver.tudelft.nl/uuid:b00e21cf-c470-4f6d-a220-76c0cbbe2697","Online Fault Detection and Diagnosis in RRAM","Fieback, M. (TU Delft Computer Engineering); Bradarić, Filip (Student TU Delft); Taouil, M. (TU Delft Computer Engineering); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","","2023","Resistive Random Access Memory (RRAM, or ReRAM) is a promising memory technology to replace Flash because of its low power consumption, high storage density, and simple integration in existing IC production processes. This has motivated many companies to invest in this technology. However, RRAM manufacturing introduces new failure mechanisms and faults that cause functional errors. These faults cannot all be detected by state-of-the-art test and diagnosis solutions, thus leading to slower product development and low-quality products. This paper introduces a design-for-test (DFT) based on a parallel-multi-reference read (PMRR) circuit that can detect all RRAM array faults. The PMRR circuit replaces the standard sense amplifier and compares the cell’s state to multiple references during one read operation. Thus, it can be used as a DFT scheme and a normal read circuit at once. This allows for speeding up production testing and the online detection of faults. Furthermore, the circuit is extendable so that more references can be compared, which is required for efficient diagnosis. Finally, the references can be adjusted to maximize the production yield. The circuit outperforms state-of-the-art solutions because it can detect all RRAM faults during diagnosis, production testing, and during its application in the field while minimizing yield loss.","RRAM; ReRAM; Fault; Test; Diagnosis; DFT","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-12","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:69c13738-0f23-42d5-845d-3312381e3b94","http://resolver.tudelft.nl/uuid:69c13738-0f23-42d5-845d-3312381e3b94","Enriching Source Code with Contextual Data for Code Completion Models: An Empirical Study","van Dam, Tim (Student TU Delft); Izadi, M. (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Technology)","O'Conner, L. (editor)","2023","Transformer-based pre-trained models have recently achieved great results in solving many software engineering tasks including automatic code completion which is a staple in a developer’s toolkit. While many have striven to improve the code-understanding abilities of such models, the opposite – making the code easier to understand – has not been properly investigated. In this study, we aim to answer whether making code easier to understand through using contextual data improves the performance of pre-trained code language models for the task of code completion. We consider type annotations and comments as two common forms of additional contextual information that often help developers understand code better. For the experiments, we study code completion in two granularity levels; token and line completion and take three recent and large-scale language models for source code: UniXcoder, CodeGPT, and InCoder with five evaluation metrics. Finally, we perform the Wilcoxon Signed Rank test to gauge significance and measure the effect size. Contrary to our expectations, all models perform better if type annotations are removed (albeit the effect sizes are small). For comments, we find that the models perform better in the presence of multi-line comments (again with small effect sizes). Based on our observations, we recommend making proper design choices when training, fine-tuning, or simply selecting such models given the intended data and application. Better evaluations and multimodal techniques can also be further investigated to improve the practicality and accuracy of auto-completions.","Code Completion; Pre-trained Language Models; Context; Empirical Software Engineering","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-12","","Software Technology","Software Engineering","","",""
"uuid:2f497ca9-9f5c-4dda-9809-1788e2fca7d8","http://resolver.tudelft.nl/uuid:2f497ca9-9f5c-4dda-9809-1788e2fca7d8","What Can I Do to Help You?: A Formal Framework for Agents Reasoning About Behavior Change Support for People","Tielman, M.L. (TU Delft Interactive Intelligence); van Riemsdijk, M. Birna (University of Twente); Winikoff, Michael (Victoria University of Wellington)","Lukowicz, Paul (editor); Mayer, Sven (editor); Koch, Janin (editor); Shawe-Taylor, John (editor); Tiddi, Ilaria (editor)","2023","Changing one’s behavior is difficult, so many people look towards technology for help. However, most current behavior change support systems are inflexible in that they support one type of behavior change and do not reason about
how that behavior is embedded in larger behavior patterns. To allow users to flexibly decide what they desire to change, a system needs to represent and reason about that desire. Moreover, we argue that reasoning about the context of a behavior could improve an agent’s support. Therefore, we propose a formal framework for a reasoning agent to represent and reason about the personal behavioral context of desired user changes. This framework models an individual’s possible and current behavior, their desire for change, as well as other relevant changes that a system could use to support a desired change. In a user survey we show that people feel these other relevant changes would be useful in more flexibly supporting their desired change in behavior. This work provides a foundation for more flexible personalized behavior change support.","","en","conference paper","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:4ba63e20-bb66-4865-bc43-0c6b2897acac","http://resolver.tudelft.nl/uuid:4ba63e20-bb66-4865-bc43-0c6b2897acac","Preference-based service life design of floating wind structures","van Heukelum, H.J. (Royal Boskalis Westminster); Steenbrink, A.C. (Royal Boskalis Westminster); Colomés, Oriol (TU Delft Offshore Engineering); Binnekamp, R. (TU Delft Real Estate Management); Wolfert, A.R.M. (TU Delft Engineering Structures)","Biondini, Fabio (editor); Frangopol, Dan M. (editor)","2023","Floating wind farms are a promising solution for offshore wind energy production in deep waters. However, the design optimisation process of these farms is difficult due to their complex and multidisciplinary nature. Furthermore, current optimisation methods: 1) ignore and/or provide no insight into the dynamic interplay between the preference-dominated management domain and the object-performance-dominated engineering domain; 2) are limited to evaluating potentially sub-optimal design alternatives; 3) contain fundamental aggregation modelling errors; 4) do not return a single optimal design point. This paper presents an optimisation framework that overcomes these shortcomings and enables truly integrative multi-objective design optimisation. It includes a surrogate model that interacts with the wind turbine simulation tool OpenFAST to enable preliminary design of the structure’s mooring system. Applied to a demonstration project and validated against real projects in a maritime contractor environment, the workflow shows improvements in tender performance and added value over single-sided cost optimisations.","","en","conference paper","Taylor and Francis","","","","","","","","","Engineering Structures","Offshore Engineering","","",""
"uuid:053001ff-65ec-4925-aac9-f56c47048ef3","http://resolver.tudelft.nl/uuid:053001ff-65ec-4925-aac9-f56c47048ef3","Risk based life-cycle planning for flood-resilient critical infrastructure","Skaric Palic, S. (Infra Plan Consulting Ltd.); Stipanovic, I. (University of Twente); Ganic, E. (University of Belgrade); Kosic, M. (Slovenian National Building and Civil Engineering Institute); Anzlin, A. (Slovenian National Building and Civil Engineering Institute); Bacic, M. (University of Zagreb); Kovacevic, M.S. (University of Zagreb); Gavin, Kenneth (TU Delft Geo-engineering; InGEO Consulting)","Biondini, Fabio (editor); Frangopol, Dan M. (editor)","2023","The paper presents a risk assessment model, developed in the project oVERFLOw and further implemented in the project CROSScade, for determining the direct and indirect impacts of flooding hazards. As a consequence of flooding, transport infrastructure and flood protection systems can be significantly damaged and cause cascading effects on other infrastructure. To achieve flood resilient infrastructure, it is necessary to assess the vulnerability of critical assets in the affected area. The model uses novel vulnerability assessment methods for embankments and bridges exposed to different flood hazard scenarios allowing the asset owners to understand risk and performance of their infrastructure. Scarce financial resources are allocated on the critical assets allowing significant cost savings and avoiding the waste of non-renewable resources in strengthening large sections which have sufficient resilience. The consequence analysis is based on an improved quantification model for direct and indirect impacts of different flood hazard scenarios used for risk mapping of the affected area.","","en","conference paper","Taylor and Francis","","","","","","","","","","Geo-engineering","","",""
"uuid:083de5e3-62be-411d-8699-c56def6d67a3","http://resolver.tudelft.nl/uuid:083de5e3-62be-411d-8699-c56def6d67a3","Multi-stakeholder service life design for rail level crossings","Shang, Y. (TU Delft Integral Design & Management); Binnekamp, R. (TU Delft Real Estate Management); Wolfert, A.R.M. (TU Delft Engineering Structures)","Biondini, Fabio (editor); Frangopol, Dan M. (editor)","2023","Improvement in rail asset safety, comfortability and serviceability is gaining importance. This requires effective service life management by incorporating multi-stakeholder desires in the early design stage. Typical stakeholders are asset owners, train users and maintenance service providers. To allow for this change, the traditional single-sided engineering mechanics track design approach requires a shift towards an integrative design approach that best fits for common purpose while assuring continuous rail asset performance. Within the current paper, a multi-objective simulation-based optimization methodology that combines finite element modeling with preference function modeling is proposed to integrate multi-stakeholder preferences into the service life design. The applicability of the methodology is demonstrated in a design case for level crossings. It is shown that integrating specific stakeholders’ preferences will substantially influence the optimal track design configuration, allowing the level crossing design to be managed focusing on best fit for common purpose rather than on mechanical behavior only.","","en","conference paper","Taylor and Francis","","","","","","","","","Engineering Structures","Integral Design & Management","","",""
"uuid:792a85b8-1f32-4294-b667-3ea710e42d7a","http://resolver.tudelft.nl/uuid:792a85b8-1f32-4294-b667-3ea710e42d7a","Assessing the functional end of life of critical hydraulic structures in The Netherlands","Bakker, A.M.R. (TU Delft Hydraulic Structures and Flood Risk; Ministry of Infrastructure and Water Management); van Baaren, E.S. (Deltares); Hamerslag, E.J.F. (Ministry of Infrastructure and Water Management); Bodelier, C.J.J. (Student TU Delft)","Biondini, Fabio (editor); Frangopol, Dan M. (editor)","2023","Next decades, many hydraulic structures in the Netherlands will reach their end of life. Timely mitigation requires accurate estimates of the end of life. This appears however hard since many external drivers and multiple functions may lead in many plausible combinations to insufficient technical or functional performance. As a consequence, a complete integrated assessment is rather labour intensive and time consuming. This study shows a quick-scan of the end of life of five storm surge barriers and three other critical hydraulic structures in the Netherlands. The quick-scan reveals that sea-level rise is the major driver for the end of life of most coastal hydraulic structures since it impacts both the free discharge capacity and the flood protection function. Yet, the strategy to adapt the river delta to climate change may be even more important. Future developments are however such uncertain that the life time assessments may prove especially useful for the exploration of adaptive asset management strategies and to a lesser extent as an accurate planning tool.","","en","conference paper","Taylor and Francis","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:0f5a7fd0-173c-4d03-8390-d6f3d55a663f","http://resolver.tudelft.nl/uuid:0f5a7fd0-173c-4d03-8390-d6f3d55a663f","Embedding functional performance in asset management of hydraulic structures","Hamerslag, E.J.F. (Ministry of Infrastructure and Water Management); Bakker, A.M.R. (TU Delft Hydraulic Structures and Flood Risk; Ministry of Infrastructure and Water Management)","Biondini, Fabio (editor); Frangopol, Dan M. (editor)","2023","In the coming decades, the storm surge barriers in the Netherlands will reach their end of the designed life time of 100 years. Therefore, the Dutch storm surge barriers are preparing for major renovations. Next to this, as a result of the expected sea level rise, the hydraulic loads and the number of necessary closures will exceed the original design requirements. This gives urgency to look further than an one-to-one replacement or conservation and it a good moment to include changes in functional requirements. The functional end of life is, however, typically surrounded by large uncertainties. Since storm surge barriers bear multiple functions (e.g. hydraulic safety, the environment, shipping and road traffic infrastructure connection), changes in conditions can lead in several ways to the functional end of life. In this paper we explore what aspects should be added to current asset management strategy to include the functional performance of our hydraulic structures.","","en","conference paper","Taylor and Francis","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:8ccd9ebe-fd1a-45ed-a7fe-dda6dd59dd15","http://resolver.tudelft.nl/uuid:8ccd9ebe-fd1a-45ed-a7fe-dda6dd59dd15","Determining the future functional requirements of a pumping-weir station with the help of data-analysis","Van Gijzen, L. (TU Delft Hydraulic Structures and Flood Risk; Rijkswaterstaat); Bakker, A.M.R. (TU Delft Hydraulic Structures and Flood Risk; Rijkswaterstaat)","Biondini, Fabio (editor); Frangopol, Dan M. (editor)","2023","The pumping-weir complex at IJmuiden plays an important role in the drainage of excess water in the Western Netherlands. Multiple pumps need replacing as 4 out of 6 pumps near their end-of-life term. The optimal replacement strategy critically hinges on the future required pumping capacity. Yet, currently available models are not suited to assess the effect of sea level rise or extremer precipitation events as they ignore certain complexities of the water system. Preliminary data analysis in this paper showed the sensitivities of the system. The required pumping capacity is sensitive to the ability of free discharging during extreme water events. Yet, it is less susceptible to extremer precipitation events. Further research will aim at including more of the water system’s complexity in the model. Due to the node-like structure and high availability of data, a neural network modelling approach will probably be suitable.","","en","conference paper","Taylor and Francis","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:33831376-2610-4b92-8735-db691022f34e","http://resolver.tudelft.nl/uuid:33831376-2610-4b92-8735-db691022f34e","Children on ChatGPT Readability in an Educational Context: Myth or Opportunity?","Murgia, Emiliana (University of Genova); Pera, M.S. (TU Delft Web Information Systems); Landoni, Monica (University of Twente); Huibers, Theo (University of Lugano)","","2023","In this work, we present the results of a preliminary exploration aiming to understand whether the use of ChatGPT in an educational context can be an asset to meet the specific needs of the students. In particular, we focus on the possibility of adapting the responses to online inquiries related to the primary school curriculum to meet the expectations of readers with different literacy levels. The analysis of feedback elicited from children (9- to 10-year-olds) in three 4th grade classrooms indicates that ChatGPT can adapt its responses to the 4th grade level. However, it still needs improvement to reach the right level of readability. Outcomes from this work can inspire future research directions involving technologies like ChatGPT to adapt learning paths to suit a broad range of students with varied cognitive skills. The potential of such tools to support teachers in their effort to adapt to individual learning needs is still to be fully exploited.","ChatGPT; children; education; personalization","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Web Information Systems","","",""
"uuid:aec98d14-1791-4501-b7b7-90d76872edb4","http://resolver.tudelft.nl/uuid:aec98d14-1791-4501-b7b7-90d76872edb4","Covering Covers: Characterization Of Visual Elements Regarding Sleeves","Beyhan, Yessin (Student TU Delft); Pera, M.S. (TU Delft Web Information Systems)","","2023","The aim of this work is to explore common traits preferred across different age groups of children to identify the appeal of book covers. By analyzing visual attributes, visible objects, and implied stories inferred from the covers, we can gain insights into the elements that are most attractive to children up to 18 years old. These findings can then contribute towards advancing personalization for recommender systems for children through new means that do not rely on historical data, seldom available for this user group.","children; personalization; Recommender Systems; user modeling","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Web Information Systems","","",""
"uuid:ff1b0a1d-667b-4f41-a5fa-1d8a1c297b37","http://resolver.tudelft.nl/uuid:ff1b0a1d-667b-4f41-a5fa-1d8a1c297b37","ChatGPT in the Classroom: A Preliminary Exploration on the Feasibility of Adapting ChatGPT to Support Children's Information Discovery","Murgia, Emiliana (University of Genova); Abbasiantaeb, Zahra (Universiteit van Amsterdam); Aliannejadi, Mohammad (Universiteit van Amsterdam); Huibers, Theo (University of Twente); Landoni, Monica (University of Lugano); Pera, M.S. (TU Delft Web Information Systems)","","2023","The influence of ChatGPT and similar models on education is being increasingly discussed. With the current level of enthusiasm among users, ChatGPT is envisioned as having great potential. As generative models are unpredictable in terms of producing biased, harmful, and unsafe content, we argue that they should be comprehensively tested for more vulnerable groups, such as children, to understand what role they can play and what training and supervision are necessary. Here, we present the results of a preliminary exploration aiming to understand whether ChatGPT can adapt to support children in completing information discovery tasks in the education context. We analyze ChatGPT responses to search prompts related to the 4th grade classroom curriculum using a variety of lenses (e.g., readability and language) to identify open challenges and limitations that must be addressed by interdisciplinary communities.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Web Information Systems","","",""
"uuid:99f18b60-bb1c-441e-ae11-fc59052589fc","http://resolver.tudelft.nl/uuid:99f18b60-bb1c-441e-ae11-fc59052589fc","A Framework for Understanding Circular Economy Monitoring: Insights from the Automotive Industry","Rukanova, B.D. (TU Delft Research Support & Innovation; TU Delft Innovation Affairs); Ubacht, J. (TU Delft Information and Communication Technology); Turner, B. (Student TU Delft); Tan, Y. (TU Delft Information and Communication Technology); Schmid, J. (Student TU Delft); Rietveld, E (TNO)","Cid, David Duenas (editor)","2023","The United Nations’ Sustainable Development Goals (SDGs) have paved the way toward a more sustainable future. The 2019 EU Green Deal and the 2020 EU Circular Economy Action Plan [1] introduce laws and regulations to facilitate and encourage the transition towards sustainability and a circular economy (CE). For the implementation of these regulatory measures, public authorities face the challenge to gain access to relevant business data for compliance monitoring. Digital infrastructures and access to business data (sources) such as the material composition of products are useful for compliance monitoring, however CE-relevant data is spread across multiple platforms of the supply chain partners and across multiple supply chains. Therefore, digital infrastructures and information-sharing arrangements need to be developed to create visibility and traceability for monitoring the circular economy flows. In this paper, we use a conceptual framework with four dimensions (context, actors, public value, and digital infrastructures) to analyze key actors and potential data of value they hold in their digital infrastructures to explore options for data-sharing solutions.
By focusing predominantly on the actor dimension, we analyze a case study in the automotive industry, taking the perspective of two focal Dutch governmental actors: Customs and the Ministry of Infrastructure and Water Management. In our analysis, we also show how this actor dimension is linked to the other dimensions: context, public value, and digital infrastructures. These dimensions
play an instrumental role in navigating through the complex actornetwork in a systematic way toward identifying pathways for the development of digital infrastructures and data-sharing solutions for circular economy monitoring.","Circular economy; Monitoring; Government; Digital Infrastructures; Automotive","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Research Support & Innovation","","",""
"uuid:3273e3df-ae1c-46af-acc9-ce1508e50b7d","http://resolver.tudelft.nl/uuid:3273e3df-ae1c-46af-acc9-ce1508e50b7d","An Experimental Study of Two-level Schwarz Domain-Decomposition Preconditioners on GPUs","Yamazaki, Ichitaro (Sandia National Laboratories); Heinlein, A. (TU Delft Numerical Analysis); Rajamanickam, Sivasankaran (Sandia National Laboratories, New Mexico)","O'Conner, L. (editor)","2023","The generalized Dryja–Smith–Widlund (GDSW) preconditioner is a two-level overlapping Schwarz domain decomposition (DD) preconditioner that couples a classical one-level overlapping Schwarz preconditioner with an energy-minimizing coarse space. When used to accelerate the convergence rate of Krylov subspace iterative methods, the GDSW preconditioner provides robustness and scalability for the solution of sparse linear systems arising from the discretization of a wide range of partial different equations. In this paper, we present FROSch (Fast and Robust Schwarz), a domain decomposition solver package which implements GDSW-type preconditioners for both CPU and GPU clusters. To improve the solver performance on GPUs, we use a novel decomposition to run multiple MPI processes on each GPU, reducing both solver’s computational and storage costs and potentially improving the convergence rate. This allowed us to obtain competitive or faster performance using GPUs compared to using CPUs alone. We demonstrate the performance of FROSch on the Summit supercomputer with NVIDIA V100 GPUs, where we used NVIDIA Multi-Process Service (MPS) to implement our decomposition strategy.The solver has a wide variety of algorithmic and implementation choices, which poses both opportunities and challenges for its GPU implementation. We conduct a thorough experimental study with different solver options including the exact or inexact solution of the local overlapping subdomain problems on a GPU. We also discuss the effect of using the iterative variant of the incomplete LU factorization and sparse-triangular solve as the approximate local solver, and using lower precision for computing the whole FROSch preconditioner. Overall, the solve time was reduced by factors of about 2× using GPUs, while the GPU acceleration of the numerical setup time depend on the solver options and the local matrix sizes.","Linear systems; Distributed processing; Scalability; Software algorithms; Graphics processing units; Supercomputers; Software","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-18","","","Numerical Analysis","","",""
"uuid:d1579060-d880-43fb-bd7d-f78f42d28cec","http://resolver.tudelft.nl/uuid:d1579060-d880-43fb-bd7d-f78f42d28cec","Dependability of Future Edge-AI Processors: Pandora’s Box","Gomony, Manil Dev (Eindhoven University of Technology); Gebregiorgis, A.B. (TU Delft Computer Engineering); Fieback, M. (TU Delft Computer Engineering); Geilen, Marc (Eindhoven University of Technology); Stuijk, Sander (Eindhoven University of Technology); Richter-Brockmann, Jan (Ruhr-Universität Bochum); Bishnoi, R.K. (TU Delft Computer Engineering); Taouil, M. (TU Delft Computer Engineering); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","","2023","This paper addresses one of the directions of the HORIZON EU CONVOLVE project being dependability of smart edge processors based on computation-in-memory and emerging memristor devices such as RRAM. It discusses how how this alternative computing paradigm will change the way we used to do manufacturing test. In addition, it describes how these emerging devices inherently suffering from many non-idealities are calling for new solutions in order to ensure accurate and reliable edge computing. Moreover, the paper also covers the security aspects for future edge processors and shows the challenges and the future directions.","ULP; dynamic DL; edge-AI; SoC; memristor; approximate computing; DSE; compiler stack","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-12","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:818a3219-7a9b-4f63-9d05-d6b133e46d58","http://resolver.tudelft.nl/uuid:818a3219-7a9b-4f63-9d05-d6b133e46d58","A citation network analysis on diffusion of technologies to other fields: A case study about FWI","Masaya, S. (INPEX Corporation); Nishitsuji, Y. (TU Delft Applied Geophysics and Petrophysics)","","2023","In recent years, the rapid changes in social trends and technologies, such as digital transformation and energy transition, have had a large impact on many industries. Future forecasts and exploration of potential values become indispensable for dealing with such changes and achieving the success of novel research and/or business. In this paper, we discuss an approach to evaluate the diffusion of innovative technologies to other fields using the network data in academic articles citing a review paper. This study provides a case study of full waveform inversion as an example in exploration geophysics to demonstrate the effectiveness of the approach by using the Web of Science database. This analysis enables us to forecast the trend of technologies by analyzing the diffusion of the other technologies as well as full waveform inversion.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:48c78726-b278-4206-8b72-7a6b92954e7e","http://resolver.tudelft.nl/uuid:48c78726-b278-4206-8b72-7a6b92954e7e","De-risking European CCS operations with the most complete earthquake catalogue for the North Sea","Kettlety, T. (University of Oxford); Martuganova, E.M. (TU Delft Applied Geophysics and Petrophysics); Kühn, D. (Norsar); Schweitzer, J. (Norsar); Weemstra, C. (TU Delft Applied Geophysics and Petrophysics); Baptie, B. (British Geological Survey); Dahl-Jensen, T. (Geological Survey of Denmark and Greenland); Kendall, J.M. (University of Oxford)","","2023","With the development of several CO₂ storage operations in the North Sea, there is a clear need to better characterise the seismic hazard and stress state in the region. Faults and associated fracture sets can act as hydraulic pathways for unintended CO₂ migration, ill-defined stress states can lead to numerous operational difficulties, and induced seismicity will be a clear risk as CO₂ is injected into subsurface reservoirs. Seismicity can reveal the location and extent of faults and fractures, and can be used to invert for the state of stress. Both operators and regulators therefore need a clear understanding of the rate of natural seismicity, to identify and distinguish induced events from natural, and to assess the likelihood of induced fault reactivation. This requires a dedicated, site specific background monitoring programme, as well as a high-quality seismic catalogue for the region around any CO₂ storage operation. Our study has produced the first dedicated seismic catalogue of the North Sea, based on all available data from each of the relevant seismological agencies. This dataset fosters further studies into seismic hazard, leakage risk, and stress state in a region that will be vital for European CO₂ storage efforts in the coming decades.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:84b6ea0a-b579-472f-8162-7b5f821d8c21","http://resolver.tudelft.nl/uuid:84b6ea0a-b579-472f-8162-7b5f821d8c21","Towards Real-Time 3D Modeling of Induction Logs Using an Integral Equation Method","Saputera, D.H. (University of Bergen); Jakobsen, M. (University of Bergen); Jahani, N. (NORCE Norwegian Research Centre AS); Alyaev, S. (NORCE Norwegian Research Centre AS); Eikrem, K.S. (NORCE Norwegian Research Centre AS); van Dongen, K.W.A. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Van Dongen goup)","","2023","Real-time 3D imaging of the induction log is essential for improving the decision-making process in geosteering. To fulfill this need, we investigated various strategies for reducing the computational cost for 3D modelling of induction logs using the integral equation (IE) method, including the use of iterative Krylov solver, convolution with FFT algorithm, contraction IE formulation, computation acceleration with GPUs, and domain decomposition. We present two cases example to demonstrate the implementation of IE with these strategies. In the first case, we show that the application of domain decomposition allows one to only discretize the inhomogeneous domain and save the computation cost in the case of isolated domains. We present a logging while drilling scenario on a complex model for the second case. Our implementation of the efficient IE on GPUs enables significant acceleration and allows the computation of 3D forward modelling within less than two minutes for each local 3D simulation domain with approximately two million grid cells on a laptop. The implementation of domain decomposition formulation shows a different arrangement of solving IE by decomposing the domain.","","en","conference paper","","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:232c81d5-78fb-48bb-b7db-82cdc3473d2a","http://resolver.tudelft.nl/uuid:232c81d5-78fb-48bb-b7db-82cdc3473d2a","Mechanistic Investigation of Vertical Sweep Efficiency in Miscible CO2-Water- Coinjection for EOR and CCUS","Yu, G. (United Arab Emirates University); Tang, J. (United Arab Emirates University); Li, L. (University of Chinese Academy of Sciences); Rossen, W.R. (TU Delft Atmospheric Remote Sensing)","","2023","The main objective of this study is to understand the vertical sweep efficiency with miscible CO2-water-coinjection as a secondary recovery method, from multiple perspectives: phase behavior, total relative mobility, fluid densities/viscosities, the driving forces and consequent phase distributions etc. We also seek to provide insights into modeling approaches for representing the injection process by comparing compositional simulation results to those of the fractional-flow method and the model of Stone and Jenkins ( Stone, 1982 ; Jenkins, 1984 ).
We combine compositional simulation and analytical models to interpret the dynamics that affect vertical sweep efficiency in miscible CO2-water-coinjection. Stone’s model for gravity segregation at steady state predicts three phase-distribution zones: mixed zone, override zone and underride zone. In addition to these three zones, we identify from simulations an extended mixed zone and extended override zone in miscible CO2-water-coinjection, contributing to additional oil recovery and CO2 trapping. The extended zones are a result of dispersion that reflects physical and numerical dispersion in the gas-oil displacement front. To the extent that it reflects numerical dispersion, the extended zones can be considered as a numerical artifact.","","en","conference paper","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:c75e43f8-e065-4961-9b18-7b7a7be99948","http://resolver.tudelft.nl/uuid:c75e43f8-e065-4961-9b18-7b7a7be99948","Diagenetic controls on dryland clastic reservoirs from the Buntsandstein Subgroup in the Netherlands","Cecchetti, E. (TU Delft Applied Geology); Felder, M. (Molenaar GeoConsulting); Martinius, A.W. (TU Delft Applied Geology; Equinor ASA); Abels, H.A. (TU Delft Applied Geology)","","2023","The Buntsandstein subgroup in the southeastern part of the Netherlands represents one of the most promising, but risky, geothermal plays. To understand the main controls on Buntsandstein reservoir quality, we combine petrophysical (porosity and permeability) and petrographic (point counting) data derived from different wells and different depth levels. Results show that porosity ranges from 2 to 18.5 and permeability from 0.001 to 285 mD. Dolomite represents the most abundant cement and show an inverse correlation with porosity. Illite occurs in higher concentrations in samples with values of permeability below 20 mD, while kaolinite becomes the most dominant phyllosilicate cement in samples with higher permeability. By looking at the main cement distribution over the sedimentary facies, it appears that dolomite is strongly related to depositional facies and has a positive correlation with grain size, while illite and kaolinite yield a negative correlation with grain size. Pedogenic dolomite nodules are often reworked as detrital grain into the channel scour deposits and are the main source for dolomite cementation. The current study has shown how diagenesis makes Buntsandstein reservoir complex and heterogeneous, and how reservoir quality is strongly related to the depositional environment.","","en","conference paper","","","","","","","","","","","Applied Geology","","",""
"uuid:c50bedd1-b922-4e46-9138-7d3e3abf8d24","http://resolver.tudelft.nl/uuid:c50bedd1-b922-4e46-9138-7d3e3abf8d24","Shaping Geobodies by Joint Inversion of CSEM and Gravity Data with a Modular Framework","Mueller, C. (TERRASYS Geophysics); Smilde, P. (TERRASYS Geophysics); Werthmüller, D. (TU Delft Geoscience and Engineering); Becker, V. (TERRASYS Geophysics); Krieger, M. (TERRASYS Geophysics)","","2023","To optimize geological structures a multi-physics inversion of electromagnetic and gravity data is carried out. With the TERRASYS’ Joint Inversion Framework JIF and its modules for 3D EM (here CSEM) and for 3D GRAV (gravity and gradients) the geometry of a salt body located in the Nordkapp Basin is optimized. The physical effects of a complex structural model, derived from seismic interpretations, are fitted to the field data by optimizing salt shape and rock parameter distributions simultaneously. Exemplary model features of the optimized models illustrate the improved solution space of the joint inversion compared to the respective per-datatype inversions.
By means of this case study, benefits, preconditions and limits of joint inversion were discussed, as well as general quality criteria to evaluate achieved multi-data and multi-physics model optimizations.","","en","conference paper","","","","","","","","","","Geoscience and Engineering","","","",""
"uuid:35c2a454-68cb-4047-b257-ff48b8a9dbb3","http://resolver.tudelft.nl/uuid:35c2a454-68cb-4047-b257-ff48b8a9dbb3","Crack Initiation Prediction in Rock Samples using Digital Image Correlation","Karimzadanzabi, A. (TU Delft Applied Geophysics and Petrophysics); Fahimifar, A. (Amirkabir University of Technology); Khalili, M. (Amirkabir University of Technology); Ghayour, P. (Sharif University of Technology)","","2023","Crack initiation is important in rock mechanics because it is the first stage in the process of rock failure. Understanding the mechanisms of crack initiation can help engineers predict when and where rock failure is likely to occur, which can inform decisions about design and safety. Crack initiation in rocks can be studied using image processing techniques. Image processing involves the analysis and manipulation of digital images to extract meaningful information. This can be done through techniques such as digital image correlation (DIC), which allows for the measurement of displacement and strain fields in rocks under different loading conditions. Therefore, this paper presents a study aimed at predicting tensile crack initiation in rock samples using DIC. The study used rock specimens of Marble and Travertine and performed direct tensile strength tests to determine their strength characteristics. To do that, the DIC technique was employed to measure the rock surface displacement field under direct tensile stress. Afterwards, the divergence of this field was calculated to identify the location of crack initiation. The results showed that the regions with the maximum positive divergence value reflect the pure relative expansion of the surface, thereby helping in identifying the location of crack initiation.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:f2ae015b-4d89-4bf1-938f-9d49264506e7","http://resolver.tudelft.nl/uuid:f2ae015b-4d89-4bf1-938f-9d49264506e7","Natural and artificial fractures response characterisation in large-size samples using distributed acoustic sensing technology","Martuganova, E.M. (TU Delft Applied Geophysics and Petrophysics); Buisman, M. (TU Delft Applied Geophysics and Petrophysics; Port of Rotterdam); Barnhoorn, A. (TU Delft Applied Geophysics and Petrophysics)","","2023","We conducted laboratory experiments using large-scale samples (height: 0.47, diameter: 0.39 m) of basalt and marble coiled with telecommunication fibre. The fibre optical cable was converted to an array of densely spaced receivers (0.01 m) using distributed acoustic sensing (DAS) technology, and the source was placed on top of the samples. We demonstrate with an active acoustic setup how we can capture both the natural and artificial fracture responses. Therefore, this work investigates the applicability of the DAS method for seismic imaging on the lab scale for further technological advancement of vertical seismic profiling using DAS.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:5d894220-3cca-4932-bd0e-86c40503e2e6","http://resolver.tudelft.nl/uuid:5d894220-3cca-4932-bd0e-86c40503e2e6","Estimating large-scale uncertainty in the context of full-waveform inversion","Mulder, W.A. (TU Delft Applied Geophysics and Petrophysics; Shell Global Solutions International B.V.); Kuvshinov, B. (Shell Global Solutions International B.V.)","","2023","The uncertainty of model parameters obtained by full-waveform inversion can be determined from the hessian of the least-squares error functional. Because the hessian is generally too costly to compute and too large to be stored, a segmented representation of perturbations of the reconstructed subsurface model in the form of geological units is proposed. This enables the computation of the hessian and the related covariance matrix on a larger length scale. A synthetic 2-D isotropic elastic example illustrates how conditional and marginal uncertainties can be estimated for the properties per geological unit by themselves and in relation to other units. A discussion on how the chosen length scale affects the result is included.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:f51feacb-7cd3-4ec1-95f0-cf73faa982c7","http://resolver.tudelft.nl/uuid:f51feacb-7cd3-4ec1-95f0-cf73faa982c7","Full wavefield migration based on eigen-decomposition propagation operators","Li, A.L. (TU Delft ImPhys/Verschuur group; China University of Geosciences); Verschuur, D.J. (TU Delft Applied Geophysics and Petrophysics; TU Delft ImPhys/Verschuur group); Abolhassani, S. (TU Delft ImPhys/Verschuur group)","","2023","Seismic imaging is crucial for subsurface exploration and monitoring, with a focus on deep and complex structures. Seismic wave migration solves the wave equation, and an accurate propagator is essential. Full Wavefield Modeling (FWMod) was developed based on recursive and iterative up/down wavefield propagation, modeling both primaries and multiples. Embedded within Full Wavefield Migration (FWM) it can be used to image data including multiples, resulting in better illumination in case primary illumination is not sufficient. FWM can be efficient and effective, but conventional one-way wave operators, such as Phase Shift Plus Interpolation Migration, have limitations in strongly inhomogeneous media. Local velocity-based one-way operator based on eigen decomposition was proposed and integrated within FWMod and FWM in this study, improving image amplitudes and fidelity and improving converage speed in the least-squares inversion process.","","en","conference paper","","","","","","","","","","","ImPhys/Verschuur group","","",""
"uuid:119f1856-8bf6-4fb6-8c6f-d29f0f3bf30a","http://resolver.tudelft.nl/uuid:119f1856-8bf6-4fb6-8c6f-d29f0f3bf30a","Time-shift extended imaging for estimating depth errors","Mulder, W.A. (TU Delft Applied Geophysics and Petrophysics; Shell Global Solutions International B.V.)","","2023","The stationary-phase method applied to migration with a time-shift extension in a 2-D constant-velocity model with a dipped reflector produces two solutions in the domain of the extended image: one a straight line and the other a curve. If the velocity differs from the true one, the depth error follows from the depth and apparent dip of the reflector as well as the depth of the amplitude peak at a non-zero time shift, where the two solutions meet and the extended image focuses. The results are compared to finite-frequency results from a finite-difference code. A 2-D synthetic example with a salt diapir illustrates how depth errors can be estimated in an inhomogeneous model after inverting the seismic data for the velocity model.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:7c3e4a83-0605-4a63-afcf-18d17c0d5800","http://resolver.tudelft.nl/uuid:7c3e4a83-0605-4a63-afcf-18d17c0d5800","Assessing the impact of hierarchical geological heterogeneities on geothermal energy production","Baird, K. (Heriot-Watt University); Geiger, S. (TU Delft Applied Geology); Arnold, D. (Heriot-Watt University); Doster, F. (Heriot-Watt University); Hampson, G.J. (Imperial College London); Jacquemyn, C. (Imperial College London); Jackson, M.D. (Imperial College London); Petrovskyy, D. (Heriot-Watt University); Machado Silva, J.D. (University of Calgary)","","2023","Energy derived from geothermal systems is essential to the energy transition. Inherent geological and a lack of data requires the use of computer-driven modelling and simulation to aid decision-making. To make sound decisions, many reservoir models that encapsulate different geological scenarios should be analysed such that the impact of geological uncertainty on geothermal energy production can be evaluated adequately. Current geomodelling workflows, however, are too time consuming to build and explore different contrasting geological scenarios at various scales.
In this study we used the open-source Rapid Reservoir Modelling (RRM) software to design different geological scenarios of a shallow marine succession hosting a potential geothermal reservoir and analyse how multi-scale geological features impact reservoir flow. RRM allows users to quickly create and explore realistic 3D geological models from intuitive 2D sketches. Models arecreated in minutes while flow diagnostics allow us to analyse fluid-flow behavior in real-time. Models are then imported into commercial reservoir simulation packages to investigate the effect of heterogeneity and scale on geothermal energy production. We show how we can quickly evaluate how different scales of heterogeneity impact geothermal production estimates and which heterogeneities must be represented in reservoir models to obtain reliable results about the possible reservoir behaviours.","","en","conference paper","","","","","","","","","","","Applied Geology","","",""
"uuid:6349249a-077c-4a64-8888-e99b7bdc1d02","http://resolver.tudelft.nl/uuid:6349249a-077c-4a64-8888-e99b7bdc1d02","Sketch-based modelling with flow diagnostics: Prototyping geomodels for better resource modelling decisions","Jacquemyn, C. (Imperial College London); Hossain, S. (Imperial College London); Jackson, W.A. (Imperial College London); Alshakri, J. (Imperial College London); Hampson, G.J. (Imperial College London); Jackson, M.D. (Imperial College London); Petrovskyy, D. (Heriot-Watt University); Baird, K. (Heriot-Watt University); Geiger, S. (TU Delft Applied Geology; Heriot-Watt University)","","2023","Sketch-based modelling with flow diagnostics provides a prototyping approach to quickly build geomodels and generate quantitative results to evaluate volumetrics and flow behaviour. This approach allows users to rapidly test the sensitivity of model outputs to different geological concepts and uncertain parameters, and informs selection of geological concepts, scales and resolutions to be investigated in more detailed models.
Rapid Reservoir Modelling (RRM) is a sketch-based modelling tool with an intuitive interface that allows users to rapidly sketch geological models in 3D. Geological models that capture the essence of heterogeneity of interest and related uncertainty can be created within minutes. Flow diagnostics then instantly computes key indicators of predicted flow and storage behaviour within seconds.
Here we apply the prototyping approach to three aspects of geoenergy modelling: (1) scenario screening to identify heterogeneities with the most impact; (2) use of mini-models and hierarchical models to derive effective properties; and (3) training of geoscientists and engineers to investigate the impact of geological interpretations on storage volumes and connectivity. Geomodels addressing all three aspects are constructed and analysed quickly, using simple, geologically intuitive workflows that do not require prior geomodelling expertise.","","en","conference paper","","","","","","","","","","","Applied Geology","","",""
"uuid:df22070f-ec96-429d-bba3-b2eb443c7592","http://resolver.tudelft.nl/uuid:df22070f-ec96-429d-bba3-b2eb443c7592","Multichannel wavefield reconstruction using smooth slope information from multicomponent data","Ravasi, M. (King Abdullah University of Science and Technology); Ruan, J. (TU Delft Applied Geophysics and Petrophysics); Vasconcelos, I. (Universiteit Utrecht)","","2023","Local slopes carry useful information about the directionality of the predominant events in a seismic dataset and therefore can be used to steer the reconstruction process of sparsely sampled data. However, in the presence of spatial aliasing (for example, in the crossline direction of streamer data), conventional algorithms fail to provide a reliable estimate of such slopes and only low-frequency, smooth versions of the slope field can be produced. We show that provided the availability of multi-component data, and more precisely the pressure wavefield and its first-order gradient, such slopes are naturally embedded in the data and can be easily obtained by smoothed division of those wavefields. We further show that the estimated slopes can be used as regularization in a multi-channel sparse interpolation problem, providing additional guidance to the reconstruction process compared just using the pressure data and its gradient at the available traces. Numerical examples on 2D and 3D datasets confirm the effectiveness of the proposed two-stage process for multi-channel seismic data reconstruction.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:69fb9a72-fa29-48a4-8e78-6a9512efde3f","http://resolver.tudelft.nl/uuid:69fb9a72-fa29-48a4-8e78-6a9512efde3f","Resilience of Incident Management in Smart Cities","Rothkrantz, L.J.M. (TU Delft Interactive Intelligence)","Ruzicka, Jiri (editor)","2023","The grow of urban environments caused many problems in traffic networks. On the roads in and around an urban environment many traffic incidents happen all the time, especially during rush hours or bad weather conditions. To minimize the negative impacts, an incident scene has to be cleared as soon as possible. Additional delay has been caused by bad communication and missing information. In this paper we present a new IT system based on the framework JADE (Java Agent DEvelopment). The system offers a blackboard like functionality for communication of first responders at the incident scene and control rooms. The system has been implemented and tested in laboratory settings and shows a significant reduction of incident process time. As a consequence, the resilience of traffic network in urban areas has been improved by better incident management and communication.","Crisis App; JADE; Resilience Triangle; Traffic Incident Management","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-15","","","Interactive Intelligence","","",""
"uuid:39acc2c9-9589-4ef9-ae89-94ba98c735fe","http://resolver.tudelft.nl/uuid:39acc2c9-9589-4ef9-ae89-94ba98c735fe","Reduced Calibration Error Employing Parametrized EM models and DC Load Extraction","Shokrolahzade, E. (TU Delft Electronics); De Martino, C. (Vertigo Technologies); Spirito, M. (TU Delft Electronics)","","2023","In this contribution we present an approach to reduce the error arising from the variations of the lumped load, due to process spread, in probe level calibrations. First, full-wave electromagnetic (EM) simulations are employed to generate the nominal standard responses, then a parametric EM simulation of the load structure is used to generate a parametrized model of the standard. The approach is tested using a Short-Open-Load-Reciprocal calibration algorithm and an impedance standard calibration substrates developed on a 150 mm Quartz wafer (400 pm thick). In this process the high fidelity of the lateral dimension of the fabricated structures, realized using IC Photolithography, allows to confine the variations of the load response to only the thin-film resistor thickness spread. The DC response of the load, measured during the calibration step, is used to identify the specific RF response of the probed load from the parametric model. A complete analysis using full-wave EM simulations accounting for process variation is presented together with a set of experimental data up to 67GHz.","Vector Network Analyzer; calibration; on-wafer; probe-level; Short Open Load Reciprocal; SOLR; Quartz","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-14","","","Electronics","","",""
"uuid:f3e029aa-8304-4867-8d4f-f8bd31e4d7e2","http://resolver.tudelft.nl/uuid:f3e029aa-8304-4867-8d4f-f8bd31e4d7e2","Seismic data interpolation using an anti-over-fitting mixed-scale dense convolutional neural network","Zhang, D. (TU Delft Applied Geophysics and Petrophysics); Verschuur, D.J. (TU Delft Applied Geophysics and Petrophysics; TU Delft ImPhys/Verschuur group)","","2023","Seismic data interpolation is a topic well suited for deep learning (DL) applications. Scaling operation-based DL neural networks, e.g., U-Net, have been popular since its booming development in the field of seismic data processing. Although many successful studies using U-Net on seismic data, scientists start to realize the downside of its implementation, i.e., large trainable parameters (normally larger than 1 million), the potential risks of over-fitting, and tedious hyper-parameter selection. Therefore, in this abstract, we introduce a mixed-scale dense convolutional neural network (MS-DCNN) for seismic data interpolation with relatively few trainable parameters to reduce the risk of over-fitting. This MS-DCNN was originally developed for biomedical image processing. In addition, this neural network can be trained with relatively small training set. Via a field data case study, the different behavior of U-Net and MS-DCNN is analyzed and compared for a specific interpolation problem, where 9 consecutive shot records were missing from a 2D line of marine seismic data.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:2b0031be-7ac8-4c24-95f2-35e0b99c0862","http://resolver.tudelft.nl/uuid:2b0031be-7ac8-4c24-95f2-35e0b99c0862","Calibration approaches in Multi-Node Antenna Characterization Setups","Coesoij, R.A. (TU Delft Electronics); Musters, F.A. (TU Delft Electronics); Roos, D. (Student TU Delft); van Velden, T. (Student TU Delft); Spirito, M. (TU Delft Electronics)","","2023","In this work we present calibration approaches aimed at mitigating the measurement error in testbenches featuring multiple sensor-nodes and operating over-the-air. Such errors can arise from fluctuations in component responses and mechanical tolerances of the setup. The calibration approaches are detailed for the case of the Antenna Dome measurement setup previously presented by the authors.In the current implementation, the Antenna Dome employs multiple dual linearly-polarized scalar sensing nodes, to enable real-time 2D (theta and phi) radiation pattern acquisition. The variation of the electrical response among the different sensing elements as well as their position, with respect to the nominal one, due to the mechanical tolerances, introduce systematic error in the generated radiation patterns.Over-the-air procedures to linearize the power conversion asymmetry within the dually polarized nodes as well as the linearity response across them are described. Proposed approach provides a reduction of the angular dependent error within ±0.5 dB across the various nodes. Moreover, to minimize the impact of mechanical deviations an over-the-air method is described to transfer the sensor coordinates from the mechanical reference system to the antenna under test one.","[multi-probe; antenna measurement; over-the-air; scalar radiation pattern; dual-polarized; polarization , detector]","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-14","","","Electronics","","",""
"uuid:74de3af3-066e-4d9b-84c1-ea363d69c946","http://resolver.tudelft.nl/uuid:74de3af3-066e-4d9b-84c1-ea363d69c946","Geologic stratigraphic scenario testing via deep learning: towards imaging beyond seismic resolution","Karimzadanzabi, A. (TU Delft Applied Geophysics and Petrophysics); Cuesta Cano, A. (TU Delft Applied Geology); Verschuur, D.J. (TU Delft Applied Geophysics and Petrophysics; TU Delft ImPhys/Verschuur group)","","2023","In the process of seismic subsurface imaging, there is no acceptable forward model reflecting the AVO response in a laterally inhomogeneous medium for reservoir characterization. This means that even when inversion is performed in full waveform, local heterogeneity is typically not fully incorporated while emplying a local 1.5D assumption. Thus, it is impossible to image and classify the subsurface features with these local heterogeneities. Still, the angle-dependent response encodes heterogeneity information that assists overcoming this issue if used properly. To exploit its capabilities, we present a way for identifying reservoir characteristics in the presence of local heterogeneity by linking encoded angle-dependent responses created using angle-dependent Full Wavefield Migration with their originating source - the relevant geological context. To accomplish this purpose, a pipeline technique that integrates the produced angle-dependent responses with a pattern categorization deep-learning tool is proposed. For a basic test on synthetic data, the method successfully identified the produced different stratigraphic architectures and classified them in the training stage. The method is then validated on angle gathers generated from different models with comparable geological circumstances.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:36516009-2198-461f-94c7-edd32aa57d03","http://resolver.tudelft.nl/uuid:36516009-2198-461f-94c7-edd32aa57d03","Novel method for UHR streamer shape reconstruction and improved receiver positioning: a conceptual overview","Chapeland, C.G.M. (TU Delft Applied Geophysics and Petrophysics; Delphi consortium); Verschuur, D.J. (TU Delft Applied Geophysics and Petrophysics; TU Delft ImPhys/Verschuur group; Delphi consortium); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics; Delphi consortium)","","2023","Poor knowledge of source and receiver positions in ultra-high-resolution marine seismic data is the cause of severe damage which requires novel processing techniques to mitigate. This type of seismic data is highly relevant for ultra-shallow subsurface imaging in geo-engineering projects both offshore and in harbours. Current positioning technologies are limited partly by their accuracy but also the fact that they are only placed on head and tail buoys of the towed arrays. This leaves receiver locations on the length of the streamer cable to be interpolated. Rather than developing additional processing methods, we propose to improve the quality of the data by introducing a complimentary receiver positioning system to reconstruct the shape of the streamer cable in 4D using Fiber Optic Shape Sensing (FOSS) technology. In this abstract, we outline the key features of FOSS technology and provide a conceptual overview of our efforts to bring this technology to the field.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:01c86e01-5316-466b-8fb5-ff0446bbf83b","http://resolver.tudelft.nl/uuid:01c86e01-5316-466b-8fb5-ff0446bbf83b","Use of forward stratigraphic modelling for the detection of sub-seismic scale heterogeneities in shallow marine environments","Cuesta Cano, A. (TU Delft Applied Geology); Karimzadanzabi, A. (TU Delft Applied Geophysics and Petrophysics); Storms, J.E.A. (TU Delft Applied Geology); Rongier, G. (TU Delft Applied Geology); Martinius, A.W. (TU Delft Applied Geology; Equinor ASA)","","2023","Many stratigraphic features occur at a scale that is at the edge or below vertical seismic resolution. Thus, they cannot be directly observed in the seismic data, while still having an important effect on the fluid flow within the system. The better understanding of these sub-seismic scale features or heterogeneities can help decrease subsurface uncertainty. Here we present a novel method that integrates forward stratigraphic modelling, petrophysics, and geophysics to decipher the seismic imprint of heterogeneities in wave-dominated, shallow marine environments. The proposed three-stepped method starts with defining geology-related input parameters for BarSim, a stratigraphic forward modelling software that produces models that include stratigraphic architecture, grain size distribution, and facies distribution. Then, the geological data is translated, cell by cell, into petrophysical data (density, Vp, and Vs) using emphirical relationships. Finally, the forward seismic modelling is performed by combining a finite difference approach strategy and angle-dependent full wavefield migration to retrieve the angle gathers This method also allows the generation of large amounts of field-independent data suitable for machine learning applications.","","en","conference paper","","","","","","","","","","","Applied Geology","","",""
"uuid:edfdeef5-05f7-4f87-928a-79263167ccce","http://resolver.tudelft.nl/uuid:edfdeef5-05f7-4f87-928a-79263167ccce","Elastodynamic Full Wavefield Modelling with Legendre Polynomials","van der Neut, J.R. (TU Delft ImPhys/Verschuur group); Hoogerbrugge, L.A. (TU Delft ImPhys/Verschuur group; TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Computational Imaging); van Dongen, K.W.A. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Van Dongen goup); Verschuur, D.J. (TU Delft Applied Geophysics and Petrophysics; TU Delft ImPhys/Verschuur group)","","2023","Full Wavefield Migration (FWMig) is an inversion-based seismic imaging modality that incorporates multiple reflections via one-way wave propagation. The flexible Full Wavefield Modelling (FWMod) engine that undergirds FWMig can be extended to address both compressional and converted waves. To take care of the angle-dependent nature of reflection and transmission coefficients, a vast number of unknown subsurface parameters has to be estimated in the FWMig process, especially when elastodynamic wave propagation is considered. This can easily result in a significant null space, potentially hampering the underlying inversion procedure. To restrain the number of unknown parameters, we propose an efficient new parameterization for FWMod by expanding reflection and transmission coefficients in Legendre polynomials, providing us with an orthonormal basis that is expected to benefit FWMig. With the aid of a numerical experiment in a two-dimensional layered elastic medium, we show that a relatively small number of only three or four Legendre polynomials per coefficient per gridpoint is sufficient to model pre-critical seismic data. We prospect that our methodology can be extended to include (spatially-varying) reflector dips, so that it might eventually be used for FWMig in laterally-varying two- and three-dimensional elastic media.","","en","conference paper","","","","","","","","","","","ImPhys/Verschuur group","","",""
"uuid:aa003c8e-ff78-4f9a-ae51-8f31844d34bf","http://resolver.tudelft.nl/uuid:aa003c8e-ff78-4f9a-ae51-8f31844d34bf","Main controls on natural fracture distribution in the Lower Triassic sandstones of the West Netherlands Basin","Tutuarima, F.O. (TU Delft Reservoir Engineering); Cecchetti, E. (TU Delft Applied Geology); Abels, H.A. (TU Delft Applied Geology); Bertotti, G. (TU Delft Applied Geology); Bruna, P.B.R. (TU Delft Applied Geology)","","2023","In this study, a re-evaluation is performed of the well data of the NLW-GT-01 and VAL-01 wells in the Lower Triassic sandstones in the West Netherlands Basin. Core, geophysical and image logs, are compared to document the characteristics of natural fractures distribution, and investigate the geological parameters influencing their development. The main control on the distribution is identified. Natural fractures are concentrated in heterolithic lithological intervals of the VAL-01 and NLW-GT-01 wells. The identification of more fractures in VAL-01 compared to NLW-GT-01 can be explained by the difference in basin location. VAL-01 was located in the centre of the basin where distal playa environments produced fine-grained material alternating with coarser sands. The more proximal NLW-GT-01 was dominated by fluvial sands. The lithological variability produces Young’s modulus variability that seems to be driving increased fracture density rather than that the absolute value of the Young’s modulus. These fluctuations could be the result of concentrated compressional stress, which is supported by the existence of stylolites, indicative of high compressional stresses in the same intervals. The identification of controls on fracture distribution in the targeted stratigraphic interval can be used to optimize the planning of future geothermal doublets and to de-risk upcoming operations.","","en","conference paper","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:381aed01-ca12-4b20-a888-2e62a99802d4","http://resolver.tudelft.nl/uuid:381aed01-ca12-4b20-a888-2e62a99802d4","Active and passive seismic monitoring of laboratory-based injection-driven fault reactivation","Veltmeijer, A.V. (TU Delft Applied Geophysics and Petrophysics); Naderloo, M. (TU Delft Applied Geophysics and Petrophysics); Barnhoorn, A. (TU Delft Applied Geophysics and Petrophysics)","","2023","Robust and reliable prediction of (induced) earthquakes remains a challenging task. Seismicity predictions are made using probabilistic models, precursors such as average earthquake size distribution. Pore pressure variations cause stress perturbations along pre-existing fault planes in the subsurface, resulting in shear slip and seismicity. Monitoring these stress changes before fault reactivation and its resulting seismicity could greatly improve forecasting seismicity. Stress changes can be determined by changes in acoustic or seismic velocities. Therefore, experiments are performed to detect the preparatory phase of an earthquake using acoustic monitoring. Faulted sandstone samples are reactivated in the laboratory by imposing pore pressure changes by fluid injection under reservoir pressures, while continuously performing passive and active (transmission) acoustics measurements. Using coda wave interferometry (CWI) and decorrelation (K), changes in velocity and scattering are obtained before and during fault reactivation. We show that fault reactivation can be identified by a large velocity drop and an increase in K or by micro-seismic foreshocks. We show that CWI velocity change is most sensitive to both the preparatory phase and the fault reactivation. These results show acoustic monitoring of fault reactivation in the laboratory is feasible, which could improve the prediction of induced seismicity.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:07354c47-2976-40de-8265-60a47cf4eb45","http://resolver.tudelft.nl/uuid:07354c47-2976-40de-8265-60a47cf4eb45","Towards 3D near-surface correction without NMO: A rank-based approach","Alfaraj, Ali (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verschuur group); Verschuur, D.J. (TU Delft Applied Geophysics and Petrophysics; TU Delft ImPhys/Verschuur group)","","2023","To avoid multiple iterations of normal moveout (NMO) velocity estimation followed by short-wavelength statics estimation usually performed on land data, and to also improve the accuracy and computational efficiency of the latter, a low-rank-based residuals statics (LR-ReS) estimation and correction framework has been recently proposed. The method iteratively promotes the low-rank structure in the midpoint-offset-frequency domain of 2D data as statics-free data can be approximated by low-rank matrices, while data influenced by the weathering layers exhibits slow singular values decay. For 3D data, there exist different options to organize it into 2D matrices to be able to compute the singular value decomposition (SVD) required for low-rank approximation. It is also essential to find an organization that reveals the rank structure. We examine the different organization options. Based on finding a suitable sorting domain, we extend the LR-ReS estimation and correction to 3D data. We demonstrate the performance of the method on simulated data and will show field data results during the presentation.","","en","conference paper","","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:081307b4-3f3a-4373-8471-1cbbec2818fb","http://resolver.tudelft.nl/uuid:081307b4-3f3a-4373-8471-1cbbec2818fb","High-Resolution One-Way Reflection Waveform Inversion","Abolhassani, S. (TU Delft ImPhys/Verschuur group); Verschuur, D.J. (TU Delft Applied Geophysics and Petrophysics; TU Delft ImPhys/Verschuur group)","","2023","Reflection waveform inversion (RWI) is a method that relies on primary pure reflection data to recover the subsurface background velocity based on the associated evolving seismic images. Background velocity updates estimated by conventional RWI are nonoptimal, which is partly attributed to low-resolution tomographic wavepaths and migration isochrones. Preconditioning RWI sensitivity kernels using Hessian information solves this problem but is not practical for a large number of model parameters. One-way reflection waveform inversion (ORWI) is a reflection waveform tomography technique in which the forward modeling scheme operates in one direction (downward and then upward) via virtual parallel data levels in the medium. The ORWI framework allows us to break down the Hessian matrix into smaller operators, which makes the preconditioning operation more efficient and less computationally expensive. This extended abstract turns conventional ORWI into a high-resolution but computationally feasible ORWI (Gauss-Newton ORWI) to improve the nonoptimal background velocity updates.","","en","conference paper","","","","","","","","","","","ImPhys/Verschuur group","","",""
"uuid:eef6de52-444b-4ba0-881a-bfa0dfeaefa3","http://resolver.tudelft.nl/uuid:eef6de52-444b-4ba0-881a-bfa0dfeaefa3","A Deployment-First Methodology to Mechanism Design and Refinement in Distributed Systems","de Vos, M.A. (TU Delft Dataintensive Systems); Ishmaev, G. (TU Delft Dataintensive Systems); Pouwelse, J.A. (TU Delft Dataintensive Systems); Roos, S. (TU Delft Dataintensive Systems)","","2023","Catalyzed by the popularity of blockchain technology, there has recently been a renewed interest in the design, implementation and evaluation of decentralized systems. Most of these systems are intended to be deployed at scale and in heterogeneous environments with real users and unpredictable workloads. Nevertheless, most research in this field evaluates such systems in controlled environments that poorly reflect the complex conditions of real-world environments. In this work, we argue that deployment is crucial to understanding decentralized mechanisms in a real-world environment and an enabler to building more robust and sustainable systems. We highlight the merits of deployment by comparing this approach with other experimental setups and show how our lab applied a deployment-first methodology. We then outline how we use Tribler, our peer-to-peer file-sharing application, to deploy and monitor decentralized mechanisms at scale. We illustrate the application of our methodology by describing a deployment trial in experimental tokenomics. Finally, we summarize four lessons learned from multiple deployment trials where we applied our methodology.","Decentralized Systems; Research Methodology; Experimental Setups; System Failures","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-21","","","Dataintensive Systems","","",""
"uuid:32944b0f-3e0a-4511-bb93-a854986659a0","http://resolver.tudelft.nl/uuid:32944b0f-3e0a-4511-bb93-a854986659a0","Numerical investigation of liquefaction susceptibility of sands considering fabric effects","Bayraktaroglu, H. (TU Delft Geo-engineering); Gonzalez Acosta, J.L. (TU Delft Geo-engineering); van den Eijnden, A.P. (TU Delft Geo-engineering); Korff, M. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering)","Zdravkovic, Lidija (editor); Kontoe, Stavroula (editor); Taborda, David (editor); Tsiampousi, Aikaterini (editor)","2023","Natural soil deposits may possess a highly anisotropic nature. The fabric anisotropy of soils which is induced during the soil formation process can lead to severe variation in field scale responses. Although the influence of fabric on the response of sands is well known and several advanced constitutive models have been developed to account for it, most of the studies incorporating anisotropy have focused on element test simulations while practical boundary value problem simulations are usually omitted. In this paper, the undrained response and liquefaction resistance of anisotropic sand deposits with different inherent fabric anisotropies are numerically investigated through element test simulations and one-dimensional nonlinear effective stress site response analyses. A novel semi-micromechanical constitutive model accounting for the effect of fabric anisotropy on sand liquefaction has been incorporated into a fully coupled dynamic in-house code employing the u-p formulation. The proposed numerical framework shows that, in both element test simulations and site response analyses, the fabric effects stemming from both the inherent and induced anisotropies can significantly influence the liquefaction resistance of sands.","Sands; Fabric; Liquefaction; finite element modeling; Seismic response analysis","en","conference paper","International Society for Soil Mechanics and Geotechnical Engineering","","","","","","","","","","Geo-engineering","","",""
"uuid:b9abfba2-4d57-4693-820e-74efb83412b2","http://resolver.tudelft.nl/uuid:b9abfba2-4d57-4693-820e-74efb83412b2","Periodic random fields to perform site response and liquefaction susceptibility analysis","Gonzalez Acosta, J.L. (TU Delft Geo-engineering); Varkey, D. (TU Delft Geo-engineering); van den Eijnden, A.P. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering)","Zdravkovic, Lidija (editor); Kontoe, Stavroula (editor); Taborda, David (editor); Tsiampousi, Aikaterini (editor)","2023","Free-field site response analysis is a standard technique used to predict soil deposit dynamic response and liquefaction susceptibility. Such analyses are typically carried out by implementing periodic boundaries to guarantee the same speed of the dynamic waves travelling across them. However, when using random fields to consider the impact of soil spatial variability there is the possibility of an inconsistency with periodic boundaries. This is due to the generation of non-identical properties at the lateral boundaries on using traditional random fields. To overcome this inconsistency, this paper proposes periodic random fields to model spatial variability by matching the periodicity at the boundaries. To investigate the significance of using the proposed approach, a heterogeneous soil deposit subjected to earthquake loading is analysed using the random finite element method. The results show that, for certain values of the horizontal scale of fluctuation, ensuring consistency at the lateral boundaries could result in less conservative predictions of the extent of the liquefied areas.","Periodic Random Fields; Site Response Analysis; Liquefaction; Tied Degrees","en","conference paper","International Society for Soil Mechanics and Geotechnical Engineering","","","","","","","","","","Geo-engineering","","",""
"uuid:1b2c0106-2c63-4ae9-a2e7-24de73586a21","http://resolver.tudelft.nl/uuid:1b2c0106-2c63-4ae9-a2e7-24de73586a21","DataEd'23 - 2nd International Workshop on Data Systems Education: Bridging Education Practice with Education Research","Aivaloglou, E.A. (TU Delft Web Information Systems); Fletcher, George (Eindhoven University of Technology); Miedema, Daphne (Eindhoven University of Technology)","","2023","Interest in data systems education is increasing, especially with the rise in demand for well-trained and re-trained data scientists. The database and the computing education research communities have complementary perspectives and experiences to share with each other. The DataEd workshop is organized as a dedicated venue for these communities to come together to share findings, cross-pollinate perspectives and methods, and shed light on opportunities for mutual progress in data systems education. In the DataEd workshop, we will present and discuss data management systems education experiences and research via keynotes and paper and poster presentations.","data systems; databases; education","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-23","","","Web Information Systems","","",""
"uuid:a546e9c2-b025-4272-9b68-573e1230c5cc","http://resolver.tudelft.nl/uuid:a546e9c2-b025-4272-9b68-573e1230c5cc","Expansion of an automated system for determining soil parameters using in-situ tests","Marzouk, I. (Graz University of Technology); Tschuchnigg, F. (Graz University of Technology); Brinkgreve, R.B.J. (TU Delft Geo-engineering)","","2023","An ongoing research project aims to create an automated parameter determination (APD) framework relying on a graph-based approach for determining constitutive model parameters from in-situ tests. The system requires two spreadsheets as inputs. One spreadsheet defines the parameters, while the other spreadsheet specifies the correlations. The system connects parameters and methods by generating paths between them and calculates the value(s) for different parameters. So far, the frame-work focused on determining soil parameters based on the cone penetration test (CPT). This paper focuses on expanding the framework by adding the dilatometer test (DMT). A new database of correlations for the DMT is compiled. The expanded APD framework successfully calculates soil parameters for coarse and fine-grained soils based on CPT as well as DMT data. Validat-ing the output of the system, assessing the accuracy of the derived parameters, and connecting soil parameters to constitutive model parameters are part of ongoing research.","automated parameter determination; in-situ testing; graph theory; soil parameters; DMT","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:999ff4ae-7d29-40b4-a5d7-43fc00a8b32c","http://resolver.tudelft.nl/uuid:999ff4ae-7d29-40b4-a5d7-43fc00a8b32c","On advanced numerical techniques for the modeling of bolt reinforced rock mass","Bui, Tuan Anh (Seequent); Cammarata, Giuseppe (Seequent); Choudary Kancharla, Varun (Seequent); Brinkgreve, R.B.J. (TU Delft Geo-engineering); Brasile, Sandro (Seequent)","","2023","Rock bolting plays an important role in different geo-engineering applications and its numerical modelling is crucial for the analysis and design of rock structures. Continuum modelling simulation of bolt-reinforced rock masses requires specific techniques to properly model the reinforcement system and its interaction with the rock mass, which often exhibits a nonlinear softening/brittle response. In this context, strain localization might occur, which, in turn, may affect numerical convergence and the quality of results. This paper presents some advanced numerical techniques implemented in PLAXIS to overcome the abovementioned challenges. Firstly, a regularization technique is implemented for an extended version of the Hoek-Brown failure criterion with strain softening. Secondly, the formulation of the structural bolt element interacting with the rock mass is developed. Finally, the robustness and accuracy of these techniques are discussed via a numerical example of a typical underground mining excavation problem.","Numerical modelling; Hoek-Brown with Softening; Rock reinforcement; Underground excavations","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:f9cdd9bc-e70e-432f-a8aa-fff969354ec3","http://resolver.tudelft.nl/uuid:f9cdd9bc-e70e-432f-a8aa-fff969354ec3","Ultra-Thin Uncooled Integrable-on-Chip Detector to Measure Wide Infrared Radiation Residue in Lithography Exposure and Metrology Inspection Tools","Jahangiri, M. (TU Delft Electronic Instrumentation); Pawluczyk, Jaroslaw (Military University of Technology; VIGO Photonics S.A.); Dąbrowski, Karol (VIGO Photonics S.A.); Nihtianova, S. (TU Delft Electronic Instrumentation)","","2023","In modern nano-scale lithography, an essential role of the source, the illumination, and projection lenses is to deliver the precise amount of energy at a specific wavelength to the photoresist deposited on a wafer surface during exposure. Unfortunately, the source of the most advanced lithography processes may produce unwanted infrared components passing through the illumination and projection lenses and reaching the wafer surface. These infrared residues can cause local heating resulting in deformation of the optical elements and the exposed wafer, thus causing deterioration of the image quality. Some infrared spectrum components are in the band from 2 µm to 12 µm. An infrared detector that can measure only these spectral components of the exposure beam, without being affected by the much more powerful exposure spectral component, is helpful for optics diagnostic purposes and improving imaging quality. In this paper, an ultra-thin uncooled integrable-on-chip linear array infrared detector to measure the band of 2-12 µm infrared radiation is designed and fabricated based on the photovoltaic multiple junction heterostructure from VIGO Photonics, made of a HgCdTe narrow bandgap semiconductor. Features such as zero bias, low noise, and fast response, together with a wide active window, make the detector unique for use in the mid-infrared band. Besides lithography applications, the new detector can be useful in testing, inspection, and equipment using infrared sources such as: He-Ne lasers (0.6 to 4 µm), STEAM lasers (2 to 200 µm), CO2 lasers (5 to 11 µm), InGaAsP lasers (0.8 to 3 µm), and PbSnTe (3 to 20 µm) and PbSnSe (7 to 40 µm) lasers.","Infrared detector; Metrology; Mid-infrared measurement; Photolithography","en","conference paper","","","","","","","","","","","Electronic Instrumentation","","",""
"uuid:bb1ed04d-316d-41f4-80de-91ad9de17100","http://resolver.tudelft.nl/uuid:bb1ed04d-316d-41f4-80de-91ad9de17100","Value Sensitive Design meets Participatory Value Evaluation for autonomous systems in Defence","Boshuijzen-van Burken, C.G. (TU Delft Ethics & Philosophy of Technology; University of New South Wales Canberra); Spruit, S. (TU Delft Organisation & Governance); Fillerup, Lotte; Mouter, N. (TU Delft Transport and Logistics)","Cheong, Marc (editor); Herkert, Joe (editor); Hess, Justin (editor)","2023","We use Value Sensitive Design for the development of an ethical framework for autonomous systems in Defence in the Australian context. Two novel empirical data gathering methods are deployed for mining stakeholder's values, namely Group Decision Room (GDR) and Participatory Value Evaluation (PVE). GDR findings reveal a general concern for environmental values, geo-political and economic stability. A PVE based on these and other values is designed around an autonomous mine counter underwater vessel and an autonomous drone that drops bombs.","autonomous systems; group decision room; military technology; participatory value evaluation; value sensitive design","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-23","","","Ethics & Philosophy of Technology","","",""
"uuid:0202635b-7033-4e7f-887a-82252f29cff8","http://resolver.tudelft.nl/uuid:0202635b-7033-4e7f-887a-82252f29cff8","Objects Classification and Clutter Types Mapping using Polarimetric Radar Detection Algorithms","Song, Yiyang (Student TU Delft); Krasnov, O.A. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","Starting from numerical simulation and comparative analysis of different polarimetric detector algorithms using the proposed Gain of Detectability measure, this paper has validated the feasibility and accuracy of polarimetric detectors in scenarios with homogeneous clutter. These algorithms’ application to real radar data with non-homogeneous clutter also shows that detection quality can be seriously improved using detectors that use a priori knowledge of the expected target and clutter polarimetric characteristics. A new application of the Polarimetric Whitening Filter and the Optimal Polarimetric Detector for the classification/mapping of targets and ground-based clutter has been proposed and demonstrated.","Sensitivity; Radar clutter; Signal processing algorithms; Radar detection; Detectors; Gain measurement; Filtering algorithms","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-11","","","Microwave Sensing, Signals & Systems","","",""
"uuid:754e6da6-602b-4c2e-83c7-5a81673ed230","http://resolver.tudelft.nl/uuid:754e6da6-602b-4c2e-83c7-5a81673ed230","Uncovering Energy-Efficient Practices in Deep Learning Training: Preliminary Steps Towards Green AI","Yarally, Tim (Student TU Delft); Cruz, Luis (TU Delft Software Engineering); Feitosa, Daniel (Rijksuniversiteit Groningen); Sallou, J. (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Technology)","","2023","Modern AI practices all strive towards the same goal: better results. In the context of deep learning, the term ""results""often refers to the achieved accuracy on a competitive problem set. In this paper, we adopt an idea from the emerging field of Green AI to consider energy consumption as a metric of equal importance to accuracy and to reduce any irrelevant tasks or energy usage. We examine the training stage of the deep learning pipeline from a sustainability perspective, through the study of hyperparameter tuning strategies and the model complexity, two factors vastly impacting the overall pipeline's energy consumption. First, we investigate the effectiveness of grid search, random search and Bayesian optimisation during hyperparameter tuning, and we find that Bayesian optimisation significantly dominates the other strategies. Furthermore, we analyse the architecture of convolutional neural networks with the energy consumption of three prominent layer types: convolutional, linear and ReLU layers. The results show that convolutional layers are the most computationally expensive by a strong margin. Additionally, we observe diminishing returns in accuracy for more energy-hungry models. The overall energy consumption of training can be halved by reducing the network complexity. In conclusion, we highlight innovative and promising energy-efficient practices for training deep learning models. To expand the application of Green AI, we advocate for a shift in the design of deep learning models, by considering the trade-off between energy efficiency and accuracy.","deep learning; green ai; green software; hyper-parameter tuning; network architecture","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public","","2024-01-04","","Software Technology","Software Engineering","","",""
"uuid:3889c0a0-0619-4ad4-9510-29be63bc8277","http://resolver.tudelft.nl/uuid:3889c0a0-0619-4ad4-9510-29be63bc8277","Solar PV hosting capacity: Grid-based vs. market-based scenarios","Gorrasi, Chiara (Katholieke Universiteit Leuven); Koirala, Arpan (Katholieke Universiteit Leuven); Bruninx, K. (TU Delft Energie and Industrie); Delarue, Erik (Katholieke Universiteit Leuven); Van Hertem, Dirk (Katholieke Universiteit Leuven)","","2023","Assessing the capability of a distribution grid to accommodate new solar PV installations, namely its hosting capacity (HC), has been a prevalent research topic. Although providing a technical limit to how much additional solar PV can be integrated into a distribution grid without trespassing operational limits, commonly used HC analysis (HCA) does not consider consumer preferences or the economic feasibility of installations. Using a market-based optimal power flow (MBOPF) and HCA, we compare the economic and technical limits of solar PV capacity integration in low voltage distribution systems (LVDS). Findings illustrate that (1) the PV HC computed using grid limits only does not give a complete picture of solar PV capacity integration potential, (2) linear, deterministic power flow is not a foolproof method for assessing the network-secure amount of PV, and (3) the number of technically feasible installation sites supersedes the economically feasible ones.","distribution energy market; distribution locational marginal price; hosting capacity; low voltage distribution system; solar photovoltaic","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-03","","","Energie and Industrie","","",""
"uuid:0049b9a6-9bea-426a-ad71-5926ef0a10d1","http://resolver.tudelft.nl/uuid:0049b9a6-9bea-426a-ad71-5926ef0a10d1","Integrated Forecasting and Scheduling of Implicit Demand Response in Balancing Markets Using Inverse Optimization","Vatandoust, Behzad (Université de Mons); Zad, Bashir Bakhshideh (Université de Mons); Vallée, François (Université de Mons); Toubeau, Jean François (Katholieke Universiteit Leuven); Bruninx, K. (TU Delft Energie and Industrie)","","2023","Demand Response (DR) programs offer flexibility that is considered to hold significant potential for enhancing power system reliability and promoting the integration of renewable energy sources. Nevertheless, the distributed nature of DR resources presents challenges in developing scalable optimization tools. This paper explores a novel data-driven approach in which DR resources are modeled through their aggregate forecasts using Inverse Optimization. The proposed method utilizes historical price-consumption data to deduce DR price-response behavior via a flexibility curve. The model is assessed within the Belgian single imbalance market context, where a Balance Responsible Party (BRP) employs the inferred flexibility curve to optimize its strategic imbalance positions by managing DR resources through suitable real-time price signals. The accuracy of the estimated flexibility provided by the proposed algorithm is evaluated by comparing it with the XGboost method. The results demonstrate that the model can effectively capture DR behavior and generate profit from providing balancing energy.","Implicit demand response; Inverse Optimization; Short-term Forecasting; Single Imbalance Market","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-03","","","Energie and Industrie","","",""
"uuid:2e7b87f2-b8ae-4078-9023-70f076915c10","http://resolver.tudelft.nl/uuid:2e7b87f2-b8ae-4078-9023-70f076915c10","Exploring Extended Mind-Wandering Through an Interactive Haptic Fidget Object","Eichenlaub, J.A. (TU Delft Design Aesthetics); Huisman, G. (TU Delft Human Information Communication Design); Xue, H. (TU Delft Design Aesthetics)","","2023","Mind-wandering (MW) and fidgeting are both present as pervasive phenomena in everyday life and can positively impact ideation. Importantly, within the MW experience, MW can manifest in bodily behaviors such as physical fidgeting. Here, we use an extended mind framework to consider fidgeting as a case of extended MW, where (part of) a MW episode is mediated by a fidget object. We position extended MW, fidgeting, and cognition as interrelated processes. We present the design of an interactive haptic fidget object that aims to support introspective self-awareness in MW and aid in idea synthesis. We discuss the results of an exploratory user evaluation in which the fidget object was used by designers during a personally relevant work session combining research, synthesis, and creativity. We close this paper by discussing the initial findings of our research, the implications for extended MW, and additional propositions for future research directions.","mind-wandering; fdgeting; extended mind; haptics; creativity; tan- gible interaction","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-10","","","Design Aesthetics","","",""
"uuid:b569977a-5a4a-4892-9634-63b834ac8e0d","http://resolver.tudelft.nl/uuid:b569977a-5a4a-4892-9634-63b834ac8e0d","Cultivating Researcher-Sensibility in Novice Designers: Exploring Genre-Specific Heuristics for Game Evaluation in a Design Studio","Li, Xueliang (Southern University of Science and Technology); Xue, H. (TU Delft Design Aesthetics)","Marcus, A. (editor); Rosenzweig, E. (editor); Soares, M.M. (editor)","2023","This paper presents an eight-day design studio that teaches heuristic evaluation of games to third-year bachelor students at the School of Design, Southern University of Science and Technology. Through this course, students gain the first-hand experiences of developing heuristics for games through online survey and using them in idea generation and game evaluation. 13 students (working in groups of two or individually) developed 88 heuristics for 8 game genres by analyzing 349 quotes of game reviews collected from online. The heuristics were further developed into questionnaires and tested with invited 51 game players, followed up by post-interviews. The heuristics were also used as inspirational tools to help the students generate design ideas in an ideation exercise. Results of the students’ work indicate usefulness of the heuristics as evaluative and inspirational tools. In the discussion, we reflected on the challenges encountered by the students over the course and how dealing with these challenges could reveal further directions of teaching research methods in HCI studios.","Design Education; Studio; Design Research Methodology; Design Evaluation","en","conference paper","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-09","","","Design Aesthetics","","",""
"uuid:de22c088-0c28-498b-a377-2d5592ef9b35","http://resolver.tudelft.nl/uuid:de22c088-0c28-498b-a377-2d5592ef9b35","What role an agent could play at home?: Exploring the social roles of smart home system based on a content analysis","Dong, Haosong (Harbin Institute of Technology (Shenzen)); Cheng, Peiyao (Harbin Institute of Technology (Shenzen)); Xue, H. (TU Delft Design Aesthetics)","Ahram, Tareq (editor); Falcão, Christianne (editor)","2023","Smart home systems not only serve users to fulfill pragmatic goals, but also ‘live with’ users. Despite the conceptual possibilities, it still remains unclear whether and what social roles current smart home systems are intended by the companies. In this paper, we report a retrospective study on 15 selected smart home systems (SHSs) on current market. We used content analysis to identify themes and categories of function, personality and social role of these SHSs, and also explore the connections among them. Four representative social roles were identified: instrument, lobby boy, assistant and family member. Four related personalities were found: competent, helpful, trustworthy, and caring. Results of this study revealed an overview of current social roles that smart home system plays as well as the personalities they exhibit.","Social role; Smart home system; Content analysis","en","conference paper","AHFE","","","","","","","","","","Design Aesthetics","","",""
"uuid:50cd2bc3-61cb-4e89-8f01-eceee9d0ce3e","http://resolver.tudelft.nl/uuid:50cd2bc3-61cb-4e89-8f01-eceee9d0ce3e","Incremental Nonlinear Dynamic Inversion controller for a Variable Skew Quad Plane","De Ponti, T.M.L. (TU Delft Control & Simulation); Smeur, E.J.J. (TU Delft Control & Simulation); Remes, B.D.W. (TU Delft Control & Simulation)","","2023","This paper presents the design of an Incremental Nonlinear Dynamic Inversion (INDI) controller for the novel, patent pending (NL 2031701) platform Variable Skew Quad Plane (VSQP). Part of the identified challenges is the development of a model for the actuator effectiveness and lift especially as a function of skew, the newly added degree of freedom. The models and assumptions are verified through static and dynamic wind tunnel tests at the Open Jet Facility (OJF) of TU Delft. Transition tests have been successfully performed thanks to an automatic skew controller derived from the proposed models and aimed to maximize control authority.","INDI; transition; UAV modelling; Variable Skew Quad Plane","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Control & Simulation","","",""
"uuid:a88cfd41-0429-41a8-b458-84b15e533e37","http://resolver.tudelft.nl/uuid:a88cfd41-0429-41a8-b458-84b15e533e37","Attitude Control of a Tilt-rotor Tailsitter Micro Air Vehicle Using Incremental Control","Lovell-Prescod, Gervase H.L.H. (Student TU Delft); Ma, Z. (TU Delft Control & Simulation); Smeur, E.J.J. (TU Delft Control & Simulation)","","2023","Tailsitter Micro Air Vehicles with two rotors are promising due to their simplicity and efficient forward flight, but actuator saturation due to ineffective pitch control at a high angle of attack flight is a challenge limiting the flight envelope. This paper proposes a novel tilt-rotor tailsitter design which features two tilting rotors as the only means for control moment generation. Incremental Nonlinear Dynamic Inversion (INDI) is applied to the attitude control problem of the tiltrotor tailsitter, whose attitude angle tracking performance is validated by indoor and outdoor flight tests. It is found that actuator saturation is largely avoided by using thrust vectoring which provides sufficient capability of pitch moment generation. However, it is also found that the proposed design with only leading-edge tilting motors excluding any aerodynamic control surfaces has limited roll control effectiveness in forward flight.","Actuator saturation; Incremental control; Tailsitter; Thrust vectoring","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Control & Simulation","","",""
"uuid:7008a166-d86b-4de1-8348-1e136c3489fa","http://resolver.tudelft.nl/uuid:7008a166-d86b-4de1-8348-1e136c3489fa","Needs Matter: A Detailed Typology of Fundamental Needs for Human-Centered Design","Huang, S. (TU Delft Design Aesthetics); Desmet, P.M.A. (TU Delft Human-Centered Design)","Kalkis, Henrijs (editor); Roja, Zenija (editor)","2023","The relevance of human needs is generally recognized in human-centered design research and practice. However, a challenge of working with needs is that the word “need” can be interpreted in various ways, which can hinder effective communication and collaboration. Need typologies, in such cases, can serve as a shared language by providing an overview of distinct human needs. Building on a design-focused typology of thirteen fundamental needs, this paper introduces a detailed version in which two complementary but distinct facets explain each need. We envision this fine-grained vocabulary of basic human needs can support initiatives in human-centered design research and practice, particularly facilitating and enriching conversations among people from different backgrounds. Furthermore, we propose three directions for future research on this topic.","Human needs; User needs; User experience; Human-centered design; Positive design; Human-product interaction","en","conference paper","","","","","","","","","","Human-Centered Design","Design Aesthetics","","",""
"uuid:145d8d49-d7b4-4b7c-b01a-899dc57fa956","http://resolver.tudelft.nl/uuid:145d8d49-d7b4-4b7c-b01a-899dc57fa956","Designing the Built Environment Through Hybrid Intelligence","Homayounirad, A. (TU Delft Interactive Intelligence)","Lukowicz, Paul (editor); Mayer, Sven (editor); Koch, Janin (editor); Shawe-Taylor, John (editor); Tiddi, Ilaria (editor)","2023","There is a lack of an intelligent platform that supports continuous deliberation and captures diverse views and stakeholders’ values during the architectural design process in the early stages. Using hybrid intelligence, this study proposes a method that integrates value, and design pattern theories, to support deliberation during the design process. Three steps comprise the method: eliciting value, extracting design patterns, and designing through deliberation with AI agents using natural language processing through hybrid intelligence. The final set of design patterns reflects the participants’ values and ideas, facilitating informed consensus and collaboration between stakeholders supported by AI agents. By integrating diverse perspectives into the loop through continuous deliberation, the proposed method incorporates stakeholders’ value for extracting design patterns that address primary design goals and challenges such as energy transition in the built environment.","Hybrid intelligence; Built environment; Design pattern; Value; Deliberation","en","conference paper","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:6f391be2-a267-48c3-878b-3164bfeb7279","http://resolver.tudelft.nl/uuid:6f391be2-a267-48c3-878b-3164bfeb7279","Towards Understanding Machine Learning Testing in Practise","Shome, A. (TU Delft Software Engineering); Cruz, Luis (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Technology)","","2023","Visualisations drive all aspects of the Machine Learning (ML) Development Cycle but remain a vastly untapped resource by the research community. ML testing is a highly interactive and cognitive process which demands a human-in-the-loop approach. Besides writing tests for the code base, bulk of the evaluation requires application of domain expertise to generate and interpret visualisations. To gain a deeper insight into the process of testing ML systems, we propose to study visualisations of ML pipelines by mining Jupyter notebooks. We propose a two prong approach in conducting the analysis. First, gather general insights and trends using a qualitative study of a smaller sample of notebooks. And then use the knowledge gained from the qualitative study to design an empirical study using a larger sample of notebooks. Computational notebooks provide a rich source of information in three formats - text, code and images. We hope to utilise existing work in image analysis and Natural Language Processing for text and code, to analyse the information present in notebooks. We hope to gain a new perspective into program comprehension and debugging in the context of ML testing.","AI Engineering; Computational Notebooks; Data Mining; Image Analysis; Machine Learning Testing; Natural Language Processing; NLP for Code","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","Software Technology","Software Engineering","","",""
"uuid:670a677a-f67e-476a-b9d3-fb75aaaf083e","http://resolver.tudelft.nl/uuid:670a677a-f67e-476a-b9d3-fb75aaaf083e","Maintaining and Monitoring AIOps Models Against Concept Drift","Poenaru-Olaru, L. (TU Delft Software Engineering); Cruz, Luis (TU Delft Software Engineering); Rellermeyer, Jan S. (TU Delft Dataintensive Systems; Leibniz Universität); van Deursen, A. (TU Delft Software Technology)","","2023","AIOps solutions enable faster discovery of failures in operational large-scale systems through machine learning models trained on operation data. These models become outdated during the occurrence of concept drift, a term used to describe shifts in data distributions. In operation data concept drift is inevitable and it impacts the performance of AIOps solutions over time. Therefore, concept drift should be closely monitored and immediate maintenance to prevent erroneous predictions is required. In this work, we propose an automated maintenance pipeline for AIOps models that monitors the occurrence of concept drift and chooses the most appropriate model retraining technique according to the drift type.","AIOps; concept drift adaptation; concept drift detection; machine learning model lifecycle","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-15","","Software Technology","Software Engineering","","",""
"uuid:791feb2e-3aed-4658-8f31-08a736e899e7","http://resolver.tudelft.nl/uuid:791feb2e-3aed-4658-8f31-08a736e899e7","Reuse of glass bottles for structural columns","Alkisaei, H. (TU Delft Applied Mechanics); Maachi, Y. (American Glass Research); Justino de Lima, C. (American Glass Research); Noteboom, C. (TU Delft Applied Mechanics; Advies- en Ingenieursbureau van de Laar B.V.); Louter, P.C. (TU Delft Applied Mechanics)","","2023","Container glass is omnipresent and reuse can be observed all around the world. It can even be reused for the construction of structural components for buildings of which several examples exist around the world. However, research on the reuse of glass for structural components seems lacking. Therefore, this paper investigates the potential of reusing glass bottles for the construction of structural columns. Firstly, the compression strength of artificially abraded glass bottles was investigated in compression tests, revealing a compression strength between 10 and 20 kN. Secondly, alternative assemblies of multiple glass bottles were tested in compression, to determine their suitability for constructing a column. Finally, an exemplary column prototype constructed of glass bottles is presented. From the findings it is concluded that it is feasible to reuse glass bottles for the construction of structural columns that could carry small scale structures.","container glass; load-bearing; structural column","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-16","","","Applied Mechanics","","",""
"uuid:8fff1f21-e8d0-456e-8f95-529740b87dc4","http://resolver.tudelft.nl/uuid:8fff1f21-e8d0-456e-8f95-529740b87dc4","Enhanced wake mixing in wind farms using the Helix approach: A loads sensitivity study","van Vondelen, A.A.W. (TU Delft Team Jan-Willem van Wingerden); Navalkar, S.T. (Siemens); Kerssemakers, D.R.H. (Student TU Delft); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2023","The Helix approach is a control technology that reduces the wake effect in wind farms by accelerating wake mixing through individual pitch control, resulting in significant AEP gain. However, this study found that depending on its settings, the controller may increase pitch bearing damage and loads on some turbine components. Using a modified version of NREL’s Reference OpenSource Controller in OpenFAST, this study analysed the sensitivity of loads and pitch bearing damage to different Helix controller settings on the IEA-15MW reference offshore wind turbine. Results showed that loads increased with the excitation signal amplitude but were less affected by its frequency. Additionally, more pitch bearing damage was observed in the counterclockwise Helix direction, while slightly higher loads were observed in the clockwise direction when using the same excitation signal amplitude and frequency for both directions.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-03","","","Team Jan-Willem van Wingerden","","",""
"uuid:0c32b91d-c4de-4e81-bdf7-25dcc4c2f7b1","http://resolver.tudelft.nl/uuid:0c32b91d-c4de-4e81-bdf7-25dcc4c2f7b1","Enabling Multi-Hop ISP-Hypergiant Collaboration","Munteanu, Cristian (Max Planck Institut für Informatik); Gasser, Oliver (Max Planck Institut für Informatik); Poese, Ingmar (Max Planck); Smaragdakis, G. (TU Delft Cyber Security); Feldmann, Anja (Max Planck Institut für Informatik)","","2023","Today, there is an increasing number of peering agreements between Hypergiants and networks that benefit millions of end-user. However, the majority of Autonomous Systems do not currently enjoy the benefit of interconnecting directly with Hypergiants to optimally select the path for delivering Hypergiant traffic to their users. In this paper, we develop and evaluate an architecture that can help this long tail of networks. With our proposed architecture, a network establishes an out-of-band communication channel with Hypergiants that can be two or more AS hops away and, optionally, with the transit provider. This channel enables the exchange of network information to better assign requests of end-users to appropriate Hypergiant servers. Our analysis using operational data shows that our architecture can optimize, on average, 15% of Hypergiants’ traffic and 11% of the overall traffic of networks that do not interconnect with Hypergiants. The gains are even higher during peak hours when available capacity can be scarce, up to 46% for some Hypergiants.","Content Delivery; Traffic Optimization; Internet Architecture","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Cyber Security","","",""
"uuid:f010bcb0-a98d-485c-b891-4137c4fa0b63","http://resolver.tudelft.nl/uuid:f010bcb0-a98d-485c-b891-4137c4fa0b63","Optimizing Machine Learning Inference Queries for Multiple Objectives","Li, Z. (TU Delft Web Information Systems); Schonfeld, Mariette (Student TU Delft); Hai, R. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence); Katsifodimos, A (TU Delft Web Information Systems)","","2023","Given a set of pre-trained Machine Learning (ML) models, can we solve complex analytic tasks that make use of those models by formulating ML inference queries? Can we mitigate different tradeoffs, e.g., high accuracy, low execution costs and memory footprint, when optimizing the queries? In this work we present different multi-objective ML inference query optimization strategies, and compare them on their usability, applicability, and complexity. We formulate Mixed-Integer-Programming-based (MIP) optimizers for ML inference queries that makes use of different objectives to find Pareto-optimal inference query plans.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-14","","","Web Information Systems","","",""
"uuid:4dea276f-7c56-483c-b3ca-e85e0c037340","http://resolver.tudelft.nl/uuid:4dea276f-7c56-483c-b3ca-e85e0c037340","Partial Discharge Detection in High-Voltage Gas Insulated Switchgear Using Fiber Optic Based Acoustic Sensors","Zadeh, A. R. (Optics11, Amsterdam); Castro Heredia, L.C. (TU Delft ESP LAB)","","2023","Partial discharges (PD) are small current pulses that can occur within the insulation of medium and high voltage (HV) electrical assets such as cable accessories, transformers and switchgear. In GIS units, PD's can occur near the high-voltage conductor or at other locations commonly due to metallic particles from the erosion of the switchgear contacts or left behind after maintenance. For that reason, GIS units are usually equipped with multiple embedded UHF sensors in selected compartments that can detect PD in their vicinity.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","ESP LAB","","",""
"uuid:91cab03d-12b0-4a10-ac4a-74f1494911e3","http://resolver.tudelft.nl/uuid:91cab03d-12b0-4a10-ac4a-74f1494911e3","Curvature-Aware Model Predictive Contouring Control","Lyons, L. (TU Delft Learning & Autonomous Control); Ferranti, L. (TU Delft Learning & Autonomous Control)","","2023","We present a novel Curvature-Aware Model Pre-dictive Contouring Control (CA-MPCC) formulation for mobile robotics motion planning. Our method aims at generalizing the traditional contouring control formulation derived from machining to autonomous driving applications. The proposed controller is able of handling sharp curvatures in the reference path while subject to non-linear constraints, such as lane boundaries and dynamic obstacle collision avoidance. Com-pared to a standard MPCC formulation, our method improves the reliability of the path-following algorithm and simplifies the tuning, while preserving real-time capabilities. We validate our findings in both simulations and experiments on a scaled-down car-like robot.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-04","","","Learning & Autonomous Control","","",""
"uuid:68831a5a-2093-4e33-88d2-bb4b51f15946","http://resolver.tudelft.nl/uuid:68831a5a-2093-4e33-88d2-bb4b51f15946","Experimental Validation of Functional Iterative Learning Control on a One-Link Flexible Arm","Drost, S.C. (Student TU Delft); Pustina, P. (TU Delft Learning & Autonomous Control); Angelini, Franco (University of Pisa); De Luca, Alessandro (Sapienza University of Rome); Smit, G. (TU Delft Medical Instruments & Bio-Inspired Technology); Della Santina, C. (TU Delft Learning & Autonomous Control)","","2023","Performing precise, repetitive motions is essential in many robotic and automation systems. Iterative learning control (ILC) allows determining the necessary control command by using a very rough system model to speed up the process. Functional iterative learning control is a novel technique that promises to solve several limitations of classic ILC. It operates by merging the input space into a large functional space, resulting in an over-determined control task in the iteration domain. In this way, it can deal with systems having more outputs than inputs and accelerate the learning process without resorting to model discretizations. However, the framework lacks so far a validation in experiments. This paper aims to provide such experimental validation in the context of robotics. To this end, we designed and built a one-link flexible arm that is actuated by a stepper motor, which makes the development of an accurate model more challenging and the validation closer to the industrial practice. We provide multiple experimental results across several conditions, proving the feasibility of the method in practice.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-04","","","Learning & Autonomous Control","","",""
"uuid:e0f9bceb-8f04-4a7a-b072-203bfd5b829e","http://resolver.tudelft.nl/uuid:e0f9bceb-8f04-4a7a-b072-203bfd5b829e","Approximation Algorithms for Robot Tours in Random Fields with Guaranteed Estimation Accuracy","Dutta, Shamak (University of Waterloo); Wilde, N. (TU Delft Learning & Autonomous Control); Tokekar, Pratap (University of Maryland); Smith, Stephen L. (University of Waterloo)","","2023","We study the sample placement and shortest tour problem for robots tasked with mapping environmental phenomena modeled as stationary random fields. The objective is to minimize the resources used (samples or tour length) while guaranteeing estimation accuracy. We give approximation algorithms for both problems in convex environments. These improve previously known results, both in terms of theoretical guarantees and in simulations. In addition, we disprove an existing claim in the literature on a lower bound for a solution to the sample placement problem.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-04","","","Learning & Autonomous Control","","",""
"uuid:5b3bb562-a961-41d5-b243-7f5077a98728","http://resolver.tudelft.nl/uuid:5b3bb562-a961-41d5-b243-7f5077a98728","Performance Analysis of Phase-Coded FMCW for Joint Sensing and Communication","Kumbul, U. (TU Delft Microwave Sensing, Signals & Systems); Petrov, N. (NXP Semiconductors); Silveira Vaucher, C. (NXP Semiconductors); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","Phase-coded frequency modulated continuous wave (PC-FMCW) radars for joint sensing and communication are considered. The sensing and communication performance of the two signal processing methods, phase lag compensated group delay filter and filter bank receivers, are compared. It is demonstrated that the phase lag compensated group delay receiver provides better sensing performance and requires less computational complexity than the filter bank receiver. The application of the former receiver is, however, limited by the bit error rate degradation with the communication signal bandwidth.","Degradation; Nonlinear distortion; Bit error rate; Filter banks; Receivers; Bandwidth; Radar signal processing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-11","","","Microwave Sensing, Signals & Systems","","",""
"uuid:078896fe-b9ae-4cce-a3c6-18365359236a","http://resolver.tudelft.nl/uuid:078896fe-b9ae-4cce-a3c6-18365359236a","Coherency of the European resource adequacy framework","Gonzato, Sebastian (Katholieke Universiteit Leuven); De Paepe, Michiel (Katholieke Universiteit Leuven); Bruninx, K. (TU Delft Energie and Industrie); Delarue, Erik (Katholieke Universiteit Leuven)","","2023","The European Union (EU)'s resource adequacy framework consists of the reliability standard calculation, adequacy assessments and capacity remuneration mechanisms, three pillars which should conspire to deliver a realised adequacy in liberalised markets which is close to that which would be delivered by a central planner minimising total system costs. However, this framework is vulnerable to inconsistencies in the parameters used in each of the three pillars, making the framework internally incoherent and potentially increases system costs. We illustrate and discuss how an inconsistent choice of storage operation can lead to a sub-optimal level of adequacy. However, a consistent storage operation challenges the stated purpose of adequacy assessments in the EU which is to predict adequacy resulting from market operations.","adequacy assessments; capacity remuneration mechanisms; electricity regulation; electricity storage; reliability standard; resource adequacy","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-03","","","Energie and Industrie","","",""
"uuid:6117a259-668a-4a38-aa1b-110b8d1057a7","http://resolver.tudelft.nl/uuid:6117a259-668a-4a38-aa1b-110b8d1057a7","A learning algorithm for the calibration of internal model uncertainties in advanced wind turbine controllers: A wind speed measurement-free approach","Mulders, S.P. (TU Delft Team Mulders); Brandetti, L. (TU Delft Wind Energy); Spagnolo, F. (Vestas Wind Systems); Liu, Y. (TU Delft Team Riccardo Ferrari); Christensen, P.B. (Vestas Wind Systems); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2023","Wind turbine partial-load controllers have evolved from simple static nonlinear function implementations to more advanced dynamic controller structures. Such dynamic control schemes have the potential to improve power production performance in realistic environmental conditions and allow for a more granular trade-off between loads and energy capture. The control structure generally consists of a wind speed estimator (WSE) combined with a controller aiming to track the commanded tip-speed ratio (TSR) reference. The performance and resulting closed-loop system stability are however highly dependent on the accuracy of the internal model in the WSE-TSR tracking scheme. Therefore, developing learning algorithms to calibrate the internal model is of particular interest. Previous works have proposed such algorithms; however, they all rely on the availability of (rotor-effective) wind speed measurements. For the first time, this paper proposes an excitation-based learning algorithm that exploits the closed-loop dynamic structure of the WSE-TSR tracking scheme. This algorithm calibrates the internal model without the need for wind speed measurements. Analysis and simulations show that the proposed algorithm corrects for model uncertainties in the form of magnitude scaling errors under ideal constant and realistic turbulent wind conditions.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-03","","","Team Mulders","","",""
"uuid:b8c8a081-684a-4ac9-80d7-c4499de309e7","http://resolver.tudelft.nl/uuid:b8c8a081-684a-4ac9-80d7-c4499de309e7","Enhancing Wake Mixing in Wind Farms by Multi-Sine Signals in the Helix Approach","Huang, L.J.; Mulders, S.P. (TU Delft Team Mulders); Taschner, E. (TU Delft Team Jan-Willem van Wingerden); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2023","In most current offshore wind farms, the turbines are controlled greedily, neglecting any coupling by wake effects with other turbines. By neglecting these effects of aerodynamic interactions, the power production performance is substantially reduced. Besides the well-known wake steering and dynamic induction control wake control strategies, a novel wind farm flow control strategy called the Helix approach has been recently proposed to mitigate the impacts of wake effects and optimize wind farm performance. The Helix approach adopts the individual pitch control (IPC) technique to dynamically deform the wake into the helical shape, which induces wake instability and thereby stimulates wake recovery. The first results employing a single-harmonic signal have demonstrated promising enhancement in wake recovery effects. However, more complex signals to potentially improve the effectiveness of the Helix approach have never been studied. This paper explores the potential of using higher-harmonic signals in the Helix approach to further enhance wake mixing. The aeroelastic simulator, OpenFAST, with its recently developed free vortex wake codes is adopted to simulate the dynamic wake evolution. A Fourier stability analysis is used to quantitatively identify the wake breakdown position. Results show that in the baseline case where no Helix signals are implemented, the wake breaks down at 3.25 rotor diameter (D) from the rotor. When using the designed multi-sine Helix signals, the wake breaks down at 1.75 D from the rotor, which is a significant improvement over the breakdown distance at 2.50 D using the conventional single-sine Helix. The earlier wake breakdown indicates faster wake recovery and is to be validated by future higher-fidelity simulation studies.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-03","","","Team Jan-Willem van Wingerden","","",""
"uuid:16da62dc-724e-4429-a5dc-3491aaddb249","http://resolver.tudelft.nl/uuid:16da62dc-724e-4429-a5dc-3491aaddb249","Prison' Housing vs Housing' Prison: A comparative analysis of De Koepel and Oost III projects by Koolhaas/OMA in de 1980s","Martinez-Millana, Elena (TU Delft Building Knowledge; Universidad Politécnica de Madrid)","","2023","This article studies small housing following a very particular strategy. The doctoral thesis from which this article arises seeks to provide an answer to the following research question: How is it possible to explore the tensions and contradictions of domesticity? To do so, we propose to study the dwellings of those who have not had a family as a referent, and we consider other forms of the collective living of people who live alone, but within a community. Two study cases designed throughout the 1980s by one of the most influential architects of the last quarter of the 20th century, Rem Koolhaas / Office for Metropolitan Architecture, are placed in relation to each other, exploring unexpected connections. In this article, his proposal for the renovation of a 19th-century panopticon prison is analysed, together with another of his housing project in which the typological variety shows alternative units to the familiar. For various reasons, both can be considered paradigmatic projects. The first is one of only three pure panoptic prisons built in the Netherlands at the end of the 19th century, known as De Koepel (the dome), specifically the one located in the Dutch city of Arnhem, which is a National Monument. The proposal for the renovation of the entire prison complex was developed over almost a decade, between 1979 and 1988, and culminated in the specific proposal for the design of the interior of the cells. The second case is the housing blocks for the IJ-plein urban plan, located in a former harbour area on the banks of the IJ in Amsterdam North, a plan in which all the housing was social housing, which is unique in the Netherlands and unfeasible today. The plan was designed and built between 1980 and 1988, with the Koolhaas/OMA blocks, Oost III, being the last to be completed. Among the housing typologies, HAT units (Huisvesting Alleenstaanden en Tweepersoonshuishoudens), the dwellings for one or two persons, have a predominant presence, which contrasts with others such as community housing for the disabled.","domesticity; social housing; prisons; IJ-plein; De Koepel; HAT-unit; 1980s","en","conference paper","ARU","","","","","Funding The author of this research was awarded the Margarita Salas Postdoctoral Fellowship (Ministry of Universities, Government of Spain) funded by the European Union (Next Generation EU programme) for three years, the first two at the Delft University of Technology (TU Delft) and the third at the Universidad Politécnica de Madrid (UPM) (2022-24).","","","","","Building Knowledge","","",""
"uuid:ed9a98f3-aedb-4a5d-8557-8f33eb5e366e","http://resolver.tudelft.nl/uuid:ed9a98f3-aedb-4a5d-8557-8f33eb5e366e","Engaging consumers in reusable packaging systems: An exploration of factors influencing the adoption","Miao, X. (TU Delft Marketing and Consumer Research); Magnier, L.B.M. (TU Delft Marketing and Consumer Research); Mugge, R. (TU Delft Marketing and Consumer Research)","","2023","Reusable packaging systems (RPSs) show promise in replacing single-use packages by extending the packaging lifetime and significantly reducing waste. Yet, knowledge about consumer behaviour in the adoption of RPSs is scarce. We present in-depth insights into how consumers perceive RPSs as a new shopping pattern for fast-moving consumer goods (FMCGs). Our findings reveal that consumers’ high willingness to adopt RPSs stems from their awareness of waste and their desire to reduce it. Nevertheless, various reasons can complicate the process of adoption. First, consumers perceive reduced behavioural control in this new shopping pattern and are reluctant to invest extra effort or alter routines. Next, consumers weigh economic benefits to compare alternatives at the point of purchase and are generally unwilling to pay a premium for RPSs. In addition, even though consumers trust the hygienic standard of the RPS, they raise contamination concerns when they notice spillage, other consumers’ improper use and signs of usage generated on the packaging. In terms of environmental impact, consumers experience positive feelings about reducing packaging waste but also perceive the environmental impact as vague and doubt the effectiveness of their reuse behaviour. To encourage the adoption of RPSs, these barriers need to be addressed via design and marketing interventions.","Consumer behaviour; Reuse; Packaging; Environmental impacts","en","conference paper","","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:e5237f77-f0e9-4ec5-a8f4-102d03550784","http://resolver.tudelft.nl/uuid:e5237f77-f0e9-4ec5-a8f4-102d03550784","Policy Analysis of Safe Vertical Manoeuvring using Reinforcement Learning: Identifying when to Act and when to stay Idle","Groot, D.J. (TU Delft Control & Simulation); Ribeiro, M.J. (TU Delft Air Transport & Operations); Ellerbroek, Joost (TU Delft Control & Simulation); Hoekstra, J.M. (TU Delft Control & Simulation)","","2023","The number of unmanned aircraft operating in the airspace is expected to grow exponentially during the next decades. This will likely lead to traffic densities that are higher than those currently observed in civil and general aviation, and might require both a different airspace structure compared to conventional aviation, as well as different conflict resolution methods. One of the main disadvantages of analytical conflict resolution methods, in high-traffic density scenarios, is that they can cause instabilities of the airspace due to a domino effect of secondary conflicts. Therefore, many studies have also investigated other methods of conflict resolution, such as Deep Reinforcement Learning, which have shown positive results, but tend to be hard to explain due to their black-box nature. This paper investigates if it is possible to explain the behaviour of a Soft Actor-Critic model, trained for resolving vertical conflicts in a layered urban airspace, by interpreting the policy through a heat map of the selected actions. It was found that the model actively changes its policy depending on the degrees of freedom and has a tendency to adopt preventive behaviour on top of conflict resolution. This behaviour can be directly linked to a decrease in secondary conflicts when compared to analytical methods and can potentially be incorporated into these methods to improve them while maintaining explainability.","Air Traffic Control; Unmanned Traffic Management; Reinforcement Learning; Policy Analysis; Artificial Intelligence; Explainable AI","en","conference paper","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:623da7d7-19eb-4475-871a-3830b8db27da","http://resolver.tudelft.nl/uuid:623da7d7-19eb-4475-871a-3830b8db27da","Unsupervised Physics-Informed Health Indicator Discovery for Complex Systems","Bajarunas, Kristupas (Zurich University of Applied Science (ZHAW)); Lourenço Baptista, M. (TU Delft Air Transport & Operations); Goebel, Kai (Palo Alto Research Center Incorporated); Arias Chao, M.A.C. (TU Delft Air Transport & Operations; Zurich University of Applied Science (ZHAW))","Kulkarni, Chetan S. (editor); Roychoudhury, Indranil (editor)","2023","Discovering health indicators (HI) is essential for prognostics and health management of complex systems, as an HI enables timely interventions and effective maintenance strategies. However, most of the existing methodologies for HI discovery rely on labeled data which is expensive and complicated to obtain in the real world. In this paper, we propose a novel, unsupervised physics-informed model structured after expert knowledge in the form of a graphical representation of the expected relationships between sensor readings, operating conditions, and degradation. In addition, a soft constraint is used to guide the representation of the HI according to generally available expert knowledge about degradation. We evaluated the model on a turbofan engine dataset and conducted four experiments by manipulating the original data to create realistic real-world scenarios. The proposed method discovers an HI that exhibits better intrinsic qualities than the current state-of-the-art methodologies, leading to enhanced prognostic performance. Notably, in situations where the initial health state of each system varies, the proposed method achieves an average prognostic performance improvement of approximately 20% compared to existing state-of-the-art methods.","","en","conference paper","Prognostics and Health Management Society","","","","","","","","","","Air Transport & Operations","","",""
"uuid:ce65d5c4-e2e3-4e29-8729-456b696e32f5","http://resolver.tudelft.nl/uuid:ce65d5c4-e2e3-4e29-8729-456b696e32f5","Adaptive Prognostics: A reliable RUL approach","Eleftheroglou, N. (TU Delft Structural Integrity & Composites)","Kulkarni, Chetan S. (editor); Roychoudhury, Indranil (editor)","2023","In the past decade, data-driven methodologies have gained increasing popularity, offering a foundation for predicting the remaining useful life (RUL) of engineering systems and structures using condition monitoring (CM) data. A particularly intriguing challenge lies in accurately predicting the RUL of systems that exhibit exceptional performance, whether underperforming or overperforming, owing to unforeseen phenomena occurring during their operational life. These unique systems, often referred to as outliers, pose a formidable challenge for RUL prediction. This research addresses this challenge by introducing a novel data-driven model, which is known as the Similarity Learning Hidden Semi-Markov Model (SLHSMM) and extends the capabilities of the Non-Homogeneous Hidden Semi-Markov Model (NHHSMM). The training dataset comprises strain data obtained from open-hole carbon-epoxy specimens exposed solely to fatigue loading. In contrast, the validation-testing dataset includes strain data from two specimens subjected to both fatigue and in-situ impact loading, representing an unexpected and previously unseen event in the training data. The study compares RUL estimations generated by the SLHSMM and NHHSMM. The results indicate that the SLHSMM outperforms the NHHSMM, offering superior accuracy in predicting outliers' RUL. This underscores its capability to adapt to unexpected phenomena and seamlessly incorporate unforeseen data into prognostics.","","en","conference paper","Prognostics and Health Management Society","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:f3f97e75-433e-452a-9f4d-cc0a8c7ae63e","http://resolver.tudelft.nl/uuid:f3f97e75-433e-452a-9f4d-cc0a8c7ae63e","Generic Hybrid Models for Prognostics of Complex Systems","Bajarunas, Kristupas (Zurich University of Applied Science (ZHAW)); Lourenço Baptista, M. (TU Delft Air Transport & Operations); Goebel, Kai (Palo Alto Research Center Incorporated); Arias Chao, M.A.C. (TU Delft Air Transport & Operations; Zurich University of Applied Science (ZHAW))","Kulkarni, Chetan S. (editor); Roychoudhury, Indranil (editor)","2023","Hybrid models combining physical knowledge and machine learning show promise for obtaining accurate and robust prognostic models. However, despite the increased interest in hybrid models in recent years, the proposed solutions tend to be domain-specific. As a result, there is no compelling strategy of what, where, and how physics-derived knowledge can be integrated into deep learning models depending on the available representation of physical knowledge and the quality of data for the development of prognostic models for complex systems. This Ph.D. project aims to develop a general strategy for hybridizing prognostic models by exploring multiple methods to incorporate physical knowledge at various stages of the learning algorithm. The project will prioritize general expert knowledge as the primary source of information, while domain-specific knowledge will serve as an additional feature when applicable.","","en","conference paper","Prognostics and Health Management Society","","","","","","","","","","Air Transport & Operations","","",""
"uuid:640cbd21-caab-400f-a80f-09f9aef09181","http://resolver.tudelft.nl/uuid:640cbd21-caab-400f-a80f-09f9aef09181","Contrail Altitude Estimation Based on Shadows Detected in Landsat Imagery","Roosenbrand, E.J. (TU Delft Control & Simulation); Sun, Junzi (TU Delft Control & Simulation); Hoekstra, J.M. (TU Delft Control & Simulation)","","2023","Contrails contribute to global warming by trapping outgoing terrestrial radiation, exerting an immediate warming influence on the climate. The climatic impact of contrails is potentially comparable to that of aviation’s carbon emissions. This underlines the importance of minimizing contrail formation to mitigate the climate effects of aviation, both now and in the future. The evaluation of contrails demands more precise data on the location and altitude where they form. Remote sensing imagery enables the identification of their location. Nevertheless, determining the altitude of the contrail remains problematic, complicating the identification of the source flight. This study introduces a novel method that enables researchers to determine the altitude of a contrail solely using Landsat data by analysing shadows cast by contrails. Through validation against ADS-B data from OpenSky, we demonstrate that such a technique can achieve the accuracy of a few hundreds of meters, which is suitable for incorporation into a climate-optimized routing system. Finally, a ResUNet segmentation model is also presented, which can identify contrails and their shadows in Landsat imagery. These results constitute a step forward for more accurate contrail dataset and models.","Sustainability; Contrails; Remote Sensing; Atmospheric Science; OpenSky; Aircraft Surveillance Data","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:3b088eff-8705-423c-b62f-56d44c60df3e","http://resolver.tudelft.nl/uuid:3b088eff-8705-423c-b62f-56d44c60df3e","Evaluating Transatlantic Flight Emissions and Inefficiencies Using Space-Based ADS-B Data","Sun, Junzi (TU Delft Control & Simulation); Tassanbi, A. (TU Delft Control & Simulation); Obojski, Piotrek (Spire Global); Plantholt, Philip (Spire Global)","","2023","The increasing demand for global air travel has intensified the urgency to mitigate aviation’s carbon emissions. Continuous monitoring of aircraft fuel efficiency and emissions has become an important task in aviation. One of the main challenges has been the lack of surveillance data for flights across oceans, specifically in the North Atlantic region, where numerous flights occur. Recently, space-based ADS-B data has been made available by new space companies like Spire Global, enabling flight surveillance for aircraft in remote regions, including transatlantic flights. In this study, we utilize several months of space-based ADS-B data from Spire, combined with groundbased ADS-B data from the OpenSky Network, to demonstrate increased accuracy in flight trajectory and emission estimations. We introduce the use of wind data to improve emission quantification. Utilizing these accurate trajectories, we quantify excess emissions by comparing actual flight paths with their optimal alternatives. Our approach provides a robust methodology that benefits future policy for carbon emissions assessments.","ADS-B; Flight emissions; OpenSky; Spire Global","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:2d80d82d-010a-41a0-83ad-f6f315e36926","http://resolver.tudelft.nl/uuid:2d80d82d-010a-41a0-83ad-f6f315e36926","Application of adiabaticity map: highly efficient coupling from optical fibers to silicon waveguides by adiabatic mode evolution","Chang, Li Fu (National Cheng Kung University); Norte, R.A. (TU Delft Dynamics of Micro and Nano Systems); Westerveld, W.J. (TU Delft Dynamics of Micro and Nano Systems); Tseng, Shuo Yen (National Cheng Kung University)","Littlejohns, Callum G. (editor); Sorel, Marc (editor)","2023","Efficient coupling of light from an optical fiber to silicon waveguides is a challenging task in integrated photonics. Couplers based on adiabatic mode evolution have the advantages of high bandwidth and low loss but are often accompanied by longer device lengths. In this paper, we introduce the concept of adiabaticity map and optimize the coupling between an optical fiber and Si waveguides by selecting routes on the map that minimize unwanted mode coupling. The map clearly indicates areas in mode evolution where supermode coupling is large and identifies optimal routes for efficient mode evolution. Optimized interaction length and widths are obtained from the adiabaticity map. We obtain highly efficient coupling (96%) with large bandwidth (1-dB bandwidth 280 nm) and misalignment tolerance (⪆90 nm lateral misalignment range for 1-dB excess losses) for the TE polarization.","adiabatic; Coupler; mode evolution; silicon photonics","en","conference paper","SPIE","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:912b642c-77e9-4c39-a1f9-931117189040","http://resolver.tudelft.nl/uuid:912b642c-77e9-4c39-a1f9-931117189040","Scaling Down: Introducing an Inner-Boezem Landscape in the Polder-Boezem System of the Dutch Lowlands","Bobbink, I. (TU Delft Landscape Architecture); Pouderoijen, M.T. (TU Delft Landscape Architecture)","Dohnal, Jiří (editor); Dohnalová, Barbora (editor)","2023","The Faculty of Architecture at TU Delft lies in the low-lying part of the Netherlands; we research and teach water management and its spatial and social impact.
For decades, the land was successfully drained and reclaimed, resulting in a comfortable life below sea level. However, due to various causes, this way of managing the delta is problematic today: the sea level is rising while land subsidence is ongoing. On the one hand, there is a surplus of rain- and river water, and at the same time, the land and the plants suffer from drought and water pollution; the country is densely occupied, and the world's second-largest agricultural exporter and therefore lacks space for many other programs like renewable energy harvesting, recreation, and nature development.
Considering the pressure on the landscape, we need to rethink our delta. That’s why a research team proposed to the province of North Holland to test the installation of inner-boezem landscapes, which can keep water in the polder instead of pumping it out via the boezem network (discharge system) into the open water of rivers and sea. This water system scaling-down operation allows for more site-specific water management and can serve as a starting point for integrated landscape development.
Preparing the brief for the design assignment based on the research included civil engineers and technical and ecological experts. Forty master students of the landscape architecture track worked for eight weeks on testing designs of inner-boezem landscapes in different locations: the peat polder landscape, a lakebed polder, and along the dune ridge.
The designs showed that the inner-boezem landscapes deal well with flexible water levels and create opportunities for new ecological and recreational values. At the same time, the inner-boezem landscapes are initiators for developing innovative, more sustainable agricultural practices.
The research by design results are discussed within the province of Noord Holland. Like in the “Room for the River ” project, the inner-boezem landscape, which can be seen as a “Room for the Polder Water” project, simultaneously allows for technical, ecological, and socio-economic improvement.","","en","conference paper","Mendel University Brno","","","","","","","","","","Landscape Architecture","","",""
"uuid:e0793ab9-18f3-4fd4-83f8-15734ea4eb52","http://resolver.tudelft.nl/uuid:e0793ab9-18f3-4fd4-83f8-15734ea4eb52","Delamination Size Prediction for Compressive Fatigue Loaded Composite Structures Via Ultrasonic Guided Wave Based Structural Health Monitoring","Gul, F.C. (TU Delft Structural Integrity & Composites); Moradi, M. (TU Delft Structural Integrity & Composites); Benedictus, R. (TU Delft Structural Integrity & Composites); HADJRIA, RAFIK (Safran Aircraft Engines); LUGOVTSOVA, YEVGENIYA (BAM Federal Institute for Materials Research and Testing); Zarouchas, D. (TU Delft Structural Integrity & Composites)","","2023","Under in-plane compressive load conditions, the growth of a delamination initially induced by an impact can be followed by a fast growth after a threshold level, which leads to a catastrophic failure in composite structures. To avoid reaching this critical level, it is essential to uncover the delamination size and growth pattern in real time. Ultrasonic Guided Waves (UGW) have a strong capability to interrogate and monitor the structure in real-time and thus track the growth of damage, which may occur during the flight cycles. Although various types of damage affect the monitored UGW signals, it is challenging to determine from the UGW signals what types of damage and at what rate of growth are occurring within the structure. UGW signals can be acquired at defined intervals and then analysed to possibly detect different types of damages, such as delamination, and to quantify the rate of damage growth over fatigue cycles. However, correlating the UGW-based Damage Indicators (DIs) with the specific type of damage, such as delamination, and damage growth is a challenging task as the relation between these DIs and the actual damage state is very complex. Therefore, in this study, a supervised Deep Neural Network-based (DNN) prediction model is proposed aiming to diagnose the delamination size of the composite structure by correlating the UGW-based DIs with the quantified time-varying delamination size. UGW data is collected through a network of permanently installed piezoelectric transducers (PZTs). The delamination size is obtained through ultrasonic C-Scan technique at defined cycles. DIs are extracted in time, frequency, and time-frequency domains and used as the input for the DNN-based regression model. Each sensor-actuator path is considered as an independent set of indicators, which are separated for training, validation, and testing purposes. The effect of the different paths on the delamination size prediction is presented along with the model performance on measured delamination growth in woven type composite sample.","Ultrasonic guided waves; Damage Indicators; piezoelectric transducers; PZT; Deep neural network; Structural health monitoring (SHM); Carbon fiber reinforced polymer (CFRP); Compression after impact; Wavelet transform (WT); Signal Processing","en","conference paper","DEStech publications, Inc.","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","","","Structural Integrity & Composites","","",""
"uuid:86f0e258-98fa-42f8-b779-8aceed685f2b","http://resolver.tudelft.nl/uuid:86f0e258-98fa-42f8-b779-8aceed685f2b","Advanced Health Monitoring of Composite Structures Through Deep Learning-Based Analysis of Lamb Wave Data for Developing Health Indicators","Moradi, M. (TU Delft Structural Integrity & Composites); Gul, F.C. (TU Delft Structural Integrity & Composites); Chiachío, Juan (Universidad de Granada; University of Granada); Benedictus, R. (TU Delft Structural Integrity & Composites); Zarouchas, D. (TU Delft Structural Integrity & Composites)","","2023","A health indicator (HI) serves as an intermediary link between structural health monitoring (SHM) data and prognostic models, and an efficient HI should meet prognostic criteria, i.e., monotonicity, trendability, and prognosability. However, designing a proper HI for composite structures is a challenging task due to the complex damage accumulation process during operational conditions. Additionally, designing a HI that is independent of historical SHM data (i.e., from the healthy state until the current state) is even more challenging as HI and remaining useful life prediction are time-dependent phenomena. A reliable SHM technique is required to extract informative time-independent data, and a powerful model is necessary to construct a proper HI from that data. The lamb wave (LW) technique is a useful SHM method that can extract such time-independent data. However, translating the LW data at each time step to the appropriate HI value
is a challenge. AI—deep learning in this case—offers significant mathematical potential to meet this difficulty. A semi-supervised learning approach is developed to train the model using the simulated ideal HIs as the targets. The model uses the current LW data, without prior or subsequent data, to output the current HI value. Prognostic criteria are then calculated using the entire HI trajectory until the end-of-life. To validate the proposed approach, aging experiments from NASA’s prognostics data repository are used, which include composite specimens subjected to a tension-tension fatigue loading and monitored using the LW technique. The LW data is first processed using the Hilbert transform, and then, upper and lower signal envelopes in two states – baseline and current time – are used to feed the deep learning model. The results confirm the effectiveness of the proposed approach according to the prognostic criteria. The effect of different triggering frequencies of the LW system on the results is also discussed in terms of the prognostic criteria.","Prognostics and health management (PHM); Intelligent health indicator; Semi-supervised learning; Tension-Tension fatigue; Composite structures; Signal Processing; machine learning (ML) algorithms; Deep learning (DL); Structural health monitoring (SHM); Guided waves","en","conference paper","DEStech publications, Inc.","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","","","Structural Integrity & Composites","","",""
"uuid:07f216f0-0375-4fa4-b8aa-7fa6aa6c701c","http://resolver.tudelft.nl/uuid:07f216f0-0375-4fa4-b8aa-7fa6aa6c701c","Quantum Network Utility Maximization","Vardoyan, G.S. (TU Delft Quantum Computer Science; TU Delft Communication QuTech; TU Delft QuTech Advanced Research Centre); Wehner, S.D.C. (TU Delft QID/Wehner Group; TU Delft Quantum Computer Science; Kavli institute of nanoscience Delft)","Muller, Hausi (editor); Alexev, Yuri (editor); Delgado, Andrea (editor); Byrd, Greg (editor)","2023","Network Utility Maximization (NUM) is a mathe-matical framework that has endowed researchers with powerful methods for designing and analyzing classical communication protocols. NUM has also enabled the development of distributed algorithms for solving the resource allocation problem, while at the same time providing certain guarantees, e.g., that of fair treatment, to the users of a network. We extend here the notion of NUM to quantum networks, and propose three quantum utility functions - each incorporating a different entanglement measure. We aim both to gain an understanding of some of the ways in which quantum users may perceive utility, as well as to explore structured and theoretically-motivated methods of simultaneously servicing multiple users in distributed quantum systems. Using our quantum NUM constructions, we develop an optimization framework for networks that use the single-photon scheme for entanglement generation, which enables us to solve the resource allocation problem while exploring rate-fidelity tradeoffs within the network topologies that we consider. We learn that two of our utility functions, which are based on distillable entanglement and secret key fraction, are in close agreement with each other and produce similar solutions to the optimization problems we study. While these two utilities place a higher emphasis on end-to-end fidelity, our third utility- based on entanglement negativity - has more favorable mathematical properties, and tends to place a higher value on the rate at which users receive entangled resources. These contrasting behaviors thus provide ideas regarding the suitability of quantum network utility definitions to different quantum applications.","entanglement distribution; network utility maximization; quantum network; resource allocation","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-30","","","Quantum Computer Science","","",""
"uuid:d097dd11-f144-4d23-9b69-2b2d89fab253","http://resolver.tudelft.nl/uuid:d097dd11-f144-4d23-9b69-2b2d89fab253","Modeling Neuronal Activity with Quantum Generative Adversarial Networks","Fonseca Hernandes, V. (TU Delft QN/Greplová Lab; Kavli institute of nanoscience Delft); Greplová, E. (TU Delft QN/Greplová Lab; Kavli institute of nanoscience Delft)","Muller, Hausi (editor); Alexev, Yuri (editor); Delgado, Andrea (editor); Byrd, Greg (editor)","2023","Understanding the information processing in neuronal networks relies on the development of computational models that accurately reproduce their activity data. Machine learning techniques have shown promising results in generating synthetic neuronal data, but interpretability remains an issue due to a large number of parameters requiring fitting. Quantum machine learning models, particularly quantum generative learning, are emerging as more compact alternatives that offer similar outcomes. This study presents an efficient framework for generating synthetic neuronal data using a Quantum Generative Adversarial Network (QGAN), with a quantum generator and a classical discriminator. We tested the proposed framework for the minimal case of two neurons, considering the case of single time-steps. Preliminary results demonstrate the QGAN's capability to achieve reliable outcomes with a reduced number of trainable parameters, scaling efficiently for increasing neuronal network sizes. The model effectively captures spiking frequencies of real data, although further refinement is required to incorporate temporal correlations for more extended time-steps. Despite certain limitations, this study lays the foundation for future advancements in using quantum adversarial generative networks to model neuronal activity. The promising potential of QGANs in this domain highlights the possibility of gaining valuable insights into the functioning of complex biological systems through quantum-inspired computational methods.","generative models; neuronal activity; quantum machine learning","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","","","QN/Greplová Lab","","",""
"uuid:157575e7-054b-4fd5-bea6-4e6ca76dfd89","http://resolver.tudelft.nl/uuid:157575e7-054b-4fd5-bea6-4e6ca76dfd89","Mapping Quantum Circuits to Modular Architectures with QUBO","Bandic, M. (TU Delft QCD/Feld Group; TU Delft QCD/Almudever Lab; TU Delft QuTech Advanced Research Centre); Prielinger, L.P. (TU Delft QID/Vardoyan Group; TU Delft QuTech Advanced Research Centre); Nublein, Jonas (Ludwig Maximilians University); Ovide, Anabel (Universitat Politécnica de Valencia); Rodrigo, Santiago (Universitat Politecnica de Catalunya); van Someren, J. (TU Delft QCD/Feld Group; TU Delft QuTech Advanced Research Centre); Vardoyan, G.S. (TU Delft Quantum Computer Science; TU Delft QuTech Advanced Research Centre); Almudever, Carmen G. (TU Delft QCD/Sebastiano Lab; Universitat Politécnica de Valencia); Feld, S. (TU Delft Quantum Circuit Architectures and Technology; TU Delft QuTech Advanced Research Centre)","Muller, Hausi (editor); Alexev, Yuri (editor); Delgado, Andrea (editor); Byrd, Greg (editor)","2023","Modular quantum computing architectures are a promising alternative to monolithic QPU (Quantum Processing Unit) designs for scaling up quantum devices. They refer to a set of interconnected QPUs or cores consisting of tightly coupled quantum bits that can communicate via quantum-coherent and classical links. In multi-core architectures, it is crucial to minimize the amount of communication between cores when executing an algorithm. Therefore, mapping a quantum circuit onto a modular architecture involves finding an optimal assignment of logical qubits (qubits in the quantum circuit) to different cores with the aim to minimize the number of expensive inter-core operations while adhering to given hardware constraints. In this paper, we propose for the first time a Quadratic Unconstrained Binary Optimization (QUBO) technique to encode the problem and the solution for both qubit allocation and inter-core communication costs in binary decision variables. To this end, the quantum circuit is split into slices, and qubit assignment is formulated as a graph partitioning problem for each circuit slice. The costly inter-core communication is reduced by penalizing inter-core qubit communications. The final solution is obtained by minimizing the overall cost across all circuit slices. To evaluate the effectiveness of our approach, we conduct a detailed analysis using a representative set of benchmarks having a high number of qubits on two different multi-core architectures. Our method showed promising results and performed exceptionally well with very dense and highly-parallelized circuits that require on average 0.78 inter-core communications per two-qubit gate.","distributed multi-core quantum computing architectures; full-stack quantum computing systems; Quadratic Unconstrained Binary Optimization (QUBO); quantum circuit mapping; quantum compilation","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","QCD/Feld Group","","",""
"uuid:8161caa9-c33f-41a8-9297-97bcadf87fd5","http://resolver.tudelft.nl/uuid:8161caa9-c33f-41a8-9297-97bcadf87fd5","qgym: A Gym for Training and Benchmarking RL-Based Quantum Compilation","Van Der Linde, Stan (TNO); De Kok, Willem (TNO); Bontekoe, Tariq (Rijksuniversiteit Groningen); Feld, S. (TU Delft Quantum Circuit Architectures and Technology; TU Delft QuTech Advanced Research Centre)","Muller, Hausi (editor); Alexev, Yuri (editor); Delgado, Andrea (editor); Byrd, Greg (editor)","2023","Compiling a quantum circuit for specific quantum hardware is a challenging task. Moreover, current quantum computers have severe hardware limitations. To make the most use of the limited resources, the compilation process should be optimized. To improve currents methods, Reinforcement Learning (RL), a technique in which an agent interacts with an environment to learn complex policies to attain a specific goal, can be used. In this work, we present qgym, a software framework derived from the OpenAI gym, together with environments that are specifically tailored towards quantum compilation. The goal of qgym is to connect the research fields of Artificial Intelligence (AI) with quantum compilation by abstracting parts of the process that are irrelevant to either domain. It can be used to train and benchmark RL agents and algorithms in highly customizable environments.","Quantum Compilation; Qubit Mapping; Qubit Routing; Reinforcement Learning; Scheduling","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Quantum Circuit Architectures and Technology","","",""
"uuid:0c77360a-a629-466d-b04c-5e6e05f51694","http://resolver.tudelft.nl/uuid:0c77360a-a629-466d-b04c-5e6e05f51694","Predictive Maintenance Planning Using Renewal Reward Processes and Probabilistic RUL Prognostics: Analyzing the Influence of Accuracy and Sharpness of Prognostics","Mitici, M.A. (Universiteit Utrecht); de Pater, I.I. (TU Delft Air Transport & Operations); Zeng, Zhiguo (CentraleSupélec - Paris-Saclay); Barros, Anne (CentraleSupélec - Paris-Saclay)","brito, mario (editor); Aven, Terje (editor); Baraldi, Piero (editor); Cepin, Marko (editor); Zio, Enrico (editor)","2023","We pose the maintenance planning for systems using probabilistic Remaining Useful Life (RUL) prognostics as a renewal reward process. Data-driven probabilistic RUL prognostics are obtained using a Convolutional Neural Network with Monte Carlo dropout. The maintenance planning model is illustrated for aircraft turbofan engines. The results show that in the initial monitoring phase, the accuracy and sharpness of the RUL prognostics is relatively small. The maintenance of the engines is therefore scheduled far in the future. As the usage of the engine increases, the accuracy of the prognostics improves, while the sharpness remains relatively small. As soon as the estimated probability of the RUL is skewed towards 0, the maintenance planning model consistently indicates it is optimal to replace the engines immediately, i.e., ""now"". This shows that probabilistic RUL prognostics support an effective maintenance planning of the engines, despite being imperfect with respect to accuracy and sharpness.","Predictive maintenance planning; Probabilistic RUL; prognostics; Aircraft engines; Renewal processes; Convolutional neural network; Monte Carlo dropout","en","conference paper","Research Publishing","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-01","","","Air Transport & Operations","","",""
"uuid:1d6082e7-eb53-428d-94af-fbe51e8fe26d","http://resolver.tudelft.nl/uuid:1d6082e7-eb53-428d-94af-fbe51e8fe26d","Constructing Health Indicators for Systems with Few Failure Instances Using Unsupervised Learning","de Pater, I.I. (TU Delft Air Transport & Operations); Mitici, M.A. (Universiteit Utrecht)","Brito, Mario P. (editor); Aven, Terje (editor); Baraldi, Piero (editor); Cepin, Marko (editor); Zio, Enrico (editor)","2023","Health indicators are crucial to assess the health of complex systems. In recent years, several studies have developed data-driven health indicators using supervised learning methods. However, due to preventive maintenance, there are often not enough failure instances to train a supervised learning model, i.e., the data is unlabelled with an unknown actual Remaining Useful Life (RUL). In this paper, we therefore propose an unsupervised learning model to construct a health indicator for an aircraft system. The considered system is operated under highly-varying operating conditions. We train a Convolutional Neural Network (CNN) to predict the sensor measurements from the operating conditions. We train this neural network solely with the sensor measurements of just-installed, non-degraded systems. The CNN therefore learns the normal range of the sensor measurements, given the operating conditions, for non-degraded systems only. For a degraded system, the predicted sensor measurements deviate from the actual sensor measurements. Based on the prediction errors, we construct a health indicator for the aircraft system. We apply this approach to develop a health indicator for the aircraft turbofan engines of dataset DS02 and DS06 of N-CMAPSS. The resulting health indicators have a high prognosability of 0.91 for DS02 and of 0.83 for DS06, a mean trendability of 0.86 for DS02 and of 0.87 for DS06, and a mean monotonicity of 0.31 for DS02 and of 0.33 for DS06, and can thus be used to make a reliable assessment of the system's health.","Health indicator; few failure instances; unsupervised learning; varying operating conditions; highfrequency data; Convolutional Neural Network","en","conference paper","Research Publishing","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","","Air Transport & Operations","","",""
"uuid:f578dde7-3b66-4fb2-8370-58a5b340c5d7","http://resolver.tudelft.nl/uuid:f578dde7-3b66-4fb2-8370-58a5b340c5d7","Optimistic Entanglement Purification in Quantum Networks","Mobayenjarihani, Mohammad (University of Massachusetts Amherst); Vardoyan, G.S. (TU Delft Quantum Computer Science; TU Delft QuTech Advanced Research Centre); Towsley, Don (University of Massachusetts Amherst)","Muller, Hausi (editor); Alexev, Yuri (editor); Delgado, Andrea (editor); Byrd, Greg (editor)","2023","Noise and photon loss encountered on quantum channels pose a major challenge for reliable entanglement generation in quantum networks. In near-term networks, heralding is required to inform endpoints of successfully generated entanglement. If after heralding, entanglement fidelity is too low, entanglement purification may be utilized to probabilistically increase fidelity. Traditionally, purification protocols proceed as follows: generate heralded EPR pairs, execute a series of quantum operations on two or more pairs between two nodes, and classically communicate results to check for success. Purification may require several rounds while qubits are stored in memories, vulnerable to decoherence. In this work, we explore notions of optimistic purification, wherein classical communication required for heralding and purification is delayed, possibly to the end of the process. Optimism reduces the overall time EPR pairs are stored in memory, increasing fidelity while possibly decreasing EPR pair rate due to decreased heralding and purification failure. We apply optimism to the entanglement pumping scheme, ground- and satellite-based EPR generation sources, and current state-of-the-art purification circuits that include several measurement and purification checkpoints. We evaluate performance in view of a number of parameters, including link length, EPR source rate and fidelity; and memory coherence time. We show that while our optimistic protocol increases fidelity, the traditional approach may even decrease fidelity for longer distances. We study the trade-off between rate and fidelity under entanglement-based QKD, and find that optimistic schemes can yield higher rates compared to non-optimistic counterparts, with most advantages seen in scenarios with low initial fidelity and short coherence times.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-30","","","Quantum Computer Science","","",""
"uuid:9ed6d0b4-95ba-45dc-96be-4280caabedde","http://resolver.tudelft.nl/uuid:9ed6d0b4-95ba-45dc-96be-4280caabedde","Design and construction of the ReciPlyDome, a lightweight modular reciprocal dome","Leemans, Ellen (Vrije Universiteit Brussel); Soliman, Ahmed (Vrije Universiteit Brussel); Brancart, S. (TU Delft Architectural Technology); De Temmerman, Niels (Vrije Universiteit Brussel); De Laet, Lars (Vrije Universiteit Brussel)","Xie, Yi Min (editor); Burry, Jane (editor); Lee, Ting-Uei (editor); Ma, Jiaming (editor)","2023","In the event sector, where there is a search for architectural constructions with an innovative morphology, reuse is key to strive towards more sustainable events. Designing modular structures and detailing them for easy disassembly and re-assembly is an ideal way to encourage and facilitate reuse. This way a longer lifespan is assured for the used components. However, temporary (event) structures are often hard to assemble, which can compromise their reusability. The difficulties of assembly are usually induced by the morphology of the modules or by using certain types of connections. Therefore these structures require optimization in terms of assembly while remaining resource efficient. The main objective of this research is to reimagine a developed structure, the ReciPlyDome, and optimize it in terms of assembly. The ReciPlyDome is a reciprocal dome structure based on a rhombic triacontahedron, whereby all elements are identical (except for the five elements that touch the ground). During the assembly phase of the first version of the ReciPlyDome, torsion in the components appeared to hinder efficient construction. To eliminate this, the dome was reviewed, which led to the development of a new connection system and an improved shape for the beams. A new full-scale version of the dome has been built, showing the positive effect of the improved connection system and the optimised beam position. In-situ measurements were made after construction, illustrating good correspondence between the digital and built model. Further research will focus on the covering of this modular reciprocal dome for outdoor use.","","en","conference paper","IASS","","","","","","","","","","Architectural Technology","","",""
"uuid:489c66f3-dc1e-4140-89c3-cbe28a5fa04c","http://resolver.tudelft.nl/uuid:489c66f3-dc1e-4140-89c3-cbe28a5fa04c","A Multivariate Poisson Model with Exible Dependence Structure","Li, S. (TU Delft Statistics)","Brito, Mario P. (editor); Aven, Terje (editor); Baraldi, Piero (editor); Cepin, Marko (editor); Zio, Enrico (editor)","2023","Multivariate distributions are indispensable tools for modeling complex data structures with multiple dependent variables. Despite extensive research on discrete multivariate distributions, the multivariate Poisson distribution remains inadequately defined. However, multivariate Poisson counts are not rare and have gained considerable attention in scientific fields such as reliability engineering. Accurately specifying the dependence structure presents a significant challenge in analyzing such data. Although several methods have been proposed in the literature to address this issue, they have limitations in satisfying all feasible correlations. Currently, there is an outstanding question regarding the development of a multivariate Poisson model that is easily interpretable and effectively handles dependent Poisson counts.
In this study, we present a novel multivariate Poisson model that leverages multivariate reduction techniques (MRT) to enable greater flexibility in the dependence structure, particularly for negative correlations, than classical constructions. Our proposed model generalizes existing MRT-based methods by having the same parameters when some of our model's parameters are preset. We demonstrate the feasible regions of correlations and show that our model overcomes the limitations of previous methods, making it ideal for analyzing multivariate Poisson counts. Furthermore, we establish several probabilistic properties, including the probability mass function, the probability-generating function, and the Pearson correlation coefficient. We also provide a detailed discussion of maximum likelihood estimation and an algorithm for generating multivariate Poisson random variables. Our model's superiority is demonstrated through simulations and a real-world example.","","en","conference paper","Research Publishing","","","","","","","","","","Statistics","","",""
"uuid:6c4db922-50be-4ccf-90e8-4c222f14e848","http://resolver.tudelft.nl/uuid:6c4db922-50be-4ccf-90e8-4c222f14e848","Topology Optimization of Fluidic Pressure-Driven Multi-Material Compliant Mechanisms","Kumar, Prabhat (Indian Institute of Technology Hyderabad); Pinskier, Josh (CSIRO: Commonwealth Scientific and Industrial Research); Howard, David (CSIRO: Commonwealth Scientific and Industrial Research); Langelaar, Matthijs (TU Delft Computational Design and Mechanics)","","2023","Compliant mechanisms actuated by pneumatic loads are receiving increasing attention due to their direct applicability as soft robots that perform tasks using their flexible bodies. Using multiple materials to build them can further improve their performance and efficiency. Due to developments in additive manufacturing, the fabrication of multi-material soft robots is becoming a real possibility. To exploit this opportunity, there is a need for a dedicated design approach. This paper offers a systematic approach to developing such mechanisms using topology optimization. The extended SIMP scheme is employed for multi-material modeling. The design-dependent nature of the pressure load is modeled using the Darcy law with a volumetric drainage term. Flow coefficient of each element is interpolated using a smoothed Heaviside function. The obtained pressure field is converted to consistent nodal loads. The adjoint-variable approach is employed to determine the sensitivities. A robust formulation is employed, wherein a min-max optimization problem is formulated using the output displacements of the eroded and blueprint designs. Volume constraints are applied to the blueprint design, whereas the strain energy constraint is formulated with respect to the eroded design. The efficacy and success of the approach are demonstrated by designing pneumatically actuated multi-material gripper and contractor mechanisms. A numerical study confirms that multiple-material mechanisms perform relatively better than their single-material counterparts.","Design-dependent load; Multi-material; Pneumatic actuators; Pneumatic-driven compliant mechanisms; Topology optimization","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-21","","","Computational Design and Mechanics","","",""
"uuid:de02125e-2e2c-4942-8273-5d9801f2c070","http://resolver.tudelft.nl/uuid:de02125e-2e2c-4942-8273-5d9801f2c070","Investigating Transparency Needs for Supervising Unmanned Air Traffic Management Systems","Zou, Y. (TU Delft Control & Simulation); Borst, C. (TU Delft Control & Simulation)","","2023","To facilitate a smooth integration of drones into the current Air Traffic Management (ATM) system, Unmanned Air Traffic Management (UTM) systems, services and protocols are currently under development. Unlike current ATM, UTM will rely on high levels of automation. This is potentially problematic, because 100% safe and reliable automation under all circumstances cannot be guaranteed. UTM therefore warrants human supervision and interaction, especially at small airports near urban areas where drone traffic may cross the arrival and departure routes of manned air traffic. Supervision, however, requires some form of transparency for humans to understand the limitations and the behavior of an automated system (e.g., what is it currently doing, what it is planning to do next, and why?). Previous research underlined the importance of UTM transparency, but also indicated that it remains unclear what type of human operator will eventually supervise the UTM system. The background, training and expertise of a human operator may impact the transparency needs and what information needs to be communicated and when. In this paper, the results of a questionnaire-based user study are presented in which information needs were collected from twelve operational Air Traffic Controllers and twelve drone operators and engineers. Results indicate that transparency is deemed imperative for UTM and that information elements categorized as ‘operational transparency’ are typically preferable over ‘engineering transparency’ elements, regardless of operator group. Surprisingly, we found no significant difference in transparency needs between controllers and drone operators, suggesting that a ‘one-size-fits-all’ transparency solution for UTM would be possible.","Unmanned Air Traffic Management; Transparency Needs; Operational Transparency; Engineering Transparency; User Study; Air Traffic Controllers; Drone Operators","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:ddbb29f4-a052-49f1-8e44-f7d0c5252ea8","http://resolver.tudelft.nl/uuid:ddbb29f4-a052-49f1-8e44-f7d0c5252ea8","DipSAR: Deep Image Prior for Sparse Sampled Near-Field SAR Millimeter-Wave Imaging","Assabumrungrat, Rawin (Tohoku University); Kumchaiseemak, N. (TU Delft Microwave Sensing, Signals & Systems; Vidyasirimedhi Institute of Science and Technology); Wang, J. (TU Delft Microwave Sensing, Signals & Systems); Wang, D. (TU Delft Microwave Sensing, Signals & Systems); Punpeng, Phoom (Ruamrudee International School); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Wilaiprasitporn, Theerawit (Vidyasirimedhi Institute of Science and Technology)","","2023","We present a deep learning-based approach called DipSAR for reconstructing millimeter-wave synthetic aperture radar (SAR) images from sparse samples. The primary challenge lies in the requirement of a large training dataset for deep learning schemes. To overcome this issue, we employ the deep image prior (DIP) technique, which eliminates the need for a large dataset and instead utilizes only the sparse sample itself. Our proposed DipSAR model recovers missing samples from sparse data and reconstructs the SAR image using a conventional method. In this study, we utilize an existing SAR dataset and create fourteen different patterns to generate additional sparse samples by removing certain data points. We then evaluate the performance of DipSAR in comparison to the conventional method. The results show that DipSAR outperforms the conventional method in terms of the intersection over union (IoU) score.","deep image prior; millimeter-wave; near-field imaging; sparse data; synthetic aperture radar","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","","Microwave Sensing, Signals & Systems","","",""
"uuid:7731fa95-0990-4314-9392-b967ce26dffa","http://resolver.tudelft.nl/uuid:7731fa95-0990-4314-9392-b967ce26dffa","Low-Depth Flag-Style Syndrome Extraction for Small Quantum Error-Correction Codes","Bhatnagar, Dhruv (Student TU Delft); Steinberg, M.A. (TU Delft QCD/Feld Group); Elkouss Coronas, D. (OIST Graduate University); Almudever, Carmen (Technical University of Valencia); Feld, S. (TU Delft Quantum Circuit Architectures and Technology)","Muller, Hausi (editor); Alexev, Yuri (editor); Delgado, Andrea (editor); Byrd, Greg (editor)","2023","Flag-style fault-tolerance has become a linchpin in the realization of small fault-tolerant quantum-error correction experiments. The flag protocol's utility hinges on low qubit over-head, which is typically much smaller than in other approaches. However, as in most fault-tolerance protocols, the advantages of flag-style error correction come with a tradeoff: fault tolerance can be guaranteed, but such protocols involve high-depth circuits, due to the need for repeated stabilizer measurements. Here, we demonstrate that a dynamic choice of stabilizer measurements, based on past syndromes, and the utilization of elements from the full stabilizer group, leads to flag protocols with lower-depth syndrome-extraction circuits for the [[5], [1], [3]] code, as well as for the Steane code when compared to the standard methods in flag fault tolerance. We methodically prove that our new protocols yield fault-tolerant lookup tables, and demonstrate them with a pseudothreshold simulation, showcasing large improvements for all protocols when compared to previously-established methods. This work opens the dialogue on exploiting the properties of the full stabilizer group for reducing circuit overhead in fault-tolerant quantum-error correction.","quantum-error correction; stabilizer codes; flag fault tolerance; syndrome extraction; quantum computing","en","conference paper","IEEE","","","","","","","","","","QCD/Feld Group","","",""
"uuid:0b313f81-588a-4602-bf5e-53fea0125958","http://resolver.tudelft.nl/uuid:0b313f81-588a-4602-bf5e-53fea0125958","Thin Glass Composites with 3D Printed Polymer Cores","Pfarr, Daniel (Technische Universität Dresden); Louter, P.C. (TU Delft Applied Mechanics)","","2023","Thin glass is currently mainly used for displays on electronic devices, but it also offers interesting characteristics for architectural applications. Due to its high strength and small thickness the glass can easily be bent in architecturally
appealing curvatures, while the small glass thickness (≤ 2 mm) offers a significant weight reduction compared to traditional window glazing. Research at TU Delft and TU Dresden focuses on exploiting these beneficial characteristics
for the creation of lightweight composite façade panels. More specifically, composite panels are developed that consist of thin glass outer facings which are adhesively bonded to an inner stiffening 3D-printed open-cell polymer core.
Besides the benefits of high strength, high stiffness and low weight, the composite panels also offer the potential to influence daylight entry through customisation of the 3D-printed core pattern. The current contribution highlights the current state of the research activities and describes the concept of the thin glass composite panels, their constituent components and the related digital fabrication process.","","en","conference paper","","","","","","","","","","","Applied Mechanics","","",""
"uuid:02e2754c-f2fb-4f7d-8f18-caf2f129a147","http://resolver.tudelft.nl/uuid:02e2754c-f2fb-4f7d-8f18-caf2f129a147","Themes for an airport hub in the transition towards a multimodal transport hub – an embedded researcher’s perspective","Toet, A.S. (TU Delft Marketing and Consumer Research); van Kuijk, J.I. (TU Delft Applied Ergonomics and Design); Boersma, K. (Major European Airport Hub); Santema, S.C. (TU Delft Marketing and Consumer Research)","","2023","Future mobility systems will likely incorporate more multimodal journeys. These multimodal journeys integrate multiple modes of transport, and their higher future prevalence highlights the importance of paying attention to the modality transfers within journeys. To carefully facilitate these transfers, we advocate the creation of passenger-oriented Multimodal Transport Hubs (MTHs), which integrate both infrastructure and services of multiple travel modalities to ensure high-quality transfers between the different modes of transport. This study is part of a research project investigating how Airport Hubs can transform into MTHs and aims to learn in practice how the case study FlyHub deals with new travel modalities and how FlyHub integrates these into its ecosystem. Through the presence of an embedded researcher in the case study context, performing the explorative pre-step of the Action Research approach, the study builds an understanding of the context and the rationale for possible succeeding cycles of action and research. Accordingly, we identified five themes that either stimulate or thwart the transition of FlyHub into an MTH. The five themes are 1) recognition of the importance of innovation and long-term outlook, 2) limited exploration possibilities, 3) the MTH concept being (too) abstract, 4) multi-system transition going slow, and 5) changes and transitions being a struggle for power.","mobility; multimodal; airport; transition","en","conference paper","","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:7e622fef-a544-48da-97e5-cc9826fb8a09","http://resolver.tudelft.nl/uuid:7e622fef-a544-48da-97e5-cc9826fb8a09","Caracas, Departure City: Ethnography of Caretaking","Gzyl, Stefan (TU Delft Theory, Territories & Transitions)","Cavallo, Roberto (editor); Alkan, Alper Semih (editor); Kuijper, Joran (editor)","2023","The deterioration of living conditions in Venezuela has triggered a
migratory crisis of unprecedented proportions. More than 20% of
Venezuelans have fled the country since 2014. While the refugee crisis
and the emergent diaspora have been the focus of aid and research, the
local impact of emigration remains largely unexplored. Locally,
emigration manifests itself as an ever-growing and distinct vacancy. This
vacancy is managed through relational, interdependent, and dynamic
practices of caretaking that transform spaces and social life, implicating
local actors in migration processes.
The research project examines caretaking in its reproductive and
creative role with regards to belonging, citizenship, and the city in a
context of departure. As emerging practices create new architectural and
urban conditions, space becomes a vehicle for observing cultural
transformations, economies, forms of solidarity, and activism that
connect migrant and non-migrant actors in novel ways.","depature city; emigration; architectural ethnography","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:e0bd617c-7428-4d06-a726-4c90ff557ead","http://resolver.tudelft.nl/uuid:e0bd617c-7428-4d06-a726-4c90ff557ead","The effect of wave obliquity on dune erosion: A field experiment","van Wiechen, P.P.J. (TU Delft Coastal Engineering); Rutten, J. (TU Delft Environmental Fluid Mechanics); Tissier, M.F.S. (TU Delft Environmental Fluid Mechanics); de Vries, S. (TU Delft Coastal Engineering); Mieras, Ryan (University of North Carolina Wilmington); Anarde, Katherine (North Carolina State University); Aarninkhof, S.G.J. (TU Delft Civil Engineering & Geosciences)","Cox, Dan (editor)","2023","Storm conditions can lead to excessive dune erosion with potential floods as a consequence. Barrier islands and low-lying countries protected by dunes are especially vulnerable to dune erosion. To properly assess the risks these areas face, a clear understanding of the physical processes during dune erosion is required. One of such processes is the effect of wave obliquity on sediment transport in the surf zone. Classic dune erosion models assume that dune erosion volumes decrease under oblique wave attack, because the time-averaged cross-shore undertow decreases in magnitude and with that offshore directed sediment transport decreases (Steetzel, 1993). More recent process-based erosion models predict an increase in erosion quantities, because the generated longshore currents increase surf zone sediment concentrations, and with that offshore directed sediment transport increases (Den Heijer, 2013). The main objective of this study is to analyse the effect of wave obliquity on dune erosion through a field experiment, by quantifying the effect of the decreasing undertow but increasing alongshore current on sediment concentrations in the surf zone.","","en","conference paper","Coastal Engineering Research Council","","","","","","","","Civil Engineering & Geosciences","","Coastal Engineering","","",""
"uuid:c51d2c9e-0fac-4d9d-a361-36d7ec6314d2","http://resolver.tudelft.nl/uuid:c51d2c9e-0fac-4d9d-a361-36d7ec6314d2","A conceptual framework of corporate entrepreneurship and management control","Lang, Christina (University of Applied Sciences Konstanz); Kugler, Alexia (University of Applied Sciences Konstanz); Ortt, J.R. (TU Delft Economics of Technology and Innovation); Baltes, Guido H. (University of Applied Sciences Konstanz)","","2023","Research credits corporate entrepreneurship (CE) with enabling established companies to create new types of innovation. Scholars have focused on the organizational design of CE activities, proposing specific organizational units. These semi-autonomous units create a tense management situation between the core organization and its CE activities. Management and organization research considers control as a key managerial function for help. However, control has received limited research attention regarding CE units, leaving design issues for appropriate control of CE units unanswered. In this study, we link management control and CE to illustrate how control is understood in the context of CE. For this, we scanned the CE literature to identify underlying attributes and characteristics that allow specifying control for CE. We identified 11 attributes to describe control for CE activities in a first round and to derive future research paths.","control; corporate entrepreneurship; corporate venturing; literature review","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Economics of Technology and Innovation","","",""
"uuid:7fe1a123-6ca1-462c-b9f6-93b77d84245e","http://resolver.tudelft.nl/uuid:7fe1a123-6ca1-462c-b9f6-93b77d84245e","Introducing Tolerance: Strategies for Operating on the ‘As Found’ in Self-Built Settlements of Caracas","Rodriguez Alfonzo, Josymar (University of Hasselt); Gzyl, Stefan (TU Delft Theory, Territories & Transitions)","Augustiniok, Nadin (editor)","2023","In Venezuela, the term ‘rancho’ designates dwellings built by the urban poor. The word connotes a precarious structure and the crudest material expression of poverty. However, ranchos are the result of adaptation to changing needs and networks of cooperation. Strategies developed around material scarcity and gradual change are relevant to critical discourses on adaptive reuse, as they show the value of affective infrastructures and fl exible planning. Through a case study, this paper will describe project strategies for operating on the ‘as found’ in the self-built settlements of Caracas, pointing to other ways to engage with preservation and re-use strategies and consolidating local community values. Case study: Incursiones is an architecture practice based in Caracas, Venezuela. Between 2018 and 2021 the studio collaborated with a local NGO in the design of six community kitchens in some of Caracas’ poorest neighborhoods. The precarious conditions under which these spaces were developed, including budget, time, material resources, technical expertise, and accessibility, demanded a fundamental reorganization of the architectural project as a territory of professional expertise and creative experimentation. “Introducing tolerance” became a conceptual strategy to explore and harness the possibilities of scarcity at three levels. First, the project inverted the length of conventional phases, extending conceptual stages to engage with fl uid conditions while reducing fi nal project phases. Projects were in fl ux until the last minute, incorporating collective knowledge and responding to the availability of expertise and materials. Second, tolerance was interpreted spatially as a ‘slack’ between new and existing construction. Intentional misalignments, overlaps, non-fi tting details, and contrasts responded to a need to negotiate with the ‘as found’ on multiple levels, from imprecise construction to lack of coordination between teams. Finally, the projects relied on communities’ affective infrastructures from design to construction. The ‘as found’ is underpinned by networks that make everyday life possible. Articulating these around concrete problems and tasks (from storing materials to feeding and housing construction crews) created a sense of ownership and belonging over the space. Contribution: By restructuring standard professional procedures, giving physical expression to limitations, and building upon intangible affective values, these projects introduce design methodologies relevant beyond the case study, particularly around issues of resource scarcity. In this sense, strategies that conceptually draw from development and behavioral economics can expand the literature and interdisciplinarity of adaptive reuse. Finally, as experimental spatial intervention strategies operating in fringe contexts dismissed by mainstream architectural values, these projects extend the range of the ‘as found’ and highlight relational notions of heritage value.","tolerance; as found; self-built settlements; caracas; venezuela; conceptual strategy","en","conference paper","Hasselt University","","","","","The organization of this international colloquium was made possible through the generous fi nancial support of the DIOS Incentive Fund (UHasselt), the Doctoral School of Behavioral Sciences and Humanities (UHasselt), and the Research Foundation Flanders (FWO), as well as the invaluable practical assistance provided by the Faculty of Architecture and Arts of UHasselt and the Flanders Architecture Institute. Our heartfelt appreciation goes out to all our esteemed colleagues whose dedicated efforts contributed to the seamless execution of this event. This colloquium is organized as an extension of the exhibition As Found: Experiments in Preservation by the Flanders Architecture Institute. Curated by Sofie De Caigny, Hulya Ertas and Bie Plevoets, the exhibition is on show at De Singel, Antwerp, from 6 September 2023 to 17 March 2024. The exhibition is accompanied by a catalogue, available in English (ISBN: 9789492567321) and Dutch (ISBN: 9789492567338).","","","","","Theory, Territories & Transitions","","",""
"uuid:541ede3f-c61e-4bd7-9403-99d828ff024d","http://resolver.tudelft.nl/uuid:541ede3f-c61e-4bd7-9403-99d828ff024d","Architects’ Methodology in Adaptive Reuse of Heritage Buildings","Arfa, F. (TU Delft Heritage & Architecture); Quist, W.J. (TU Delft Heritage & Architecture); Lubelli, B. (TU Delft Heritage & Architecture); Zijlstra, H. (TU Delft Heritage & Architecture)","Augustiniok, Nadin (editor)","2023","Adaptive reuse (AR) of heritage buildings is common practice in The Netherlands and is becoming more and more common at the International level. While AR projects are generally considered positive actions towards preserving the qualities of heritage buildings, not all projects have similar (positive) impact. To propose a methodology for dealing with the AR of heritage buildings aiming for positive impact, the AR process has been studied. After a comprehensive systematic literature review, a theoretical model representing the steps of the AR process has been sketched (fig.1). This model depicts the ideal steps of architects in dealing with heritage buildings. To check whether these steps are actually followed, two effective AR projects, winners of the NRP golden phoenix prize, were studied namely ‘LocHal’ in Tilburg (fig.2) and ‘Energiehuis’ in Dordrecht (fig.3). During the research, the cases were visited, the published literature on the cases was reviewed, and architects and other stakeholders involved were interviewed. The interviews were based on the steps of the theoretical model but the model was not shown to the architects till the end of the interview. Finally feedback was asked from the architects if the model represented their actual steps and where they diverged. The analysis of the collected data confirmed that architects followed the steps reported in figure 1. However, the process was reported to be not linear, as suggested in the model, but to include several loops between some of the steps, mainly between steps 1, 2 , 3, 4, 5, and 6 (fig.4). Next to refining of the AR model, investigation of the case studies led to the identification of tools and methods used by architects, which have possibly positively influenced the effectiveness (positive impact) of the final result. Both case studies distinguished themselves, according to the NRP jury reports, because of their high ‘social value creation’. Involving end-users, other producers, and original users in different steps of the AR process has been identified as the main method used by the architects contributing to this positive impact.","heritage buildings; adaptive reuse; methodology; dordrecht; ar process; theoretical model","en","conference paper","Hasselt University","","","","","The organization of this international colloquium was made possible through the generous fi nancial support of the DIOS Incentive Fund (UHasselt), the Doctoral School of Behavioral Sciences and Humanities (UHasselt), and the Research Foundation Flanders (FWO), as well as the invaluable practical assistance provided by the Faculty of Architecture and Arts of UHasselt and the Flanders Architecture Institute. Our heartfelt appreciation goes out to all our esteemed colleagues whose dedicated efforts contributed to the seamless execution of this event. This colloquium is organized as an extension of the exhibition As Found: Experiments in Preservation by the Flanders Architecture Institute. Curated by Sofi e De Caigny, Hulya Ertas and Bie Plevoets, the exhibition is on show at De Singel, Antwerp, from 6 September 2023 to 17 March 2024. The exhibition is accompanied by a catalogue, available in English (ISBN: 9789492567321) and Dutch (ISBN: 9789492567338).","","","","","Heritage & Architecture","","",""
"uuid:7f0b0f91-5b2c-4a76-8941-04cc70b622e5","http://resolver.tudelft.nl/uuid:7f0b0f91-5b2c-4a76-8941-04cc70b622e5","Het Landelijk Reizigersonderzoek als bron voor brede welvaartsaspecten","Taale, Henk (TU Delft Transport and Planning; Rijkswaterstaat); Olde Kalter, Marie-José (Ministry of Infrastructure and Water Management; Goudappel)","","2023","Het wordt steeds belangrijker om vanuit een breder perspectief naar mobiliteits-vraagstukken te kijken. Dit houdt in dat we niet alleen kijken naar de impact van beleidsmaatregelen op bijvoorbeeld het fileprobleem, maar een goede afweging moeten maken tussen maatregelen aan de hand van de effecten op alle vier dimensies die in het kader van brede welvaart genoemd worden: bereikbaarheid, leefbaarheid, veiligheid en gezondheid. Daarbij is het ook van belang om zowel de effecten op de korte, middellange en lange termijn in ogenschouw te nemen én te kijken naar zogenaamde verdelingseffecten. Dit laatste heeft betrekking op de groepen die wel of niet profiteren van bepaalde beleidsmaatregelen.
In deze paper is gekeken naar deze verdelingseffecten met behulp van data uit het Landelijk Reizigersonderzoek van het Ministerie van Infrastructuur en Waterstaat. Dit onderzoek wordt sinds 2019 jaarlijks uitgevoerd onder een representatieve steekproef van de Nederlandse bevolking van 18 jaar en ouder. De grootte van de steekproef (N=10.000 tot 12.000) maakt het mogelijk om diverse uitsplitsingen naar achtergrondkenmerken te onderzoeken. Voor bereikbaarheid hebben we ingezoomd op de subjectieve bereikbaarheid (hoe denken mensen zelf over de bereikbaarheid van voorzieningen), voor leefbaarheid op het parkeren bij de woon- en werklocatie, voor veiligheid op de invloed op de vervoerwijzekeuze van de ervaren veiligheid op de fietsroute van en naar het werk en voor gezondheid op de beweegnorm in het woon-werkverkeer. Bij elke indicator zijn uitsplitsingen gemaakt naar leeftijd, opleidingsniveau en stedelijkheid van de woonlocatie. We vinden voor alle indicatoren significante verschillen op deze kenmerken. Zo beoordelen ouderen de bereikbaarheid van voorzieningen hoger dan jongeren en voldoen werknemers die wonen in zeer sterk stedelijke gebieden en gebruik maken van actieve vervoerwijzen voor het woon-werkverkeer vaker aan de beweegnorm in vergelijking met inwoners van minder sterk stedelijke gebieden. Deze analyses en de gevonden verschillen tonen het belang van het meenemen van verdelingseffecten in de afweging van beleidsmaatregelen aan. Ook laten de analyses zien dat instrumenten zoals het landelijk reizigersonderzoek geschikt zijn om deze effecten in kaart te brengen. Wel zijn nadere analyses nodig om de gevonden verschillen beter te kunnen duiden en verklaren.
Sites are found, stories to be told. The paper offers three episodes of fieldwork, that each touches upon a specific feature of the as-found as architectural discourse. ‘Spolia’ introduces the collector and the practice of re-purposing and re-use: to transplant existing pieces into new structures. ‘Bricolage’ offers architecture as a science of the concrete and specific; to make do, and use what is at hand. ‘Gleaning’ concerns acts of reading and reaping; the glaneurs harvest the field by collecting left-overs. In three episodes the paper explores an architecture that acts upon the existing and grows out of the specifics of a place and time. The paper is a collaboration between practicing architects and an anthropologist, and is inspired by practical needs and academic reflection.","architecture; bricolage; gleaning; glaneurs and glaneuses; anthropology; urban architecture","en","conference paper","Hasselt University","","","","","The organization of this international colloquium was made possible through the generous fi nancial support of the DIOS Incentive Fund (UHasselt), the Doctoral School of Behavioral Sciences and Humanities (UHasselt), and the Research Foundation Flanders (FWO), as well as the invaluable practical assistance provided by the Faculty of Architecture and Arts of UHasselt and the Flanders Architecture Institute. Our heartfelt appreciation goes out to all our esteemed colleagues whose dedicated efforts contributed to the seamless execution of this event. This colloquium is organized as an extension of the exhibition As Found: Experiments in Preservation by the Flanders Architecture Institute. Curated by Sofie De Caigny, Hulya Ertas and Bie Plevoets, the exhibition is on show at De Singel, Antwerp, from 6 September 2023 to 17 March 2024. The exhibition is accompanied by a catalogue, available in English (ISBN: 9789492567321) and Dutch (ISBN: 9789492567338).","","","","","Situated Architecture","","",""
"uuid:81417ab2-375f-43f0-9b1f-dd88f31e5c8b","http://resolver.tudelft.nl/uuid:81417ab2-375f-43f0-9b1f-dd88f31e5c8b","NIT Urban Heritage Lab: A Multi-Disciplinary Platform for Teaching Transformation and Reuse of Water Heritage","Özçakır, Özgün (Middle East Technical University); Arslan, Aysel (Netherlands Institute in Turkey); Gerritsen, Fokke (Netherlands Institute in Turkey; Universiteit Leiden); Verhoeven, Mariëtte (Radboud Universiteit Nijmegen); Verschuure, G.A (TU Delft Landscape Architecture)","Augustiniok, Nadin (editor)","2023","Throughout history, fresh water supply has been an issue of political, social, economic, and cultural importance to cities. Istanbul and its hinterland have a rich water heritage of more than 1,500 years. This includes dams, tunnels, aqueducts, distribution stations, water towers, reservoirs, fountains, and baths, as well as the social and cultural traditions that go with them. Many of these remains are protected by cultural heritage regulations but also suffer from neglect, uncontrolled urban development, and deliberate destruction. At present, planners, policymakers, and the public are insufficiently aware of the value and potential of this heritage. The Netherlands Institute in Turkey (NIT) Urban Heritage Lab was set up in 2021 to address urban sustainability challenges through heritage-focused education programs. It operates from the basic notion that contemporary urban issues require (conservation) architects, planners and heritage professionals that are trained in multi-disciplinary approaches. To address the challenges and possibilities for the transformation and reuse of water heritage from a multi-disciplinary perspective, the Urban Heritage Lab offered a post-graduate course in the autumn of 2022. The course took place partly online (lectures and discussions), partly on-site in Istanbul (field trips, study groups, and workshops), and was open to early-career professionals and graduate students of any discipline from higher education institutions in the Netherlands and Türkiye. We will show that our course ‘Water Heritage for Sustainable Cities’ explored water heritage by approaching it as a complex network of material and immaterial remains - not as isolated historical relics - in a modern urban setting. With a focus on Istanbul’s water heritage and discussing case studies from elsewhere, the course participants investigated how water heritage can be employed to raise awareness of worldwide historical and contemporary water issues. In this way, the course relied on constructive and inquiry-based pedagogical approaches as the participants developed group projects to enable the community to re-valorise Istanbul’s water heritage through its transformation and reuse. Scholars and experts from the Netherlands and Türkiye (two countries with a rich history in water-related developments) contributed with presentations and discussions of historical and contemporary water-related topics. The course participants investigated the material and immaterial history and aspects of the ancient Valens Aqueduct (Bozdogan Kemeri) in today’s Istanbul, as an example of a water heritage object that underwent many transformations over the centuries and lost its original function. To deal with the challenges of studying water heritage in education, the course introduced “landscape biography” as a methodology for understanding heritage places with multiple narratives and layers. In this presentation, we will discuss the potential, limitations, and outcomes of the course by assessing the proposals for action that the participants developed in a multi-disciplinary design studio which utilized research-by-design as a tool to stimulate social and active learning, thereby focusing on sustainable development and/or increasing public awareness of contemporary and future water issues.","valens aquaduct; istanbul; turkiye; sustainable city; urban heritage; water heritage","en","conference paper","Hasselt University","","","","","The organization of this international colloquium was made possible through the generous fi nancial support of the DIOS Incentive Fund (UHasselt), the Doctoral School of Behavioral Sciences and Humanities (UHasselt), and the Research Foundation Flanders (FWO), as well as the invaluable practical assistance provided by the Faculty of Architecture and Arts of UHasselt and the Flanders Architecture Institute. Our heartfelt appreciation goes out to all our esteemed colleagues whose dedicated efforts contributed to the seamless execution of this event. This colloquium is organized as an extension of the exhibition As Found: Experiments in Preservation by the Flanders Architecture Institute. Curated by Sofie De Caigny, Hulya Ertas and Bie Plevoets, the exhibition is on show at De Singel, Antwerp, from 6 September 2023 to 17 March 2024. The exhibition is accompanied by a catalogue, available in English (ISBN: 9789492567321) and Dutch (ISBN: 9789492567338).","","","","","Landscape Architecture","","",""
"uuid:2f6fa185-e68b-4657-86ba-012e3d3058d2","http://resolver.tudelft.nl/uuid:2f6fa185-e68b-4657-86ba-012e3d3058d2","Quantifying the Impact of Automated Vehicles on Traffic","Sigl, Martin (BMW Group); Prins, Binnert (BMW Group); Schutz, Christoph (BMW Group); Wagner, Sebastian (BMW Group); Schulte, F. (TU Delft Transport Engineering and Logistics); Watzenig, Daniel (Graz University of Technology; Virtual Vehicle Research GmbH)","","2023","One of the major challenges in the development of Automated Driving is its assessment. It is expected that Automated Vehicles behave differently than human drivers. Therefore, mixed human-robot traffic will yield different and new driving situations as human-only traffic. It is important to know how this mixed traffic will change the composition of traffic situations to be able to quantify the impact Automated Vehicles will have on everyday traffic. This paper presents a methodology on how to find metrics that quantify traffic in order to detect changes in the traffic space that will come with the introduction of Automated Vehicles. Additionally, this methodology provides tools to help with the validation of virtual testing platforms such as simulation.","Automated Driving; Autonomous Vehicles; Impact Analysis","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-29","","","Transport Engineering and Logistics","","",""
"uuid:b12fc606-eec1-46dd-af3c-7fb321508755","http://resolver.tudelft.nl/uuid:b12fc606-eec1-46dd-af3c-7fb321508755","Design of a Soft Underwater Gripper With SMA Actuation","Jovanova, J. (TU Delft Transport Engineering and Logistics); Var, S.C.S. (Student TU Delft)","","2023","Underwater robot tasks pose many challenges for conventional robotic systems. The current rigid robots are limited in their adaptability to the environment and the objects to be manipulated. Soft grasping of objects offers advantages due to the flexibility when dealing with, for example, living organisms, random shaped objects, and coral reefs. Additionally, conventional robotic systems face difficulties when exploring the planet's deep waters due to higher pressures and susceptibility of the often large amount of electronics to underwater conditions. Smart materials such as shape memory alloys can be more advantageous for the actuation of soft robotic underwater grippers because there is less need for complex electronic systems. Therefore, this project explores the use of smart materials for the actuation of a soft robotic underwater gripper. With this aim, three different gripper designs were made and evaluated. Various flexible materials, smart materials, 3D printing settings, numerous gripper configurations, and manufacturing methods are investigated. The gripper is intended to be a maintenance and inspection add-on for an underwater autonomous vehicle.","3D printing; compliant mechanisms; shape memory alloys; smart materials; Soft robotics","en","conference paper","American Society of Mechanical Engineers","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-27","","","Transport Engineering and Logistics","","",""
"uuid:9579553c-9c09-40e5-82ce-18bdc4c3bac4","http://resolver.tudelft.nl/uuid:9579553c-9c09-40e5-82ce-18bdc4c3bac4","Bioinspired Compliant Limbs For Robust Landing Of Free-Flying Robots","R Ramirez, J.P. (TU Delft Control & Simulation); Bredenbeck, A. (TU Delft Control & Simulation); Hamaza, S. (TU Delft Control & Simulation)","","2023","Traditional landing gear consists of rigid linkages with dampers. They require a flat surface to function. In unstructured environments such as lunar craters or the martian polar region, these conditions are not always met. In this work, we equip a conventional quadrotor with four continuously deformable passive landing limbs with a logarithmic spiral geometry. By choosing the right geometric design as well as tension on the tendon running through the length of the limbs, we ensure that the limbs support the overall weight while passively complying to the environment. Hence, no active control during the landing process is needed in order to adapt to irregular ground. In a set of experiments, these compliant limbs showcase their ability to adjust to uneven landing terrain while maintaining the horizontal attitude of the base vehicle. Overall, this work highlights the future potential to access more challenging environments, leveraging physical compliance for robust landings.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:b5129470-fba8-40c1-88dc-28f45ac6d1de","http://resolver.tudelft.nl/uuid:b5129470-fba8-40c1-88dc-28f45ac6d1de","Paving the Way Towards Zero-Emission and Robust Inland Shipping","Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Pruyn, J.F.J. (TU Delft Ship Design, Production and Operations); Atasoy, B. (TU Delft Transport Engineering and Logistics); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Zuidwijk, R. (Erasmus Universiteit Rotterdam); van Duin, Ron (TU Delft Transport and Logistics; Rotterdam University of Applied Sciences); Tachi, K. (Expertise- en InnovatieCentrum Binnenvaart); van Koningsveld, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Van Oord)","","2023","Several measures have been developed to prevent emissions from inland water transportation. However, it is challenging to weigh all the aspects to identify the pathway that will ultimately result in zero-emission inland shipping. A data-driven virtual representation of the inland shipping system can be used to evaluate zero-emission strategies, effectiveness of policies and technologies, and consequences of their implementation. This multi-level digital twin can realistically represent the system with all relevant components, which needs to be validated using real-world data. Subsequently, future scenarios can be imposed on the digital twin, and the proposed intervention measures can be applied, based on which their efficiency can be assessed together with the inland shipping sector. This study discusses the essential aspects of designing a digital twin for an IWT. Three aspects are considered essential: individual ships, logistics chains, and infrastructure. As these research topics span various scales, ranging from a single vessel to an entire infrastructure network, an agent-based approach is suitable for forming the basis of the digital twin. Consequently, potential interventions can be considered, ranging from the application of new technologies to individual vessels to policy measures implemented for an entire shipping corridor or various bunker infrastructure strategies in the network. Additionally, the impact of the implemented interventions can be evaluated at any desired scale, ranging from the individual ship level and its emissions to the network level and aggregated emissions in an entire area, or the impact on the logistics chain.","Emissions; PATH2ZERO; Multi-level digital twin; inland waterway transport; Energy transition; Sustainability","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:1cf736b3-de54-4ac9-aab0-474c5d0ff33b","http://resolver.tudelft.nl/uuid:1cf736b3-de54-4ac9-aab0-474c5d0ff33b","Zero Waste Church: Education for Circular Reuse of Religious Buildings","dos Santos Gonçalves, J.M. (Flemish Institute for Technological Research); Quist, W.J. (TU Delft Heritage & Architecture); Ioannou, O. (TU Delft Architectural Technology)","Augustiniok, Nadin (editor)","2023","While heritage conservation is usually associated with the action of “keeping”, circular approaches are often seen as focusing on flexibility, and disassembly. Both share the common goal of using existing resources efficiently and avoiding waste. The conservation of cultural heritage is a complex issue that requires a cautious balance between maintaining heritage values associated with tangible and intangible attributes and managing change to answer the challenges of future use. On the one hand, mining the urban environment for material resources, risks irreparable damage to the historic urban fabric. On the other hand, salvaging components from heritage buildings and re-purposing them can be a sustainable strategy to extend a buildings’ lifetime and minimize construction waste. In the case of buildings threatened by demolition, as several churches in the Netherlands, salvaging components might even be the only way to keep (some) of this heritage alive. In these cases, circular design approaches need to go beyond inventorying materials and components: the traceability of values and meanings to the involved communities become key factors driving reuse strategies. The Zero Waste Church graduation studio at TU Delft provides architecture students with the opportunity to discuss how heritage values might shift to integrate sustainability as a value to preserve. The students selected cases based on three key circularity principles: refuse, reduce, and value retention. By choosing vacant buildings, the students were prompted to refuse the notion of building new and to value space as a limited resource. Some of the selected buildings faced demolition, resulting in projects that have the potential to reduce material waste. Through a heritage value assessment, the students demonstrated that despite being under threat, these heritage buildings still add value to local communities. Students explored creative approaches to redesign from values related to tangible and intangible attributes. This approach aims at instigating awareness and transformative attitudes towards the built environment. Individual students approach the challenge from different perspectives, contributing to a multitude of readings and strategies to deal with the complexity of bridging heritage and circularity. The implementation of the first edition of the Zero Waste studio faced challenges when conflicts arose in determining what to keep, add or transform. A key learning from this experience is that circularity needs to be an integrated part of a design project from the earliest stages. While a fully zero waste heritage may be an unachievable ambition, the aim is to trigger reflection and adopt an explorative approach towards a project.","keeping; circular approach; zero waste; heritage building; heritage buildings; heritage values","en","conference paper","Hasselt University","","","","","The organization of this international colloquium was made possible through the generous fi nancial support of the DIOS Incentive Fund (UHasselt), the Doctoral School of Behavioral Sciences and Humanities (UHasselt), and the Research Foundation Flanders (FWO), as well as the invaluable practical assistance provided by the Faculty of Architecture and Arts of UHasselt and the Flanders Architecture Institute. Our heartfelt appreciation goes out to all our esteemed colleagues whose dedicated efforts contributed to the seamless execution of this event. This colloquium is organized as an extension of the exhibition As Found: Experiments in Preservation by the Flanders Architecture Institute. Curated by Sofie De Caigny, Hulya Ertas and Bie Plevoets, the exhibition is on show at De Singel, Antwerp, from 6 September 2023 to 17 March 2024. The exhibition is accompanied by a catalogue, available in English (ISBN: 9789492567321) and Dutch (ISBN: 9789492567338).","","","","","Heritage & Architecture","","",""
"uuid:f4f9fcfd-d0f0-4989-bd57-a70e8035b81f","http://resolver.tudelft.nl/uuid:f4f9fcfd-d0f0-4989-bd57-a70e8035b81f","As Found. At Hand.","De Vocht, S. (TU Delft Situated Architecture); dos Santos Gonçalves, J.M. (Flemish Institute for Technological Research)","Augustiniok, Nadin (editor)","2023","This paper explores how intuition plays a role in navigating the complexities of projects dealing with heritage, drawing from the practical experience in the office of Marie-José Van Hee architecten. Van Hee has a dual relationship with heritage. On the one hand, the Flemish heritage inventory – set up around the time she started her architecture career in the 1970s, feels limiting in her creative work. On the other hand, her oeuvre is considered of value by the same heritage instances, exemplifi ed by the listing of two of her early projects dating from the 1980s, being House Lowie-Derks (1984-86) and the electricity transformer (1982-84), both located in the Ghent Prinsenhof. The work of Marie-José Van Hee starts from a given context, never from a white page. This paper examines how the first primer of observation of the place – the built environment as found – and the interpretation of the context, consisting of a wide variety of vectors, such as social, geographical, and morphological, are essential to understand design possibilities. The elements that the architect considers significant enough to create or not a project are then confided to paper in a series of design exercises and discussed with co-workers, and only later with clients. Marie-José Van Hee often works subliminally with heritage, referencing architectural precedents and her travel experiences. She subtly cuts through layers of history, like peeling an onion and defi ning the depth of the cut with each design decision. Van Hee borrows shapes and lines but also developed her own language. Detailing is based on the logic of old construction techniques and experimenting with new materials. The research is thorough, takes the time it needs and happens in dialogue with makers, craftsman, and material producers, inspired by and contributing to traditional construction methods. This paper considers the role of creativity in the translation of the “as found” and in making heritage-related decisions that are at the same time technical and intuitive, inspired by past experiences, travels, or the drawing process. It aims at contributing to a deeper understanding of what intuition could mean for the design process and how it can be used to broaden up the meaning and value of heritage for the creation of contemporary architectural experiences that respect or highlight local values and narratives. It focuses on two projects of Marie-José Van Hee architecten: her own house in Ghent and House HdF in Zuidzande, The Netherlands.","heritage; construction methods","en","conference paper","Hasselt University","","","","","The organization of this international colloquium was made possible through the generous fi nancial support of the DIOS Incentive Fund (UHasselt), the Doctoral School of Behavioral Sciences and Humanities (UHasselt), and the Research Foundation Flanders (FWO), as well as the invaluable practical assistance provided by the Faculty of Architecture and Arts of UHasselt and the Flanders Architecture Institute. Our heartfelt appreciation goes out to all our esteemed colleagues whose dedicated efforts contributed to the seamless execution of this event. This colloquium is organized as an extension of the exhibition As Found: Experiments in Preservation by the Flanders Architecture Institute. Curated by Sofie De Caigny, Hulya Ertas and Bie Plevoets, the exhibition is on show at De Singel, Antwerp, from 6 September 2023 to 17 March 2024. The exhibition is accompanied by a catalogue, available in English (ISBN: 9789492567321) and Dutch (ISBN: 9789492567338).","","","","","Situated Architecture","","",""
"uuid:1656a6e3-21f9-4323-a4cc-b0962d48efae","http://resolver.tudelft.nl/uuid:1656a6e3-21f9-4323-a4cc-b0962d48efae","Dynamic Geo-Fencing for Polycentric Congestion Management: A Simulation-Based Analysis","Pecorari, N. (TU Delft Transport and Planning); Rinaldi, M. (TU Delft Transport and Planning); Hoogendoorn, S.P. (TU Delft Transport and Planning)","","2023","Our cities are growing at an unprecedented pace. The flexible use of metropolitan infrastructures is the key to maintaining, if not increasing, the current quality of life. The combined use of geo-fence technology and connected vehicles can be the tool to achieve this flexibility. In this paper, we take a first step in the evaluation of the benefits that dynamic geo-fencing could bring. In a simulation-based environment, we employ a computer vision approach to dynamically identify congested areas in a given transportation network. We then compare the performance of perimeter control based on dynamic geo-fencing vs conventional perimeter strategies, based on a fixed, pre-determined area-a scenario mimicking traffic management approaches currently deployed in large metropolitan areas worldwide. Simulation results highlight a reduction of more than 20% of the Total Time Spent in a regular Manhattan grid network, encouraging further efforts to validate the efficiency of dynamic geo-fencing in addressing externalities (congestion, pollution, noise, etc.) in more realistic scenarios.","congestion management; geo-fencing; Macroscopic Fundamental Diagram (MFD); perimeter control","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-16","","Transport and Planning","Transport and Planning","","",""
"uuid:b3b543ba-9d37-47f3-ba74-f4010ddbf481","http://resolver.tudelft.nl/uuid:b3b543ba-9d37-47f3-ba74-f4010ddbf481","FLAIRS: FPGA-Accelerated Inference-Resistant & Secure Federated Learning","Li, H. (TU Delft Cyber Security); Rieger, Phillip (Technische Universität Darmstadt); Zeitouni, Shaza (Technische Universität Darmstadt); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen); Sadeghi, Ahmad Reza (Technische Universität Darmstadt)","O’Conner, L. (editor)","2023","Federated Learning (FL) has become very popular since it enables clients to train a joint model collaboratively without sharing their private data. However, FL has been shown to be susceptible to backdoor and inference attacks. While in the former, the adversary injects manipulated updates into the aggregation process; the latter leverages clients' local models to deduce their private data. Contemporary solutions to address the security concerns of FL are either impractical for real-world deployment due to high-performance overheads or are tailored towards addressing specific threats, for instance, privacy-preserving aggregation or backdoor defenses. Given these limitations, our research delves into the advantages of harnessing the FPGA-based computing paradigm to overcome performance bottlenecks of software-only solutions while mitigating backdoor and inference attacks. We utilize FPGA-based enclaves to address inference attacks during the aggregation process of FL. We adopt an advanced backdoor-aware aggregation algorithm on the FPGA to counter backdoor attacks. We implemented and evaluated our method on Xilinx VMK-180, yielding a significant speed-up of around 300 times on the IoT-Traffic dataset and more than 506 times on the CIFAR-10 dataset.","FPGA Acceleration; Federated Learning (FL); FPGA-based FL; Backdoor-aware FL; Privacy-preserving FL","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-02","","","Cyber Security","","",""
"uuid:e742494b-0e5b-4b40-899b-9546d491e636","http://resolver.tudelft.nl/uuid:e742494b-0e5b-4b40-899b-9546d491e636","Inter-Vector Interference Self-Cancellation Scheme for Differential OSDM in Underwater Acoustic Communications","Wang, Yujie (Northwestern Polytechnical University); Zhang, Qunfei (Northwestern Polytechnical University); Ma, Shengqian (Northwestern Polytechnical University); Zhang, Lingling (Northwestern Polytechnical University); Han, Jing (Northwestern Polytechnical University); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2023","Differential orthogonal signal-division multiplexing (OSDM) is attractive for underwater acoustic (UWA) communications because it can eliminate channel estimation, resulting in a substantial reduction of complexity at the receiver. However, when the channel is time-varying, it may suffer from serious inter-vector interference (IVI), which is similar to inter-carrier interference (ICI) in differential orthogonal frequency-division multiplexing (OFDM). To mitigate this degradation of system performance, this paper provides a novel two-hop differential OSDM system based on IVI self-cancellation. Although this method improves system reliability at the cost of losing data rate, it is easy to implement in UWA modems. Finally, numerical simulations demonstrate the effectiveness of the proposed two-hop differential OSDM system over time-varying UWA channels.","Differential OSDM; IVI self-cancellation; timevarying channels; underwater acoustic communications","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","","Signal Processing Systems","","",""
"uuid:161d9d5a-6f81-4bc8-b9f6-de76cced5ac5","http://resolver.tudelft.nl/uuid:161d9d5a-6f81-4bc8-b9f6-de76cced5ac5","Tydi-Chisel: Collaborative and Interface-Driven Data-Streaming Accelerators","Cromjongh, Casper (Student TU Delft); Tian, Y. (TU Delft Computer Engineering); Hofstee, H.P. (TU Delft Computer Engineering; IBM); Al-Ars, Z. (TU Delft Computer Engineering)","","2023","In spite of progress on hardware design languages, the design of high-performance hardware accelerators forces many design decisions specializing the interfaces of these accelerators in ways that complicate the understanding of the design and hinder modularity and collaboration. In response to this challenge, Tydi is presented as an open specification for streaming dataflow designs in digital circuits, allowing designers to express how composite and variable-length data structures are transferred over streams using clear, data-centric types. In contrast, Chisel, with its high level of abstraction and customizability offers a suitable platform to implement Tydi-based components. In this paper, Tydi-Chisel is presented along with an A-to-Z design-process description. Tydi-Chisel aims to simplify the design of data-streaming accelerators through the integration of the Tydi interface standard in Chisel, along with helper components and syntax sugar. In combination Chisel and Tydi help bridge the hardware-software divide, making solo-design and collaboration between designers easier.Project repository: https://github.com/ccromjongh/Tydi-Chisel","big data; streaming interfaces; HW design; testing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","","Computer Engineering","","",""
"uuid:9f144dfd-7168-4820-9736-c2c315feb33b","http://resolver.tudelft.nl/uuid:9f144dfd-7168-4820-9736-c2c315feb33b","LeakageScatter: Backscattering LiFi-leaked RF Signals","Mir, Muhammad Sarmad (Carlos III University of Madrid); Cui, Minhao (University of Massachusetts Amherst); Guzman, Borja Genoves (IMDEA Networks Institute); Wang, Q. (TU Delft Embedded Systems); Xiong, Jie (University of Massachusetts Amherst); Giustiniano, Domenico (IMDEA Networks Institute)","","2023","Radio-Frequency (RF) backscatter has emerged as a low-power communication technique. Backscatter systems either rely on active signal generators (spectrum efficient, but dedicated infrastructure) or existing ambient wireless transmissions (existing infrastructure, but spectrum inefficient). In this paper, we aim to make RF backscatter spectrum efficient and at the same time work with existing infrastructure. We propose to leverage the deployment of LiFi networks built upon LED bulbs for pervasive RF backscatter. We experimentally demonstrate that LiFi, which passively leaks RF signals, can be exploited as a radio carrier generator for low-power RF backscatter. We further design LeakageScatter, the first backscatter system operating in the ISM band and exploiting LiFi-leaked RF signals, without the need to actively generate the carrier wave. We customize the design of the loop at the LiFi transmitter, as well as the coil antennas at the tag and RF backscatter receiver, to optimize the system performance. We propose to opportunistically enable the oscillator of the backscatter tag in the software that could reduce the energy consumption on backscattering by up to 75%. Experimental results show that LeakageScatter achieves a backscattering distance up to 10 m and 18 m in indoor and outdoor scenarios, respectively, without using a dedicated RF carrier generator.","backscatter; implementation; leaked RF signals; LiFi; system design","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-22","","","Embedded Systems","","",""
"uuid:bdae8a91-3a77-4470-bcf3-75e5a11a672b","http://resolver.tudelft.nl/uuid:bdae8a91-3a77-4470-bcf3-75e5a11a672b","Scalability Assessment of Hybrid-Electric Technology Application to Various Aircraft Classes - an Overview of Opportunities and Challenges","Hoogreef, M.F.M. (TU Delft Flight Performance and Propulsion); Bonnin, V.O. (TU Delft Flight Performance and Propulsion); Santos, Bruno F. (TU Delft Air Transport & Operations); Morlupo, F. (TU Delft Air Transport & Operations); Wahler, N.F.M. (University of Southampton); Elham, Ali (University of Southampton)","","2023","The objective of the EU-funded research project CHYLA (Credible HYbrid eLectric Aircraft) was to identify opportunities or limitations/challenges for the applications of key radical hybrid-electric technologies and areas suitable for scaling them over different aircraft classes. This was done using a ombination of conceptual aircraft design supported by sensitivity studies, credibility-based MDO and assessment of a regional operative scenario. This article summarizes the key findings from the project and presents the landscape of technology application areas. Notably, the regional and commuter classes present the largest design space with significant fuel-saving potential depending on the mission.","hybrid electric aircraft; Aircraft design; credibility-based mdo","en","conference paper","EUCASS","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:a3d0cf25-11b2-4b35-b1df-f4bfefc1ec97","http://resolver.tudelft.nl/uuid:a3d0cf25-11b2-4b35-b1df-f4bfefc1ec97","An MBSE approach to support Knowledge Based Engineering application development","Raju Kulkarni, A. (TU Delft Flight Performance and Propulsion); Bansal, D. (TU Delft Flight Performance and Propulsion); la Rocca, G. (TU Delft Flight Performance and Propulsion); Mendes Fernandes, F. (Student TU Delft); Augustinus, Robin (GKN Fokker Elmo); Timmer, Bram (GKN Fokker Elmo)","","2023","This article proposes a novel approach to support Knowledge Based Engineering (KBE) application development based on Model-Based Systems Engineering (MBSE). In this methodology, the related knowledge is captured in a well-structured Systems Modeling Language (SysML) model, instead of (static) documents. The knowledge model is then automatically translated to application (skeleton) code using a model-to-code tool developed in this research. The proposed methodology is applied to a use case at GKN Fokker Elmo for the development of a KBE application to design Electrical Wiring Interconnection Systems (EWIS) architectures for aircraft. The results show that the proposed MBSE approach improves the knowledge acquisition process, reduces the time needed for developing new KBE applications (initial knowledge model and code skeleton) by almost 50%, and enables traceability of requirements within the KBE application and knowledge model. These benefits allow effective project-to-project knowledge transfer while mitigating the black-box effect often experienced by KBE application users. In the next phase of this research, reverse engineering capabilities will also be incorporated to enable code-to-model translation, so as to guarantee the application code and knowledge model synchronization throughout the application's lifetime.","","en","conference paper","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:be984539-71d5-4c4e-a9cc-bae6c77d3130","http://resolver.tudelft.nl/uuid:be984539-71d5-4c4e-a9cc-bae6c77d3130","Slowdown as a Metric for Congestion Control Fairness","Zapletal, A. (TU Delft Networked Systems); Kuipers, F.A. (TU Delft Networked Systems)","","2023","The conventional definition of fairness in congestion control is flow rate fairness. However, Internet users typically care about flow completion times (FCTs) and flow rate fairness does not lead to equitable FCTs for different users. Therefore, we reconsider what it means for congestion control to be fair and posit a novel stance on fairness: it is fair when no flow unnecessarily prolongs another flow. Based on this stance, we propose an evaluation framework for congestion control fairness that uses slowdown (normalized FCT) as the metric.
We demonstrate the usefulness of our framework through surprising experiment results: in theory, prioritizing short flows should outperform fair queueing, but we show that this is not the case due to slow start dominating short flows. The framework can also analyze traditional flow rate fairness; we do so and verify well-known ""fairness"" issues, but additionally, we show that flow rate unfairness does not induce slowdown and is thus not a problem per se.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Networked Systems","","",""
"uuid:d7646940-a9b7-4ce5-ace4-8db962b16d49","http://resolver.tudelft.nl/uuid:d7646940-a9b7-4ce5-ace4-8db962b16d49","Hypertension Self-Management Success in 2 weeks: 3 Pilot Studies","Simons, L.P.A. (TU Delft Interactive Intelligence); Gerritsen, B. (TU Delft Health, Safety and Environment); Wielaard, B. (TU Delft Health, Safety and Environment); Neerincx, M.A. (TU Delft Interactive Intelligence)","Pucihar, Andreja (editor)","2023","Hypertension Self-Management is more powerful when done in groups, and with daily (e)Support for maximum impact. Small intervention groups enable high degrees of personalization, interaction, and learning. We compare three Self-Management Support (SMS) pilots of two weeks duration, in which various tools and daily microlearning strategies were used. Average blood pressure improvements in the pilots were 161/112 to 129/90 mmHg, resp. 145/92 to 126/86 mmHg, and 155/95 to 139/85 mmHg. User evaluations (n=20) were collected on perceived effectiveness of the various support components. This showed the importance of core SMS components: information transfer, daily monitoring, promoting health competences and follow-up. A tentative cross-case conclusion is that more daily social learning and microlearning feedback helps build more success: for blood pressure results and for competence building.","hypertension; Self-Management Support; microlearning; social learning; eHealth; Employee health","en","conference paper","University of Maribor Press","","","","","","","","","","Interactive Intelligence","","",""
"uuid:f41d7ea1-a145-4faf-97b6-f97ff2e53836","http://resolver.tudelft.nl/uuid:f41d7ea1-a145-4faf-97b6-f97ff2e53836","High-frame-rate Volumetric Porcine Cardiac Imaging","Wei, Luxi (Erasmus MC); Wahyulaksana, G. (Erasmus MC); Boni, Enrico (University of Florence); Noothout, E.C. (TU Delft ImPhys/Verweij group); Tortoli, Piero (University of Florence); van der Steen, A.F.W. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group; Erasmus MC); de Jong, N. (TU Delft ImPhys/De Jong group; Erasmus MC); Verweij, M.D. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group; Erasmus MC); Vos, H.J. (TU Delft ImPhys/Verweij group; Erasmus MC)","","2023","Volumetric echocardiography can potentially give a more complete picture of cardiac dynamics than its two-dimensional (2D) counterpart. Current clinical volumetric imaging probes have relatively low frame rates, and often require ECG gating to stitch together an entire volume. This makes measuring fast dynamics of the heart as well as imaging patients with irregular heartbeats difficult. We have previously designed and manufactured 2D sparse arrays with elements seeded in a density-tapered spiral pattern for cardiac imaging. Using these prototypes, we demonstrate in this paper the first high-frame-rate volumetric closed-chest porcine cardiac as well as open-chest myocardial blood flow results. These preliminary results suggest the potential of performing high-frame-rate volumetric cardiac imaging using the sparse spiral arrays.","3D; volumetric; echocardiography; high-framerate; contrast-enhanced; 2D sparse arrays; 2D spiral arrays","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-07","","","ImPhys/Verweij group","","",""
"uuid:a2854ea5-8080-4e68-bf40-ac3e68d77b17","http://resolver.tudelft.nl/uuid:a2854ea5-8080-4e68-bf40-ac3e68d77b17","3D Carotid Artery Flow Imaging Using Compressive Sensing with a Spatial Coding Mask: A Simulation Study","Hu, Yuyang (Erasmus MC); Doğan, D. (TU Delft Signal Processing Systems); Brown, Michael (Erasmus MC); Bulot, Mahé (Active Probe Group, Innovation Department); Ferin, Guillaume (Active Probe Group, Innovation Department); Leus, G.J.T. (TU Delft Signal Processing Systems); Kruizinga, P. (Erasmus MC); Steen, Antonius F.W. (Erasmus MC); Bosch, Johannes G. (Erasmus MC)","","2023","It has been previously demonstrated that applying an aberrating mask for 2D compressive imaging using a low number of sensors (elements) can significantly improve image resolution, as evaluated via the point spread function. Here we investigate the potential to apply a similar approach for 3D flow monitoring. We conducted a 3D k-Wave simulation using a 5x5 sensor array coupled to a physical coding mask, performing B-mode and power Doppler imaging on a 3D carotid artery flow model. An approximately three times smaller lateral PSF was achieved at the cost of increased background clutter level and slightly increased axial PSF. A better definition of the vessel border and finer flow speckle were observed in power Doppler imaging. Our results suggest that 3D compressive imaging using a very low sensor count of 25 with spatial coding mask has the potential to monitor 3D carotid artery flow.","Compressive imaging; matched filtering; 3D image reconstruction; carotid artery; simulation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-07","","","Signal Processing Systems","","",""
"uuid:13ac0a94-a568-4663-9839-8aa8a8087e0a","http://resolver.tudelft.nl/uuid:13ac0a94-a568-4663-9839-8aa8a8087e0a","CERCOM - Development of an Analytic Software Tool for the Evaluation of Innovative Infrastructure Maintenance Methods in the Move Towards Circularity","Sheils, Emma (Research Driven Solutions); Connolly, Lorcan (Research Driven Solutions); O'Connor, Alan (Research Driven Solutions); OBrien, Eugene (Research Driven Solutions); Singh, Avishreshth (TU Delft Pavement Engineering); Varveri, Aikaterini (TU Delft Pavement Engineering)","","2023","Implementation of the circular economy and resource efficiency has the potential to significantly tackle the root causes of global challenges such as climate change, biodiversity loss and pollution whilst at the same time providing regenerative design for generations to come. The CEDR funded CERCOM project facilitates a move towards circular public procurement of national road infrastructure. A flexible and stable approach using ranked interpolation is used to generate KPI values for various criterion, where the user can specify the desired level of complexity based on scheme requirements and data available. The framework considers technical, economic, environmental and social criteria, as well as circularity, while assessing the change in risks in moving from a linear to a circular economy. National Road Authorities (NRAs) are at different maturity levels in relation to circularity. The developed framework caters for this, allowing flexibility for NRAs to tailor their application of the framework to suit their maturity level and the scheme under consideration. The outcome is a user-friendly intuitive tool with a step-by-step approach to enable informed decision making around adoption of the principles of circular economy in the maintenance of highway infrastructure.","","en","conference paper","","","","","","","","","","","Pavement Engineering","","",""
"uuid:8cbd0a44-7940-46f5-9f9d-ec1c21dbd2f4","http://resolver.tudelft.nl/uuid:8cbd0a44-7940-46f5-9f9d-ec1c21dbd2f4","A Lightweight Learning-based Visual-Inertial Odometry","Xu, Y. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation)","Moormann, D. (editor)","2023","In this paper, we propose a learning-based lightweight visual-inertial odometry (VIO) based on an uncertainty-aware pose network and an extended Kalman filter (EKF). The pose network serving as the VIO vision front-end predicts the relative motion of the camera between consecutive image frames and estimates the prediction uncertainty. The training of the pose network can be conducted without requiring ground-truth labels. The distributions of visual measurements are fused with inertial measurements by an EKF that is the VIO back-end. Evaluations show that the proposed VIO fails to outperform a state-of-the-art feature-point-based VIO solution in accuracy. But it has high time efficiency, translational motion estimation with metric scale, estimation of gravity direction, and generalization to new environments. So, unlike most works on learning-based visual ego-motion estimation in the literature, the proposed VIO can be directly deployed on an MAV. The comparative studies of supervision signals and forms of translational motion prediction provide insights that can contribute to future research.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:385b929a-eaa3-488e-8a04-facedc0b31ee","http://resolver.tudelft.nl/uuid:385b929a-eaa3-488e-8a04-facedc0b31ee","Ambiguity for Social Self-tracking Practices: Exploring an Emerging Design Space","Di Lodovico, Chiara (Politecnico di Milano); Colombo, S. (TU Delft Human-Centred Artificial Intelligence); Rapp, Amon (University of Turin)","Ames, Morgan (editor); Fussell, Susan (editor); Gilbert, Eric (editor); Liao, Vera (editor); Ma, Xiaojuan (editor); Page, Xinru (editor); Rouncefield, Mark (editor); Singh, Vivek (editor); Wisniewski, Pamela (editor)","2023","Ambiguity is gaining attention in self-tracking research as a means to go beyond the mere quantification of body signals. Recent research has suggested that ambiguity can be used even to enable social connection mediated by personal data. To explore this design space more widely, we organized two design workshops with a total of 67 participants. In this paper, we present three design concepts, as outcomes of the workshops, which use ambiguity to enable social self-tracking practices. We then discuss how these concepts demonstrate the potential of ambiguity to encourage collective sense-making, directly impact the user's social relationships, and offer multifaceted perspectives on reality.","Ambiguity; Biosensing technologies; Design workshop; Social relations; Social self-tracking","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Human-Centred Artificial Intelligence","","",""
"uuid:e2cf8937-a16f-465e-8cdf-4387cb2989ad","http://resolver.tudelft.nl/uuid:e2cf8937-a16f-465e-8cdf-4387cb2989ad","Three-Phase Medium-Voltage Medium-Frequency Transformer for SST in Green Hydrogen Production","Mirzadarani, R. (TU Delft High Voltage Technology Group); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Li, Z. (TU Delft DC systems, Energy conversion & Storage); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Vaessen, P.T.M. (TU Delft High Voltage Technology Group); Bauer, P. (TU Delft DC systems, Energy conversion & Storage); Van Lieshout, Lou (VONK)","","2023","Green hydrogen production uses renewable energies to energise the electrolysers for hydrogen production. The present paper compares possible solutions and configurations of a medium-frequency transformer (MFT) as part of a solid-state transformer (SST) in green hydrogen production applications. The single-phase and three-phase MFTs are compared and it is shown that a Yd three-phase MFT is the optimum choice for applications that require high power delivery and step-down of the voltage. A summary of previous works about MFT is also provided. Three-phase SST based on modular multilevel converters (MMC) is then described and various cases are investigated to obtain the optimum operational frequency. A 25 MVA, 400 Hz, 25.4 kV / 560V oil-immersed MFT design is presented and is shown that the proposed 400 Hz transformer saves 69% of the active parts' weight compared to a conventional line-frequency transformer (LFT).","electrolyzer; solid-state transformer; mediumfrequency transformer","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-16","","","High Voltage Technology Group","","",""
"uuid:b8ee7373-a840-4bfa-b59e-b2274c3bd20f","http://resolver.tudelft.nl/uuid:b8ee7373-a840-4bfa-b59e-b2274c3bd20f","Fuzzy Logic-Based Online Energy Management System for Residential Microgrids","Wu, Jingxuan (Aalborg University); Li, Shuting (Aalborg University); Gui, Yonghao (Oak Ridge National Laboratory); Cvetkovic, M. (TU Delft Intelligent Electrical Power Grids); Vasquez, Juan C. (Aalborg University); Guerrero, Josep M. (Aalborg University)","","2023","A fuzzy logic based online energy management system (FLEMS) is designed in this paper to achieve the optimal electricity cost in a residential Microgrid (MG). The proposed FLEMS is combined by a local energy price model (LEPM) and a fuzzy-logic strategy. The LEPM will preprocess the sampling data to estimate the electricity market and local MG status. The fuzzy-logic mimics the artificial intelligent assessment to economic issues and make decision for the charging and discharging operation for energy storage system (ESS). In the FLEMS, not only electricity price and supply-demand balance, but also ESS state of charge are considered for the efficient and stable operations. The proposed method does not relay on the accurate prediction of renewable energy source and local loads. Historical experience of the system is involved by the LEPM and guides the ESS operation in the fuzzy-logic. A real-world data based household-level residential MG model is established to validate the performance of the FLEMS. A hourly-resolution-Particle swarm optimization (PSO) with perfect day-ahead prediction is implemented as the baseline to verify the superiority of the proposed method.","EMS; energy storage; electricity cost; fuzzy logic; microgrid","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-16","","","Intelligent Electrical Power Grids","","",""
"uuid:8f2fe649-1915-4a15-8461-4fd9abc40de2","http://resolver.tudelft.nl/uuid:8f2fe649-1915-4a15-8461-4fd9abc40de2","Implementation of Real-Time Digital Twin of Dual Active Bridge Converter in Electrolyzer Applications","Deshmukh, R.S. (TU Delft DC systems, Energy conversion & Storage); Rituraj, G. (TU Delft DC systems, Energy conversion & Storage); Lock, Niels (Student TU Delft); Vahedi, H (TU Delft DC systems, Energy conversion & Storage); Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","Power electronics converters (PEC) play a crucial role in interfacing renewable energy systems and electrolyzers to ensure a high production yield of green hydrogen. The design of such PEC is not straightforward due to the safety hazards of using multiple electrolyzer stacks and converter modules at industrial levels. Therefore, real-time simulations should be conducted to ensure the converter design satisfies all the requirements before deploying it on-site. This paper presents a real-time digital twin (RTDT) of a 10 kW dual-active bridge converter interfaced with an electrolyzer. OPAL-RT simulator (eHS toolbox) is used for RTDT. Finally, the voltage across the series inductance and current flowing through it are presented for the open-loop operation of DAB.","Dual Active Bridge; Electrolyzer; Real-Time Digital Twin","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-16","","","DC systems, Energy conversion & Storage","","",""
"uuid:2a80c5ef-199c-47d4-8b5a-0f6f87aeafe8","http://resolver.tudelft.nl/uuid:2a80c5ef-199c-47d4-8b5a-0f6f87aeafe8","End-of-Life Comparison of Full-Bridge and Half-Bridge DC/DC Converter Switches Used for EV Charging","Kardan Halvaei, F. (TU Delft DC systems, Energy conversion & Storage); Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","EV fast chargers are essential in addressing the concern of limited driving range for E-mobility applications. However, the load profile of a converter for fast charging involves a high-current pulse that can last for a few minutes to efficiently replenish the EV battery, which is followed by a cooldown period after the charging process is finished. This results in thermal cycles that can lead to thermo-mechanical fatigue and degradation of power electronic components, thereby impacting device lifetime. This paper presents a comparative study on the reliability of power devices in isolated half-bridge and full-bridge DC-DC converters in EV fast chargers. The study focuses on the differences in thermal stresses that Si switches experience in each converter during charging cycles and how it impacts the end-of-life of each device. This study provides valuable insights for selecting reliable power converters for EV fast charging applications.","EV fast chargers; Thermo-mechanical fatigue; IGBTs’ lifetime","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-16","","","DC systems, Energy conversion & Storage","","",""
"uuid:254e67c8-8ba2-404a-b2e3-c487ca51210e","http://resolver.tudelft.nl/uuid:254e67c8-8ba2-404a-b2e3-c487ca51210e","Wide-Area Damping of Sub-Synchronous Oscillations Excited by Large Wind Power Plants","van Vledder, C.A. (TU Delft Intelligent Electrical Power Grids); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Stefanov, Alexandru (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy); Anaya-Lara, Olimpo (Norwegian University of Science and Technology (NTNU)); Kruimer, B. (DNV Energy Systems); Gonzalez-Loderiro, Francisco (University of Exeter)","","2023","Power electronic interfaced generation (PEIG) has become significantly dominant in the electrical power grid. This development is leading to a decrease in systemic inertia and damping against electrical oscillations. This causes the introduction of new and faster dynamic phenomena. One of these phenomena is sub-synchronous control interaction (SSCI), occurring as sub-synchronous oscillations (SSOs) in the system. Several real-world events reported so far have been related with large wind power plants (WPPs) and the improper tuning of the grid side converter (GSC) of (type-4) fully rated converter (FR C) wind turbines. As other PEl G have similar topologies and control systems, it is a very relevant topic. Weak grid conditions often contribute to the risks of SSO events. This paper proposes supplementary wide-area damping (WAD) to the control system of the GSC, focused on damping excursions of the phase locked loop (PLL). Signals measured by a remote phasor measurement unit (PMU) are communicated to the control system, which uses it for dynamic damping control. The effects of the WAD are tested by comparing the results of linearization-based eigenvalue analysis with and without the addition of WAD. Supplementary analysis conducted by using time-domain simulations and Prony analysis confirm the positive effect of WAD. Numerical tests are performed in DlgSILENT PowerFactory 2023 SP2 on a modified IEEE-39 bus test system.","Sub-synchronous oscillations; observability; phasor measurement units; control interaction; wide area monitoring and control","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-16","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:409dc633-fc73-4bc4-9535-0e5e8143a2c7","http://resolver.tudelft.nl/uuid:409dc633-fc73-4bc4-9535-0e5e8143a2c7","A Dynamic Frequency Sweeping Based Parameter Estimation Method for Wireless Power Transfer","Zhu, G. (TU Delft DC systems, Energy conversion & Storage); Dong, J. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","It is ideal for the wireless power transfer (WPT) systems to operate at the resonance state for better transmission performance. In practice, however, the parameters of the resonant circuits often deviate because of the capacitance drift and coil misalignment. To this end, this paper proposes a new parameter estimation method for the WPT systems, which is able to facilitate the power flow control and active impedance tuning of the WPT systems under parameter deviations. Distinct from the traditional parameter identification methods, the proposed method is implemented with an short-circuited rectifier, and therefore, the whole estimation process is independent of the load variations. Furthermore, to avoid severe system detuning during the frequency-sweeping process, a dynamic frequency sweeping method is proposed to efficiently and safely extract the data of the primary and secondary coil currents. Based on the extracted data, a mathematical model is established, and the JAYA algorithm is utilized to identify the unknown parameters. Experimental results are presented to verify the estimation accuracy of the proposed method.","wireless power transfer; parameter estimation; the JAYA algorithm","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-16","","","DC systems, Energy conversion & Storage","","",""
"uuid:af010cb8-9edf-4c7b-a7de-0b4fea7db9e5","http://resolver.tudelft.nl/uuid:af010cb8-9edf-4c7b-a7de-0b4fea7db9e5","Impact of Electrolyzer on the Operation of a Dual Active Bridge Converter","Deshmukh, R.S. (TU Delft DC systems, Energy conversion & Storage); Rituraj, G. (TU Delft DC systems, Energy conversion & Storage); Vahedi, H (TU Delft DC systems, Energy conversion & Storage); Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","Electrolysis requires a high DC current at low voltage to produce hydrogen from water. Designing power converters for such a load requirement could be challenging while fulfilling the galvanic isolation needs. Therefore, prior knowledge of the electrolyzer's impact on the converter operation should be needed. In this context, this paper investigates the behavior of the Dual Active Bridge (DAB) converter when utilized for electrolysis. A MATLAB simulation of DAB with a 10 kW alkaline electrolyzer is developed. Several converter parameters, such as the phase shift angle, series inductance, peak and RMS currents, and voltage gain, are analyzed during electrolysis. Distinct operating behavior is observed from the analysis.","Dual Active Bridge (DAB) DC-DC converter; Electrolyzer; Hydrogen","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-16","","","DC systems, Energy conversion & Storage","","",""
"uuid:12321868-939f-4f50-b3d6-a91298c87ef8","http://resolver.tudelft.nl/uuid:12321868-939f-4f50-b3d6-a91298c87ef8","LADM's Links with International Standards, Guidelines and Frameworks","Kara, A. (TU Delft Digital Technologies); Unger, Eva-Maria (Kadaster); van Oosterom, P.J.M. (TU Delft Digital Technologies); Lemmen, Christiaan (University of Twente)","","2023","The first edition of the Land Administration Domain Model (LADM) has been available as a formal International Standard since the 1st of December 2012, published as ISO 19152:2012. It is based on / makes use of a number of standards, in particular those published by ISO/TC 211 and, of course, other committees. In addition, non-ISO standards and international guidelines related to land administration have been used as much as possible in the development of LADM Edition I. The systematic review of LADM Edition I has been officially launched and the process of developing LADM Edition II is now underway. The new edition not only refines the content of LADM Edition I, but also expands the scope to include marine georegulation, valuation information, spatial plan information and implementation. As a result, LADM Edition II is based on more standards, not only because of its expanded scope, but also because of the standards published after LADM Edition I and the principle of reusing existing standards wherever possible. Since the publication of LADM Edition I, it has been widely recognised by the international community and implemented by several countries. It is also applicable to the implementation of relevant parts of international standards, guidelines and frameworks, as well as the Sustainable Development Goals (SDGs). LADM Edition II has somewhat more links to international standards, guidelines and frameworks, as its scope is broader than Edition I. The purpose of this paper is to document which standards LADM Edition II is based on and associated with, which guidelines and frameworks are in some way related to LADM.","FFPLA; LADM; ISO/TC 211; IPMS; ILMS; SDG; FELA; VGGT","en","conference paper","International Federation of Surveyors (FIG)","","","","","","","","","","Digital Technologies","","",""
"uuid:d07c975c-969e-4c46-be9a-4239a56dad38","http://resolver.tudelft.nl/uuid:d07c975c-969e-4c46-be9a-4239a56dad38","SDG Land Administration Indicators based on ISO 19152 LADM","Chen, Mengying (Student TU Delft); van Oosterom, P.J.M. (TU Delft Digital Technologies); Kalogianni, E. (TU Delft Digital Technologies); Dijkstra, Paula (Kadaster)","van Oosterom, Peter (editor); Paasch, Jesper M. (editor)","2023","The Sustainable Development Goals (SDGs), comprising of 17 Global Goals, serve as a global framework for addressing various facets of sustainable development. Several of these goals emphasize the crucial role of land management and equitable land distribution in achieving sustainable development objectives. ISO 19152, known as the Land Administration Domain Model (LADM), plays a pivotal role in land administration systems globally. It provides a standardized framework for land management, including land tenure, marine georegulation, valuation, and spatial plan information. This paper explores the integration of land administration indicators within the ISO 19152 standard, aligning them with the United Nations Agenda 2030 SDGs. The process involves a systematic approach to selecting and developing these indicators. In the indicator selection phase, firstly, we establish the foundational lexicon linked to LADM then extract lexemes from SDGs indicators, analyze their semantic relationships, and evaluate their alignment with LADM; secondly, we meticulously evaluated chosen indicators by analyzing their SDG indicator metadata, focusing on the “Method of Computation"" section to align these indicators with LADM's basic classes; thirdly, categorizing them based on their association with LADM. This categorization ranges from indicators with no direct correlation to those with full computational interdependence, specifically, they are: Non-Association (Category 0), Full Computational Association (Category 1), Partial Computational Association (Category 2), Indirect Association (Category 3), Association with Other International Standards (Category 4). Following indicator selection, our approach to indicator development is summarized. This entails expressing information from UN SDG ""Method of Computation"" documents in UML class diagrams, adding operation names and parameters to the most relevant class, and specifying implementation methods for each operation. An in-depth analysis of SDG Indicator 1.4.2 demonstrates the feasibility of deriving indicators entirely from LADM data. Finally, the paper discusses potential future work, including the integration of semantic networks and ontologies for keyword extraction, further exploration of Category 1 Indicators, and practical implementation through case studies, data collection, indicator testing, validation, and reflection.","ISO 19152 LADM; SDGs; Land administration indicators","en","conference paper","International Federation of Surveyors (FIG)","","","","","","","","","","Digital Technologies","","",""
"uuid:be6c4491-febb-4a20-8c04-b12cc2638c4a","http://resolver.tudelft.nl/uuid:be6c4491-febb-4a20-8c04-b12cc2638c4a","3D Land Administration System for Wilayah Sarawak based on LADM Edition II -Preliminary Works","Zamzuri, Ainn (Universiti Teknologi Malaysia); Abdul Rahman, Alias (Universiti Teknologi Malaysia); Hassan, Muhammad Imzan (Universiti Teknologi Malaysia); van Oosterom, P.J.M. (TU Delft Digital Technologies)","van Oosterom, Peter (editor); Paasch, Jesper M. (editor)","2023","The numerous country profiles developed in compliance with ISO 19152:2012 Land Administration Domain Model (LADM) in different jurisdictions worldwide reflect the standard's growing significance and acceptance. A country profile may outline the current situation in the land administration (LA) domain and adapt the existing land administration system (LAS) into the LADM concepts, or it may indicate the requirement or desired outcome for a possible future scenario in a specific country. Previous studies show that many countries, such as Brazil, China, Croatia, Netherlands, etc., have developed their country profiles based on LADM. In the meantime, the Malaysian LADM country profile also has been developed. However, it only applied to Peninsular Malaysia (Sabah and Wilayah Sarawak are omitted) since different LA is being practiced. Currently, the Wilayah Sarawak has an integrated organization, Department of Land and Survey Sarawak, applied in the LA domain where all the departments (e.g., Land Registry, Planning, Valuation, Title Registration, Survey and Cadastral Mapping, etc.) contribute the information accordingly in that system. The Sarawak country profile is based on LADM edition II (under development), and is the first country profile reported using all parts (of the new multi-parts LADM edition II), arriving at truly integrated LA. The experience may shall be used on input to the final version of LADM edition II. The new functionality modelled, such in the 3D representation, provide path to Sarawak future. It is the aim of this paper to describe the Wilayah Sarawak country profile development based on LADM Edition II. Relevant 2D and 3D spatial and non-spatial objects of LA, including Native Customary Rights (NCR) data, are the focused of the development of the Wilayah Sarawak LADM Country Profile classes. The country profile model is developed to establish a much broader system related to the land administration of the state.","3D LAS; ISO 19152:2012 LADM; Land Administration; Country Profile; Registration System","en","conference paper","International Federation of Surveyors (FIG)","","","","","","","","","","Digital Technologies","","",""
"uuid:dc2342c8-9b6c-4244-9c65-93b099d23f63","http://resolver.tudelft.nl/uuid:dc2342c8-9b6c-4244-9c65-93b099d23f63","Requirements Based Design of the LADM Edition II","Kara, A. (TU Delft Digital Technologies); Lemmen, Christiaan (University of Twente); Kalogianni, E. (TU Delft Digital Technologies); van Oosterom, P.J.M. (TU Delft Digital Technologies)","van Oosterom, Peter (editor); Paasch, Jesper M. (editor)","2023","This paper examines the requirements based design of the LADM Edition II. The requirements are the starting point for the Abstract Test Suite (ATS, Annex A in an ISO standard). The requirements/ATS can be used to assess if a given LADM country profile with a specific application schema is conformant with the LADM standard. The requirements are a new aspect of ISO standards and this offers several benefits:
1. requirements express explicitly the needs from experts in the domain,
2. requirements are a very concise manner to describe the content of the standard,
3. requirements are used to introduce of the actual standard content (in the case of LADM, part of the UML class diagram), and as mentioned above,
4. requirements form the basis of the ATS.
This paper describes the new structure of the second edition of the standard and the capabilities of this new edition, which is organized in multiple Parts (standards addressing a specific part of the scope) and Packages (groups of conceptually closely related classes, i.e. with relative high number of associations between the classes from one package compared to the number of cross package associations), with a particular attention to the requirements and design related decisions taken in the revision process.","LADM; ISO; 3D","en","conference paper","International Federation of Surveyors (FIG)","","","","","","","","","","Digital Technologies","","",""
"uuid:0b9677d5-fa9f-42fd-b3c1-9390aaf71a31","http://resolver.tudelft.nl/uuid:0b9677d5-fa9f-42fd-b3c1-9390aaf71a31","Analysing 3D Land Administration developments and plans from 2010 to 2026","Thompson, Rodney; Kalogianni, E. (TU Delft Digital Technologies); van Oosterom, P.J.M. (TU Delft Digital Technologies)","van Oosterom, Peter (editor); Paasch, Jesper M. (editor)","2023","Administration questionnaires. The last FIG questionnaire 2022-2026 was just analysed and the FIG working week 2023 (Kalogianni et al. 2023, and in that paper the creation or update, organization and initial analysis of the results from the 4th FIG 3D Land Administration Questionnaire, as an activity of the FIG Working Group 3D Land Administration 2022-2026 was presented. By sharing this information among the countries/ jurisdictions, a comprehensive inventory will be created. It is expected that cooperation will improve, by learning from the different countries and jurisdictions, to support future developments in the field of 3D land administration. It is noted that, as LADM is finding increasing recognition (Kalogianni et al., 2021), it has been further incorporated into the various sections of the questionnaire. The completed questionnaires, per country are fully available via the participants’ page of the 3D Land Administration Working Group website. The responses have been analyzed and reported in various publications (van Oosterom et al. 2011, van Oosterom et al. 2014 and Shnaidman et al., 2019), while the initial analysis of the 4th Questionnaire has been recently presented by Kalogianni et al. (2023). This paper aims to provide an overview of the developments and plans from the initial questionnaire in 2010, till the future plans for 2026, based on the analyses that have been previously carried out (van Oosterom et al. 2011, van Oosterom et al. 2014 and Shnaidman et al., 2019) and highlighting the results of the analysis from the latest questionnaire. The initial results from the latest questionnaire have been presented by Kalogianni et al. (2023), providing the main outcome from the current status (December 2022), while highlighting the priority axes till 2026 related to the developments of 3D LAS. What is more, an assessment rubric is developed by the team that prepares and analyses the questionnaires, that is actually a scoring of the responses in the various sections of the four (4) questionnaires This assessment process is the first time that is being carried out and it is executed for eight (8) countries and presented in this paper.","LADM; ISO19152; Questionnaire; Land Administration; 3D Cadastre trends; assessment rubric","en","conference paper","International Federation of Surveyors (FIG)","","","","","","","","","","Digital Technologies","","",""
"uuid:b1b7680d-8470-4aa2-905c-df77a16de685","http://resolver.tudelft.nl/uuid:b1b7680d-8470-4aa2-905c-df77a16de685","Nudging households for energy savings via smartphone apps and web portals: an empirical study","Chitos, Andreas (Athens University of Economics and Business; University of Piraeus); Karaliopoulos, Merkouris (Athens University of Economics and Business); Pelka, S. (TU Delft Energie and Industrie; Fraunhofer Institute for Systems and Innovation Research ISI); Halkidi, Maria (University of Piraeus); Koutsopoulos, Iordanis (Athens University of Economics and Business)","Lopes, Marta (editor); Matschoss, Kaisa (editor); Bouman, Thijs (editor)","2023","In this paper, we report evidence collected in the context of the Horizon 2020 NUDGE project about the effectiveness of digital tools such as smartphone apps and web portals to realize nudging interventions towards different energy efficiency goals: from the reduction of heating energy and electricity to the increase of self-consumption in energy prosumer households. We analyse recorded events from the interaction of participants with those tools in the context of three different pilot experiments.
We first assess the level of end user engagement with the apps and the portal, counting the number of distinct days that they interact with them. We find it to be highly heterogeneous, with up to 25% of participants in the Greek pilot and 12% in the Portuguese pilot not using the mobile app at all, and the rest forming three distinct groups of low, medium and high engagement. The interaction with the apps almost always lasts fractions of a minute and involves accessing a few app screens. We next turn to the actual users’ exposure to the nudging features of the digital tools to find out that high percentages of users (up to 50%) exhibit zero or very occasional exposure to the app screens that implement nudges. The
mobile app users, in particular, can be grouped into four clusters depending on the level of engagement with the app and their exposure to its nudging features. Disappointingly, more than half the pilot participants belong to the cluster combining low engagement with low exposure to nudging. Combining these data with self-statements of participants in post-intervention surveys, we find no significant correlation between the level of nudging exposure and the (self-stated) motivation/ intentions to save energy.and communication emerged as one of the most prominent factors affecting EERs' acceptance. The paper analysed the online information sources based on readability, credibility, and interactivity, focusing on accessibility and the ability to generate tailor-made suggestions. The analysis revealed that the online information sources are disorganised and dispersed. The online platforms rarely provide information on prior case studies and more on financial subsidies, guidelines, and EER benefits. Lastly, we discussed the main barriers and potential solutions for these challenges.","Nudging interventions; End-user behaviour; Energy efficiency; Smartphone app; Data analytics","en","conference paper","Rijksdienst voor Ondernemend Nederland (RVO)","","","","","","","","","","Energie and Industrie","","",""
"uuid:374c34fe-868c-49fc-a2cc-0fd2a313d49e","http://resolver.tudelft.nl/uuid:374c34fe-868c-49fc-a2cc-0fd2a313d49e","Slashing the surplus – how prosumers with smart metering respond to regulatory restrictions on self-consumption in Croatia","Kesselring, Anne (Fraunhofer Institute for Systems and Innovation Research ISI); Pelka, S. (TU Delft Energie and Industrie; Fraunhofer Institute for Systems and Innovation Research ISI); Svetec, Erica (Green Energy Cooperative); Nad, Lucija (Green Energy Cooperative); Seebauer, Sebastian (Energy Systems and Society); Skardelly, Sara (Energy Systems and Society); Preuß, Sabine (Fraunhofer Institute for Systems and Innovation Research ISI)","Lopes, Marta (editor); Matschoss, Kaisa (editor); Bouman, Thijs (editor)","2023","Smart metering and home energy management systems (HEMS) support households with roof-top photovoltaic (PV) to optimize self-consumption. These HEMS can convey subtle guidance for consumption shifts that address intuitive consumption routines. However, the efficacy of the guidance depends on the regulation of self-consumption. This presentation provides experimental evidence on the interplay between both for the case of Croatia, where households that produce more electricity than they consume over the year are automatically re-classified as renewable traders and have additional administrative duties, as well as less favorable tax treatment. This creates perverse incentives to reduce PV
generation or increase energy consumption. We document strong behavioral reactions within a real-life field experiment, which was conducted as part of the larger Horizon 2020 project NUDGE. The project collected both survey and smart meter data, which allows for a comprehensive picture of the behavioral reaction. According to the survey wave before the end of the year, almost half of the participants considered curtailing their PV output. According to the smart meter data, a sizable share did indeed take action by shutting down production or by powering additional devices to reduce the surplus near the end of the calendar year. In the final survey wave, prosumers provide ex-post insights on the specific measures taken to reduce surplus. Finally, we discuss insights from the experiment regarding the transparency and control offered by the HEMS, as well as how this can influence household behavior regarding the regulatory framework.","Smart Metering; Home Energy Management System; Photovoltaic Curtailment; Prosumers; Self-Consumption","en","conference paper","Rijksdienst voor Ondernemend Nederland (RVO)","","","","","","","","","","Energie and Industrie","","",""
"uuid:4416e32a-3172-4000-a354-fea4d2419048","http://resolver.tudelft.nl/uuid:4416e32a-3172-4000-a354-fea4d2419048","Exploring pro-environmental behaviour spilling effects in Dutch homeowner energy efficient renovations","Krutisch, L.N. (TU Delft Design & Construction Management); Qian, QK (TU Delft Design & Construction Management); Mlecnik, E. (TU Delft Real Estate Management); Visscher, H.J. (TU Delft Design & Construction Management)","Lopes, Marta (editor); Matschoss, Kaisa (editor); Bouman, Thijs (editor)","2023","Energy efficient renovation (EER) of the owner-occupied building stock is identified as a key effort to pursue in order to mitigate climate change. However, current renovation rates continuously fall behind and a need to foster EER uptake is apparent. As homeowners' behaviour and decision-making are crucial in the context of EER, behavioural research holds vast potential for policy design and ultimately increasing EER uptake. So-called ‘spilling effects’ in the domain of pro-environmental behaviour (PEB) continuously catch the attention of researchers. Therefore, this article proposes a conceptual framework of ‘spilling effects’ in the context of homeowner EERs based on an integrative literature study, facilitating policy design.","Behavioural Public Policy; Pro-environmental Behaviour; Energy Efficient Renovation; Spilling; Spillover Effect; Homeowner; Netherlands","en","conference paper","Rijksdienst voor Ondernemend Nederland (RVO)","","","","","","","","","","Design & Construction Management","","",""
"uuid:647ada15-5661-4694-b927-789c71766688","http://resolver.tudelft.nl/uuid:647ada15-5661-4694-b927-789c71766688","A Framework For Investigating The Application Of Educational Theories In Engineering Education Research","van der Werf, V. (TU Delft Web Information Systems; Universiteit Leiden); van Helden, G. (TU Delft Space Systems Egineering); Schleiss, Johannes (Otto von Guericke University Magdeburg); Saunders-Smits, Gillian (TU Delft Robot Dynamics)","","2023","Grounding the design of educational interventions and their analysis in theory allows us to understand and interpret results of interventions and advance educational theories. Moreover, building an understanding of which educational theories are used and how they are used can build a consensus among researchers and mature the research in a field. In this paper, we investigate the extent to which educational theories are used to ground the design, analysis, and evaluation of learning activities in engineering education. For this purpose, we developed a coding instrument to determine: (1) which educational theories are expressed in studies investigating learning activities and interventions, and (2) the extent to which these theories inform (a) the design of an intervention and (b) the analysis of that intervention. The instrument was applied to a sample of 12 studies from an existing literature review on collaborative engineering design activities to demonstrate the relevance of the developed framework. Results reveal that most studies refer to educational theory, primarily pedagogical approaches such as project-based learning. Furthermore, half of the time, the design of learning interventions is grounded in theory, however, the evaluation of those interventions is often not connected to educational theories.","Engineering Education; Educational Theory; Collaborative Learning","en","conference paper","","","","","","","","","","","Web Information Systems","","",""
"uuid:1480cde6-d0bf-42af-affd-34ea7ee485dc","http://resolver.tudelft.nl/uuid:1480cde6-d0bf-42af-affd-34ea7ee485dc","Educating Future Robotics Engineers In Multidisciplinary Approaches In Robot Software Design","van der Niet, A.M. (TU Delft Support Cognitive Robotics); Claij, C.C. (TU Delft Support Cognitive Robotics); Saunders-Smits, Gillian (TU Delft Robot Dynamics)","","2023","In 2020, a new two-year MSc programme in robotics was launched. Unlike most existing robotics programmes, which approach robotics from a specific discipline, this programme aims to train multi-deployable robot generalists using a cognitive approach (no hardware creation). The field of robotics is multidisciplinary by nature and educating students on how to approach projects with a multidisciplinary mindset is at the forefront of the programme. Hence, at the end of the first year, students are thrust into experiencing the true multi-disciplinarity of the robotics field in a synthesizing, multidisciplinary project-based course. In this 5 EC course, students work together in groups of 5 on an industry-based assignment making a translation of societal issues from different perspectives (human, sustainability, safety, ethics, economic, etc.) into intelligent robot solutions. Each team develops and tests a complete, integrated software package for a complex robot system in a simulated environment and implements it in a real robot at the end of the course. Various robots are used, each related to a different case study which is taken on by multiple teams. Students are supported in their project with workshops and minilectures on transferable skills, systems engineering and the Robot Operating System (ROS). This paper describes the development, implementation, and results of the course over its first three years of running. It will present lessons learned from the perspectives of all parties involved: lecturers, technical staff, industry, and students as well as future plans and recommendations for others looking at creating similar courses.","Robotics; Multidisciplinary Design; Cooperative education; Student Experience; Project Based Learning","en","conference paper","","","","","","","","","","","Support Cognitive Robotics","","",""
"uuid:6f2f65b7-2744-4a81-9d54-dbb94a039946","http://resolver.tudelft.nl/uuid:6f2f65b7-2744-4a81-9d54-dbb94a039946","Identifying meaningful user experiences with autonomous products: a case study in fundamental user needs in fully autonomous vehicles","Gomez Beldarrain, G.; van der Maden, W.L.A. (TU Delft Design Aesthetics); Huang, S.; Kim, E.Y. (TU Delft Marketing and Consumer Research)","","2023","Autonomous products (e.g., home cleaning robots, smart fridges, or autonomous vehicles) take over tasks that require time and effort from their users, redefining both the user roles and context around a product. Consequently, meaningful user experiences should be designed to overcome the risk of relegating humans to undesirable tasks and to take the opportunity of employing users’ newly available time, in contexts such as highly automated vehicles. Meaningful experiences are provided when fundamental user needs (i.e., universal needs that directly contribute to our wellbeing) are fulfilled. Nevertheless, designers face challenges in anticipating and fulfilling user needs related to autonomous products, since autonomous technology continues evolving towards products that are not yet in existence. In this paper, we employ a co-creation workshop method to explore how the typology of thirteen fundamental needs can serve as a starting point to design meaningful user experiences associated with autonomous vehicles. Specifically, our goal is to understand how the typology of thirteen fundamental needs (e.g., autonomy, beauty, comfort...) could help in (1) identifying how deep user needs manifest themselves in a given context and (2) conceptualizing meaningful experiences with autonomous devices. In this aim, we elaborate on the challenge of designing meaningful non-driving- related experiences in fully autonomous vehicles, which could emerge in the future if driving tasks become obsolete. The results propose new clusters of activities and a scenario for each fundamental need, and ultimately show that engaging with the fundamental needs could be a valuable foundation for designing rich human interactions with future technologies.","meaningful user experiences; fundamental needs; Autonomous Vehicles; non-driving related tasks","en","conference paper","","","","","","","","","","","Design Aesthetics","","",""
"uuid:072ac4a3-3ca9-4d86-b790-39e8311a6fa2","http://resolver.tudelft.nl/uuid:072ac4a3-3ca9-4d86-b790-39e8311a6fa2","Promoting Awareness Of, And Sharing Good Practices On, Supporting Engineering Students With Disabilities","Wint, Natalie (University College London (UCL)); Saunders-Smits, Gillian (TU Delft Robot Dynamics); Penlington, Roger (University of Northumbria)","","2023","The amount of literature that focuses on diversity and inclusion within engineering education continues to grow. However, research traditionally focuses on gender, and despite the United Nations Convention for the Rights of Persons with Disabilities (CRPD) being passed in 2016, there is still a lack of work which describes the experience of students with disabilities....
2 sequestration in tight sandstone reservoirs.","asia government; pore; Mineral; bandera; permeability; china government; upstream oil & gas; north america government; structural geology; reservoir characterization","en","conference paper","Society of Petroleum Engineers","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-24","","","Reservoir Engineering","","",""
"uuid:0343a8a1-822a-4ecc-9387-bb386edabb2d","http://resolver.tudelft.nl/uuid:0343a8a1-822a-4ecc-9387-bb386edabb2d","An Efficient Simulation Approach for Long-term Assessment of CO2 Storage in Complex Geological Formations","Zhang, Z. (TU Delft Numerical Analysis); Wang, Y. (TU Delft Numerical Analysis); Vuik, Cornelis (TU Delft Delft Institute of Applied Mathematics); Hajibeygi, H. (TU Delft Reservoir Engineering)","","2023","We present an efficient compositional framework for simulation of CO2 storage in saline aquifers with complex geological geometries during a lifelong injection and migration process. To improve the computation efficiency, the general framework considers the essential hydrodynamic physics, including hysteresis, dissolution and capillarity, by means of parameterized space. The parameterization method translates physical models into parameterized spaces during an offline stage before simulation starts. Among them, the hysteresis behavior of constitutive relations is captured by the surfaces created from bounding and scanning curves, on which relative permeability and capillarity pressure are determined directly with a pair of saturation and turning point values. The new development also allows for simulation of realistic reservoir models with complex geological features. The numerical framework is validated by comparing simulation results obtained from the Cartesian-box and the converted corner-point grids of the same geometry, and it is applied to a field-scale reservoir eventually. For the benchmark problem, the CO2 is injected into a layered formation. Key processes such as accumulation of CO2 under capillarity barriers, gas breakthrough and dissolution, are well captured and agree with the results reported in literature. The roles of various physical effects and their interactions in CO2 trapping are investigated in a realistic reservoir model using the corner-point grid. It is found that dissolution of CO2 in brine occurs when CO2 and brine are in contact. The effect of residual saturation and hysteresis behavior can be captured by the proposed scanning curve surface in a robust way. The existence of capillarity causes less sharp CO2-brine interfaces by enhancing the imbibition of the brine behind the CO2 plume, which also increases the residual trapping. Moreover, the time-dependent characteristics of the trapping amount reveals the different time scales on which various trapping mechanisms (dissolution and residual) operate and the interplay. The novelty of the development is that essential physics for CO2 trapping are considered by the means of parameterized space. As it is implemented on corner-point grid geometries, it casts a promising approach to predict the migration of CO2 plume, and to assess the amount of CO2 trapped by different trapping mechanisms in realistic field-scale reservoirs.","","en","conference paper","Society of Petroleum Engineers","","","","","","","","","","Numerical Analysis","","",""
"uuid:51cb1c9e-69de-48da-8ff1-b86e897db2c3","http://resolver.tudelft.nl/uuid:51cb1c9e-69de-48da-8ff1-b86e897db2c3","Perceived Appropriateness: A Novel View for Remediating Perceived Inappropriate Robot Navigation Behaviors","Zhou, Y. (TU Delft Internet of Things; TU Delft Industrial Design Engineering)","","2023","Robots navigating in social environments inevitably exhibit behavior perceived as inappropriate by people, which they will repeat unless they are aware of them; hindering their social acceptance. This highlights the importance of robots detecting and adapting to the perceived appropriateness of their behavior, in line with what we found in a systematic literature review. Therefore, we have conducted experiments (both outdoor and indoor) to understand the perceived appropriateness of robot social navigation behavior, based on which we collected a dataset and developed a machine learning model for detecting such perceived appropriateness. To investigate the usefulness of such information and inspire robot adaptive navigation behavior design, we will further conduct aWoZ study to understand how trained human operators adapt robot behavior to people's feedback. In all, this work will enable robots to better remediate their inappropriate behavior, thus improving their social acceptance.","Adaptive Behavior; Human-Robot Interaction; Perceived Appropriateness; Social Navigation; Social Signal Processing","en","conference paper","IEEE","","","","","","","2023-09-13","Industrial Design Engineering","","Internet of Things","","",""
"uuid:27429384-d443-45e5-a9d3-86023e5531b3","http://resolver.tudelft.nl/uuid:27429384-d443-45e5-a9d3-86023e5531b3","Using Spoofax to Support Online Code Navigation","Mosses, P.D. (TU Delft Programming Languages; Swansea University)","Lammel, Ralf (editor); Mosses, Peter D. (editor); Steimann, Friedrich (editor)","2023","Spoofax is a language workbench. A Spoofax language specification generally includes name resolution: the analysis of bindings between definitions and references. When browsing code in the specified language using Spoofax, the bindings appear as hyperlinks, supporting precise name-based code navigation. However, Spoofax cannot be used for browsing code in online repositories. This paper is about a toolchain that uses Spoofax to generate hyperlinked twins of code repositories. These generated artefacts support the same precise code navigation as Spoofax, and can be browsed online. The technique has been prototyped on the CBS (Component-Based Semantics) specification language developed by the PLanCompS project, but could be used on any language after specifying its name resolution in Spoofax.","name resolution; precise code navigation; Spoofax language workbench","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Programming Languages","","",""
"uuid:095489b2-554c-4c25-8f79-ce56a4d13d66","http://resolver.tudelft.nl/uuid:095489b2-554c-4c25-8f79-ce56a4d13d66","A Unified Thermal-Reactive Compositional Simulation Framework for Modeling CO2 Sequestration at Various Scales","Wapperom, M.B. (TU Delft Reservoir Engineering); Lyu, X. (China University of Petroleum - Beijing); Nichita, D. V. (Université de Pau et des Pays de l'Adour); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University)","","2023","In this work, we present a unified framework for the simulation of CO2 sequestration problems at various time and space scales. The parametrization technique utilizes thermodynamic state-dependent operators expressing the governing equations for the thermal-compositional-reactive system to solve the nonlinear problem. This approach provides flexibility in the assembly of the Jacobian, which allows straightforward implementation of advanced thermodynamics. We validate our simulation framework through several simulation studies including complex physical phenomena relevant to CCUS. The proposed simulation framework is validated against a set of numerical and experimental benchmark tests, demonstrating the efficiency and accuracy of the modeling framework for CCUS-related subsurface applications. Important physical phenomena resulting from the complex thermodynamic interactions of CO2 and impurities with reservoir fluids can be accurately captured now in detailed dynamic simulation. The investigated simulation scenarios include a reproduction of lab experiments at the core scale, investigation of macro-scale analog model and simulation of large-scale industrial application. The simulation time can also span from hours to years among various applications. Complex thermal-compositional-reactive phenomena can be addressed at each of these space and time scales. The unified thermodynamic description allows us to perform all these simulations for a reasonable CPU time due to advanced parametrization techniques and efficient GPU capabilities in our in-house reservoir simulator DARTS.","advanced thermodynamics; CCUS; kinetics; operator-based linearization","en","conference paper","Society of Petroleum Engineers","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-21","","","Reservoir Engineering","","",""
"uuid:4a4d4723-6c27-4eba-860a-76a9315b36f2","http://resolver.tudelft.nl/uuid:4a4d4723-6c27-4eba-860a-76a9315b36f2","What You Show is What You Get!: Gestures for Microtask Crowdsourcing","Allen, G.M. (TU Delft Web Information Systems); Hu, Andrea (Student TU Delft); Gadiraju, Ujwal (TU Delft Web Information Systems)","","2023","Crowdsourcing is a valuable tool to gather human input which enables the development of reliable artificial intelligence systems. Microtask platforms like Prolific and Amazon's Mechanical Turk have flourished by creating environments where crowd workers can provide such human input in a diverse and representative manner. Such marketplaces have evolved to support several hundreds of workers in earning their primary livelihood through crowd work. Crowd workers, however, often perform these tasks in sub-optimal work environments with poor ergonomics. Additionally, many of the various microtasks require input via the standard method of a mouse and keyboard and are repetitive in nature. As such, crowd workers who primarily earn their livelihoods in microtask marketplaces are at risk of injuries such as carpal tunnel syndrome. By changing the input modality from a mouse and keyboard to gesture-driven input, crowd workers can complete their work while simultaneously improving or safeguarding their physical health. Through three distinct microtasks, we constructed a dataset that enables the exploration of the physical and mental health of crowd workers while using gestures. In this work, we present the process of constructing this dataset, how we applied it, and the future applications we foresee.","crowdsourcing; datasets; microtasks; neural networks; pose detection","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-27","","","Web Information Systems","","",""
"uuid:319e68c1-b038-4fd1-a097-b8e9c8fb5f1e","http://resolver.tudelft.nl/uuid:319e68c1-b038-4fd1-a097-b8e9c8fb5f1e","TSES-R: An Extended Scale for Measuring Parental Expectations toward Robots for Children in Healthcare","Zhang, Feiran (Norwegian University of Science and Technology (NTNU)); Broz, F. (TU Delft Interactive Intelligence); Ferrari, Oriana (Eindhoven University of Technology); Barakova, Emilia (Eindhoven University of Technology)","","2023","There is a growing interest in implementing robotics applications for children in healthcare to provide companionship, comfort, education, and therapy. Parental expectations regarding robotics for young children play a critical role in influencing its development and acceptance. However, parental expectations are widely overlooked in HRI. Therefore, a better understanding of what parents of young children expect the robot to do in health-related interactions with robots is needed. To achieve this, we adopted the Technology-Specific Expectation Scale (TSES) [2] and added three more dimensions (i.e., assistive role, social-emotional, and playful distraction) to gauge usersf expectations of robots in healthcare, resulting in TSES-R. This paper reports the development and reliability analysis of TSES-R. Furthermore, this paper presents the preliminary results collected from using the TSES-R with a sample of 31 families, which showcases how these outcomes could be helpful for future related studies.","Child-robot interaction; expectations and acceptance; parents; robots in healthcare; TSES","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:f57af505-fe15-4e18-8137-9748cfa6c6b3","http://resolver.tudelft.nl/uuid:f57af505-fe15-4e18-8137-9748cfa6c6b3","Exploring Information-Theoretic Criteria to Accelerate the Tuning of Neuromorphic Level-Crossing ADCs","Safa, Ali (Katholieke Universiteit Leuven); Van Assche, Jonah (Katholieke Universiteit Leuven); Frenkel, C. (TU Delft Electronic Instrumentation); Bourdoux, Andre (IMEC-Solliance); Catthoor, Francky (Katholieke Universiteit Leuven); Gielen, Georges (Katholieke Universiteit Leuven)","","2023","Level-crossing analog-To-digital converters (LC-ADCs) are neuromorphic, event-driven data converters that are gaining much attention for resource-constrained applications where intelligent sensing must be provided at the extreme edge, with tight energy and area budgets. LC-ADCs translate real-world analog signals (such as ECG, EEG, etc.) into sparse spiking signals, providing significant data bandwidth reduction and inducing savings of up to two orders of magnitude in area and energy consumption at the system level compared to the use of conventional ADCs. In addition, the spiking nature of LC-ADCs make their use a natural choice for ultra-low-power, event-driven spiking neural networks (SNNs). Still, the compressed nature of LC-ADC spiking signals can jeopardize the performance of downstream tasks such as signal classification accuracy, which is highly sensitive to the LC-ADC tuning parameters. In this paper, we explore the use of popular information criteria found in model selection theory for the tuning of the LC-ADC parameters. We experimentally demonstrate that information metrics such as the Bayesian, Akaike and corrected Akaike criteria can be used to tune the LC-ADC parameters in order to maximize downstream SNN classification accuracy. We conduct our experiments using both full-resolution weights and 4-bit quantized SNNs, on two different bio-signal classification tasks. We believe that our findings can accelerate the tuning of LC-ADC parameters without resorting to computationally-expensive grid searches that require many SNN training passes.","event-based sampling; Information criteria; LC-ADC; Spiking Neural Networks","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-12","","","Electronic Instrumentation","","",""
"uuid:0a9f985c-fde8-4913-8cd1-318160bd1de0","http://resolver.tudelft.nl/uuid:0a9f985c-fde8-4913-8cd1-318160bd1de0","User Interfaces for Cyclists in Future Automated Traffic","Berge, S.H. (TU Delft Transport and Planning); de Winter, J.C.F. (TU Delft Human-Robot Interaction); Hagenzieker, Marjan (TU Delft Transport and Planning)","","2023","In future traffic, intelligent user interfaces may aid cyclists in interpreting the behaviour of automated vehicles. Cyclists can be equipped with obstacle-detecting sensors, and an interface could display relevant information or use audible alerts to warn or inform cyclists of other road users' intent and potential hazards. Researching intelligent user interfaces for cyclists is vital for understanding how users can efficiently and safely interact with automated vehicles. This work-in-progress paper presents two studies for developing and testing user interfaces for cyclists in future automated traffic. In the first study, we reanalysed interview data from 30 cyclists, resulting in two interface concepts: the app CycleSafe and an omnidirectional on-vehicle interface capable of communicating cyclist recognition. In the second study, we outline an envisioned experiment to test these two concepts in a naturalistic environment with cyclists and a vehicle emulating automation. We hypothesise that cyclists prefer receiving warning signals over no warnings, prefer early over late warnings, and that auditory signals and visual on-vehicle interfaces will perform better than visual on-bike interfaces.","AV; cyclist; Human-machine interface; smart bicycles","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Transport and Planning","","",""
"uuid:4f9c553b-57b2-4331-b3df-6ec5b92d0782","http://resolver.tudelft.nl/uuid:4f9c553b-57b2-4331-b3df-6ec5b92d0782","Toward Business Models for a Meta-Platform: Exploring Value Creation in the Case of Data Marketplaces","Abbas, A.E. (TU Delft Information and Communication Technology); Zuiderwijk-van Eijk, A.M.G. (TU Delft Information and Communication Technology); Ofe, H.A. (TU Delft Information and Communication Technology); de Reuver, Mark (TU Delft Information and Communication Technology)","Bui, Tung X. (editor)","2023","Investigating meta-platforms has been a continuing concern within information system literature due to the increasingly complex constellations of platforms in ecologies of ecosystems. A meta-platform is a platform built on top of two or more platforms, hence connecting their respective ecosystems. One promising case to benefit from meta-platforms is data marketplaces: a particular type of platform that facilitates responsible (personal and non-personal) data sharing among companies. Given that business models for meta-platforms are largely unexplored in this emerging case, how they can create value for data marketplaces remain speculative. As a starting point toward business model investigations, this paper explores value creation of a meta-platform in the case of data marketplaces. We interviewed fourteen data-sharing consultants and six meta-platform experts. We identify three potential value creation archetypes of a meta-platform. The discovery aggregator archetype emphasizes searching and dispatching value, while the brokerage one focuses on promoting and supporting value. Finally, the one-stop-shop archetype creates value by standardizing, regulating, sharing, and experimenting. This study is among the first that explore value creation archetypes for a meta-platform, thus identifying core value as a base for further business model investigations.","business models; data marketplaces; data sharing; meta-platforms; value creation","en","conference paper","IEEE","","","","","","","","","","Information and Communication Technology","","",""
"uuid:66ed157b-abe8-42ad-bcac-4b686630c163","http://resolver.tudelft.nl/uuid:66ed157b-abe8-42ad-bcac-4b686630c163","Application of Deep Neural Networks to the Operator Space of Nonlinear PDE for Physics-Based Proxy Modelling","Hadjisotiriou, George (Student TU Delft); Mansour Pour, K. (TU Delft Reservoir Engineering); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University)","","2023","In this study, we utilize deep neural networks to approximate operators of a nonlinear partial differential equation (PDE), within the Operator-Based Linearization (OBL) simulation framework, and discover the physical space for a physics-based proxy model with reduced degrees of freedom. In our methodology, observations from a high-fidelity model are utilized within a supervised learning scheme to directly train the PDE operators and improve the predictive accuracy of a proxy model. The governing operators of a pseudo-binary gas vaporization problem are trained with a transfer learning scheme. In this two-stage methodology, labeled data from an analytical physics-based approximation of the operator space are used to train the network at the first stage. In the second stage, a Lebesgue integration of the shocks in space and time is used in the loss function by the inclusion of a fully implicit PDE solver directly in the neural network's loss function. The Lebesgue integral is used as a regularization function and allows the neural network to discover the operator space for which the difference in shock estimation is minimal. Our Physics-Informed Machine Learning (PIML) methodology is demonstrated for an isothermal, compressible, two-phase multicomponent gas-injection problem. Traditionally, neural networks are used to discover hidden parameters within the nonlinear operator of a PDE. In our approach, the neural network is trained to match the shocks of the full-compositional model in a 1D homogeneous model. This training allows us to significantly improve the prediction of the reduced-order proxy model for multi-dimensional highly heterogeneous reservoirs. With a relatively small amount of training, the neural network can learn the operator space and decrease the error of the phase-state classification of the compositional transport problem. Furthermore, the accuracy of the breakthrough time prediction is increased therefore improving the usability of the proxy model for more complex cases with more nonlinear physics.","united states government; machine learning; upstream oil & gas; artificial intelligence; voskov; equation; neural network; simulation; compositional simulation; figure","en","conference paper","Society of Petroleum Engineers","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-21","","","Reservoir Engineering","","",""
"uuid:215a6770-a735-45f9-ac5e-5270c9eae744","http://resolver.tudelft.nl/uuid:215a6770-a735-45f9-ac5e-5270c9eae744","Efficient Inverse Modeling Framework for Energy Transition Applications Using Operator-Based Linearization and Adjoint Gradients","Tian, X. (TU Delft Reservoir Engineering); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University)","","2023","In this paper, we present an efficient inverse modeling framework for energy transition applications. The key feature of this framework is a combination of adjoint gradients and Operator-based Linearization (OBL) technique to achieve high efficiency in inverse modeling based on forward simulations. This framework allows conducting the history matching of practical industrial applications using the gradient descent method with considerable model control variables in a reasonable time. Generally, the inverse modeling of industrial applications involves large amounts of gradient calculations in algorithms based on gradient descent. In this study, we analytically compute the gradient using the adjoint gradient method as an alternative to the widely used numerical gradient method where many time-consuming forward simulation runs are needed. In the adjoint gradient approach, the objective function is linearly combined with the governing equation by introducing a Lagrange multiplier. That allows for finding the analytical gradient in a backward manner. The developed adjoint gradient method takes full advantage of the OBL efficiency and flexibility when assembling the Jacobian and some relevant derivatives. We demonstrate the applications of the proposed inverse modeling framework to different energy transition applications, including petroleum production, extraction of geothermal energy, and CO2 storage. We demonstrate various treatments of objective function definitions, well controls, and measurement errors for these industrial applications. For petroleum production, the proposed framework is tested on the multiphase multi-component flow problem, which is illustrated by an example of data-driven Discrete Well Affinity model. For this application, only production data is considered. The geothermal problem involves an additional energy balance equation and various property calculations for water and steam. In this application, together with the production data, additional electromagnetic monitoring is used in the history matching process. The results show that electromagnetic monitoring significantly improves the inversion process. We conclude the description of our framework with an application relevant to CO2 sequestration process. The CO2 storage modeling is complicated due to the complex physical phenomena to be considered. In this application, tracer data are used as an additional observation, which allows considering uncertainties in the dynamics of CO2. In this study, the adjoint gradient method is specially designed and customized for OBL infrastructure of the Delft Advanced Research Terra Simulator (DARTS). This allows us to design the general-purpose inversion module with efficient gradient computation, while most existing simulation platforms lack this capability. Based on the multiphysics simulation engine in DARTS, the various observation information can be combined in the proposed framework. This allows us to solve the general-purpose inverse modeling problems for most energy transition applications.","","en","conference paper","Society of Petroleum Engineers","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-21","","","Reservoir Engineering","","",""
"uuid:a400cb4f-97ab-4c1b-9ab4-c76b2af79517","http://resolver.tudelft.nl/uuid:a400cb4f-97ab-4c1b-9ab4-c76b2af79517","Explainable Cross-Topic Stance Detection for Search Results","Draws, T.A. (TU Delft Web Information Systems); Natesan Ramamurthy, Karthikeyan (IBM Research); Baldini, Ioana (IBM Research); Dhurandhar, Amit (IBM Research); Padhi, Inkit (IBM Research); Timmermans, Benjamin (IBM Benelux); Tintarev, N. (Universiteit Maastricht)","","2023","One way to help users navigate debated topics online is to apply stance detection in web search. Automatically identifying whether search results are against, neutral, or in favor could facilitate diversification efforts and support interventions that aim to mitigate cognitive biases. To be truly useful in this context, however, stance detection models not only need to make accurate (cross-topic) predictions but also be sufficiently explainable to users when applied to search results - an issue that is currently unclear. This paper presents a study into the feasibility of using current stance detection approaches to assist users in their web search on debated topics. We train and evaluate 10 stance detection models using a stance-annotated data set of 1204 search results. In a preregistered user study (N = 291), we then investigate the quality of stance detection explanations created using different explainability methods and explanation visualization techniques. The models we implement predict stances of search results across topics with satisfying quality (i.e., similar to the state-of-the-art for other data types). However, our results reveal stark differences in explanation quality (i.e., as measured by users' ability to simulate model predictions and their attitudes towards the explanations) between different models and explainability methods. A qualitative analysis of textual user feedback further reveals potential application areas, user concerns, and improvement suggestions for such explanations. Our findings have important implications for the development of user-centered solutions surrounding web search on debated topics.","bias; explainability; stance detection; viewpoint; web search","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:6a561279-0f2f-48e0-a1dc-585510fb6d73","http://resolver.tudelft.nl/uuid:6a561279-0f2f-48e0-a1dc-585510fb6d73","Lessons Learned from Designing and Evaluating CLAICA: A Continuously Learning AI Cognitive Assistant","Kernan Freire, S. (TU Delft Internet of Things); Niforatos, E. (TU Delft Internet of Things); Wang, C.W. (TU Delft Human-Centred Artificial Intelligence); Ruiz Arenas, S. (TU Delft Internet of Things; Universidad EAFIT); Foosherian, Mina (University of Bremen); Wellsandt, Stefan (University of Bremen); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2023","Learning to operate a complex system, such as an agile production line, can be a daunting task. The high variability in products and frequent reconfigurations make it difficult to keep documentation up-to-date and share new knowledge amongst factory workers. We introduce CLAICA, a Continuously Learning AI Cognitive Assistant that supports workers in the aforementioned scenario. CLAICA learns from (experienced) workers, formalizes new knowledge, stores it in a knowledge base, along with contextual information, and shares it when relevant. We conducted a user study with 83 participants who performed eight knowledge exchange tasks with CLAICA, completed a survey, and provided qualitative feedback. Our results provide a deeper understanding of how prior training, context expertise, and interaction modality affect the user experience of cognitive assistants. We draw on our results to elicit design and evaluation guidelines for cognitive assistants that support knowledge exchange in fast-paced and demanding environments, such as an agile production line.","chatbots; cognitive assistant; human-centered AI; industry 5.0; knowledge sharing; knowledge-based AI","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Internet of Things","","",""
"uuid:d2241b70-d353-4fdb-97b6-48554b7665b3","http://resolver.tudelft.nl/uuid:d2241b70-d353-4fdb-97b6-48554b7665b3","19.1 A 300MHz-BW, 27-to-38dBm In-Band OIP3 sub-7GHz Receiver for 5G Local Area Base Station Applications","Montazerolghaem, M.A. (TU Delft Electronics); de Vreede, L.C.N. (TU Delft Electronics); Babaie, M. (TU Delft Electronics)","","2023","Recently, the so-called sub-6GHz band of the 5G new radio (NR) has been extended to 7.125GHz to address the relentless customer demand for higher data-rate communication. This demands a new design approach for the local area base-station (LA-BS) receivers (RXs) to cover a wide operating frequency range of 0.41 to 7.125GHz. Moreover, for NR bands above 3GHz, the maximum RF bandwidth (BW) is as high as 400MHz, in which a -35dBm modulated in-band (IB) blocker can be present. These impose stringent BW and IB linearity requirements for the baseband amplifiers in the LA-BS receivers. In addition to IB interferences, a -15dBm continuous-wave (CW) out-of-band (OOB) close-in blocker can also be present at 60MHz offset frequency from the passband edges, thus demanding a highly selective RX. Finally, the blocker 1dB compression point (B1textdB) becomes a key parameter for local area co-location applications in which the power of the far-out OOB blocker can be as large as -4dBm.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","","Electronics","","",""
"uuid:84b97250-7922-43ea-a874-14ae6454eefe","http://resolver.tudelft.nl/uuid:84b97250-7922-43ea-a874-14ae6454eefe","Tough Decisions? Supporting System Classification According to the AI Act","Hanif, Hilmy (Student TU Delft); Constantino Torres, J.E. (TU Delft Organisation & Governance); Sekwenz, M.T. (TU Delft Organisation & Governance); van Eeten, M.J.G. (TU Delft Organisation & Governance); Ubacht, J. (TU Delft Information and Communication Technology); Wagner, Ben (TU Delft Organisation & Governance); Zhauniarovich, Y. (TU Delft Organisation & Governance)","Sileno, Giovanni (editor); Spanakis, Jerry (editor); van Dijck, Gijs (editor)","2023","The AI Act represents a significant legislative effort by the European Union to govern the use of AI systems according to different risk-related classes, linking varying degrees of compliance obligations to the system's classification. However, it is often critiqued due to the lack of general public comprehension and effectiveness regarding the classification of AI systems to the corresponding risk classes. To mitigate those shortcomings, we propose a Decision-Tree-based framework aimed at increasing robustness, legal compliance and classification clarity with the Regulation. Quantitative evaluation shows that our framework is especially useful to individuals without a legal background, allowing them to improve considerably the accuracy and significantly reduce the time of case classification.","AI Act; AIA; Artificial Intelligence; Compliance; Risk Classification","en","conference paper","IOS Press","","","","","","","","","","Organisation & Governance","","",""
"uuid:965f1c4b-83f0-4b20-8036-5e9d147e164c","http://resolver.tudelft.nl/uuid:965f1c4b-83f0-4b20-8036-5e9d147e164c","Establishing the Influence of Methanol Fuelled Power Propulsion and Energy Systems on Ship Design","Souflis-Rigas, A.S.R. (TU Delft Ship Design, Production and Operations); Pruyn, J.F.J. (TU Delft Ship Design, Production and Operations); Kana, A.A. (TU Delft Ship Design, Production and Operations)","","2023","The adoption of alternative energy carriers is one of the key ways to meet the increasingly stricter emission regulations faced by shipping vessels from the international maritime organisation (IMO) and European Commission. To support this objective, this study examines the challenges and uncertainties associated with implementing a methanol power propulsion and energy (PPE) system on the design of a vessel. This paper argues that new fuels, such as methanol, should be treated
as disruptive innovations due, in part, to the uncertainties surrounding their implementation. Their integration causes challenges regarding systems selection, layout design, and maintaining strict safety measures. In the case of methanol, current research treats the fuel as a system conversion based on diesel fuel. This paper provides a review of the state-of-the-art on the design of methanol fuelled vessels, and identifies a research gap related to the need for a new suitable design method for the design of ships integrating future alternatively fuelled PPE systems. A design approach inspired by model-based systems engineering integrating uncertainty modelling is proposed to examine the influence of uncertainty on the design of the vessels. The impact of uncertainty on the design is investigated through a case study of a simplified engine room layout utilizing a genetic algorithm to produce layouts for variable PPE systems dimensions within a Monte
Carlo simulation.","Methanol; Ship Design; Uncertainty Propagation; Systems integration; alternative propulsion systems","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:6d03a982-f0a1-415f-808f-5a608e4a95a2","http://resolver.tudelft.nl/uuid:6d03a982-f0a1-415f-808f-5a608e4a95a2","A system's perspective analysis of barriers to MASS large-scale diffusion","Joukes, Mariah Kurtinaitis (Student TU Delft); Ortt, J.R. (TU Delft Economics of Technology and Innovation); de Bruijne, M.L.C. (TU Delft Organisation & Governance)","","2023","This article analyses current developments in Autonomous Shipping (MASS) by adopting a socio-technical system perspective to explain why the technology is (still) only applied in small-scale niche applications and still not applied on a large scale. Using literature study and an exploratory research approach to obtain in-depth information from naval practitioners and experts in the (autonomous) shipping industry we identify which factors currently stimulate or hamper the diffusion of autonomous shipping.An analysis of the Technological Innovation System (TIS) of the maritime industry shows that the 'standard' building blocks framework requires adjustment with regard to the market building block to make it applicable to analyze and understand developments in and motives and drivers of Autonomous Shipping. A subsequent analysis of the current status of the maritime-specific market building blocks showed these were to a large extent complete, with the exception of cost-benefit aspects. This result shows that large-scale diffusion is primarily hampered by this issue and cannot easily be resolved in the foreseeable future.","Autonomous shipping; Barriers; innovation; Market; MASS","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-04","","","Economics of Technology and Innovation","","",""
"uuid:13263e57-3337-4262-b01e-6a586797bbac","http://resolver.tudelft.nl/uuid:13263e57-3337-4262-b01e-6a586797bbac","Towards a Dutch Hybrid Quantum/HPC Infrastructure","Schusler, O.M. (TU Delft ALG/General; TU Delft QuTech Advanced Research Centre); Torres-Knoop, Ariana (SURF, Utrecht); Dijkshoorn, J.P. (SURF, Utrecht); Hollemans, C.L. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre); van der Vlies, B.G.A. (SURF, Utrecht); Versluis, R. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre)","Muller, Hausi (editor); Alexev, Yuri (editor); Delgado, Andrea (editor); Byrd, Greg (editor)","2023","Quantum Inspire has taken important steps to enable quantum applications by developing a setting that allows the execution of hybrid algorithms. Currently, the setting uses a classical server (HPC node) co-located with the quantum computer for the high frequency coupling needed by hybrid algorithms. A fast task manager (dispatcher) has been developed to orchestrate the interaction between the server and the quantum computer. Although successful, the setting imposes a specific hybrid job-structure. This is most likely always going to be the case and we are currently discussing how to make sure this does not hamper the uptake of the setting. Furthermore, first steps have been taken towards the integration with the Dutch National High-Performance Computing (HPC) Center, hosted by SURF. As a first approach we have setup a setting consisting of two SLURM clusters, one in the HPC (C1) and the second (C2) co-located with Quantum Inspire API. Jobs are submitted from C1 to C2. Quantum Inspire can then schedule with C2 the jobs to the quantum computer. With this setting, we enable control from both SURF and Quantum Inspire on the jobs being executed. By using C1 for the jobs submission we remove the accounting burden from Quantum Inspire. By having C2 co-located with Quantum Inspire API, we make the setting more resilient towards network failures. This setting can be extended for other HPC centers to submit jobs to Quantum Inspire backends.","HPC; hybrid; quantum; SLURM","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","","","2024-05-30","","","ALG/General","","",""
"uuid:8c63917d-699f-4dfa-b728-71c2e11dbe84","http://resolver.tudelft.nl/uuid:8c63917d-699f-4dfa-b728-71c2e11dbe84","Ethic Amanuensis: Supporting Machine Learning Practitioners Making and Recording Ethical Decisions","Murray-Rust, D.S. (TU Delft Human Information Communication Design); Tsiakas, K. (TU Delft Human Information Communication Design)","Reformat, Marek (editor); Zhang, Du (editor); Bourbakis, Nikolaos G. (editor)","2023","Ethics should be a practice, not a checkbox. Data scientists want to answer questions about individuals and society using the vast torrent of data that flows around us. Machine learning practitioners want to develop and connect complex
models of the world and use them safely in critical situations. Ethical issues can be seen as getting in the way of the core idea and form pain points around managing, using and learning from data, as well as designing human-centric and ethical systems. This is because there is a design gap around ethics in data
science and machine learning: the tools that we use do not support ethical data use, which means that data scientists and machine learning practitioners, already engaged in technically complex, multidisciplinary work, must add another dimension to their thinking. This work proposes and outlines an infrastructure and framework that can support in-the-moment ethical decision
making and recording, as well as post-hoc audits and ethical model deployment.","","en","conference paper","IEEE Computer Science","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Human Information Communication Design","","",""
"uuid:93b5108c-16a4-4e80-8e8e-40e08ddece8a","http://resolver.tudelft.nl/uuid:93b5108c-16a4-4e80-8e8e-40e08ddece8a","Exploring the Parallel Use of Multiple Corporate Entrepreneurship Units: An Empirical Investigation of the German Business Landscape","Heinzelmann, Nicolai (Universität Konstanz); Freitag, Thomas (Universität Konstanz); Ortt, J.R. (TU Delft Economics of Technology and Innovation); Baltes, Guido H. (Universität Konstanz)","","2023","Corporate Entrepreneurship (CE) units have become an increasingly important part of established companies' development activities enabling them to also create more discontinuous innovations. As a result, companies have developed and implemented different forms of CE units, such as corporate accelerators, incubators, startup supplier programs, and corporate venture capital. Driven by the need to innovate, companies have even begun to use multiple CE units simultaneously. However, this has not been empirically investigated yet. Thus, with this study, we aim to shed some light on this by investigating the parallel use of multiple CE units in the German business landscape. We conducted an extensive desk research, combining, coding, and analyzing different sources. We found that 55 out of 165 large established companies have multiple CE units, which allowed us to characterize the parallel use and identify differences and similarities, e.g., in terms of industry, company size, and CE forms implemented. We conclude by presenting different implications for both practice and research and by pointing out directions for future research.","Co-Specialization; Combinations; Corporate Entrepreneurship; German Industry; Support Units","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-04","","","Economics of Technology and Innovation","","",""
"uuid:7ef851ca-a348-439c-abc7-9d3ae5062afe","http://resolver.tudelft.nl/uuid:7ef851ca-a348-439c-abc7-9d3ae5062afe","Developing Team Design Patterns for Hybrid Intelligence Systems","van Zoelen, E.M. (TU Delft BUS/TNO STAFF; TU Delft Interactive Intelligence); Mioch, T. (TU Delft Interactive Intelligence; Hogeschool Utrecht); Tajaddini, M. (TU Delft Interactive Intelligence); Fleiner, Christian (Friedrich-Alexander-Universität Erlangen-Nürnberg); Tsaneva, Stefani (WU Wien; Technische Universität Wien); Camin, Pietro (University of Twente); Gouvêa, Thiago S. (DFKI GmbH); Baraka, Kim (Vrije Universiteit Amsterdam); De Boer, Maaike H.T. (DIANA FEA); Neerincx, M.A. (TU Delft BUS/TNO STAFF; TU Delft Interactive Intelligence)","Lukowicz, Paul (editor); Mayer, Sven (editor); Koch, Janin (editor); Shawe-Taylor, John (editor); Tiddi, Ilaria (editor)","2023","With artificial intelligence (AI) systems entering our working and leisure environments with increasing adaptation and learning capabilities, new opportunities arise for developing hybrid (human-AI) intelligence (HI) systems, comprising new ways of collaboration. However, there is not yet a structured way of specifying design solutions of collaboration for hybrid intelligence (HI) systems and there is a lack of best practices shared across application domains. We address this gap by investigating the generalization of specific design solutions into design patterns that can be shared and applied in different contexts. We present a human-centered bottom-up approach for the specification of design solutions and their abstraction into team design patterns. We apply the proposed approach for 4 concrete HI use cases and show the successful extraction of team design patterns that are generalizable, providing re-usable design components across various domains. This work advances previous research on team design patterns and designing applications of HI systems.","Co-evolution; Human-centered AI; Hybrid Intelligence; Interdependence; Team Design Patterns; Use-case based research","en","conference paper","IOS Press","","","","","","","","","","BUS/TNO STAFF","","",""
"uuid:2d3ea9f9-8e02-4ace-9430-0c6d230b48b5","http://resolver.tudelft.nl/uuid:2d3ea9f9-8e02-4ace-9430-0c6d230b48b5","Inferring Values via Hybrid Intelligence","Liscio, E. (TU Delft Interactive Intelligence); Lera-Leri, Roger (Artificial Intelligence Research Institute, Spanish National Scientific Research Council); Bistaffa, Filippo (Artificial Intelligence Research Institute, Spanish National Scientific Research Council); Dobbe, R.I.J. (TU Delft Information and Communication Technology); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden); Lopez-Sanchez, Maite (Universitat Politecnica de Catalunya); Rodriguez-Aguilar, Juan A. (Artificial Intelligence Research Institute, Spanish National Scientific Research Council); Murukannaiah, P.K. (TU Delft Interactive Intelligence)","Lukowicz, Paul (editor); Mayer, Sven (editor); Koch, Janin (editor); Shawe-Taylor, John (editor); Tiddi, Ilaria (editor)","2023","Values, such as freedom and safety, are the core motivations that guide us humans. A prerequisite for creating value-aligned multiagent systems that involve humans and artificial agents is value inference, the process of identifying values and reasoning about human value preferences. We introduce a framework that connects the value inference steps, and motivate why a hybrid intelligence approach is instrumental for its success. We also highlight the multidisciplinary research challenges that hybrid value inference entails.","ethics; hybrid intelligence; norms; sociotechnical systems; values","en","conference paper","IOS Press","","","","","","","","","","Interactive Intelligence","","",""
"uuid:d8104f4b-0516-4e74-9c26-b1396b21b630","http://resolver.tudelft.nl/uuid:d8104f4b-0516-4e74-9c26-b1396b21b630","Unraveling Multimodal Nearshore Wind-wave Fields On The Dutch Shoreface","Hoogervorst, C.D. (TU Delft Coastal Engineering); Antolínez, José A. Á. (TU Delft Coastal Engineering); Portilla-Yandún, Jesús (Escuela Politecnica Nacional); Lavidas, G. (TU Delft Offshore Engineering); Tissier, M.F.S. (TU Delft Environmental Fluid Mechanics); Aarninkhof, S.G.J. (TU Delft Civil Engineering & Geosciences)","Cox, Dan (editor)","2023","Changing (wind) climate might influence the magnitude, direction, and frequency of wave systems (Lobeto et al., 2021). However, in coastal engineering applications, generalized wave parameters are commonly used in climate change assessments with the risk of, for example, misrepresenting the nearshore transformation of wind-driven wave climates (Hegermiller et al., 2017). In consequence, these uncertainties in the nearshore (wind) climate will affect, amongst others, ship navigation, the implementation of marine renewable energy farms, the feasibility of coastal infrastructure and defences, or the efficiency of sandy coastal maintenance, and thus the decision-making of long-term, multidecadal coastal strategies (Rijksoverheid, 2013), especially when they are designed accounting for the Building with Nature concept (de Vriend et al., 2015). This study analyses the importance and application of considering multiple coexisting wave trains on the Dutch shoreface.","","en","conference paper","ASCE - COPRI","","","","","","","","Civil Engineering & Geosciences","","Coastal Engineering","","",""
"uuid:9809d4ab-b196-42af-bbfe-15b6f117314d","http://resolver.tudelft.nl/uuid:9809d4ab-b196-42af-bbfe-15b6f117314d","Estuarine Sensitivity To Nature-based Salt Intrusion Mitigation Measures","Hendrickx, G.G. (TU Delft Coastal Engineering); Antolínez, José A. Á. (TU Delft Coastal Engineering); Herman, P.M.J. (TU Delft Environmental Fluid Mechanics; Deltares); Aarninkhof, S.G.J. (TU Delft Civil Engineering & Geosciences)","Cox, Dan (editor)","2023","All around the world, deltas are among the most densely populated and heavily utilised regions, where crucial functions, such as freshwater availability and safety against flooding, strongly relate to the natural dynamics of the system. Therefore, a thorough understanding of the estuarine system is crucial, especially when developing nature-based solutions for safeguarding these essential functions for today’s society as well as future generations. To better understand the effect of different estuarine parameters on salt intrusion, an extensive sensitivity analysis has been executed based on an idealised estuary layout. The idealised estuary is parametrically designed using thirteen parameters that represent both boundary conditions and geometric features, such as river discharge and water depth. Subsequently, the Delft3D Flexible Mesh (DFM) model has been used to determine the salt intrusion, allowing the exploration of a wide range of estuary layouts.","","en","conference paper","ASCE - COPRI","","","","","","","","Civil Engineering & Geosciences","","Coastal Engineering","","",""
"uuid:f6a07fe6-3c6d-4ff5-a2c0-02e38736ad87","http://resolver.tudelft.nl/uuid:f6a07fe6-3c6d-4ff5-a2c0-02e38736ad87","Impacts Of SLR-upscaled Nourishment Scenarios On Decadal Cross-shore Dynamics","Kettler, T.T. (TU Delft Coastal Engineering); de Schipper, M.A. (TU Delft Coastal Engineering); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares); Aarninkhof, S.G.J. (TU Delft Civil Engineering & Geosciences)","Cox, Daniel (editor)","2023","Projections of high rates of sea level rise have stimulated proposals for adaptation strategies with increasingly high nourishment volumes. Nourishment strategies involving higher sand volumes can be accomplished by increasing the volume of individual nourishments or by decreasing the time interval between successive nourishments. The optimal placement of the sediment volumes in the cross-shore and alongshore to attain our coastal management goals is still under debate. From a long term, large scale perspective only the added sediment volume may be considered, regardless of the placement. A widely accepted perception is that coastal profiles respond to nourishment by rapid equilibration to an equilibrium shape including the added sand volume. However, the timescale of the redistribution of the sediment may be slower than the desired spreading rate of the added sediment, causing sediment to accumulate at some parts of the profile, while leaving other elevations sediment starved. This research aims to examine decadal-scale coastal profile response to nourishment strategies upscaled with sea level rise (SLR) whereby potential nourishment strategy impacts for beach width (fluctuations), dune growth potential and momentary coastline are mapped.","","en","conference paper","ASCE - COPRI","","","","","","","","Civil Engineering & Geosciences","","Coastal Engineering","","",""
"uuid:81478ce8-f464-4179-b6cc-8047211615f0","http://resolver.tudelft.nl/uuid:81478ce8-f464-4179-b6cc-8047211615f0","Using Shallow Nearshore Berm Nourishments To Enhance Beach Width","de Schipper, M.A. (TU Delft Coastal Engineering); Onnink, Casper J. (Count & Cooper); Bruder, Brittany L. (U.S. Army Engineer Research and Development Center); Brodie, Katherine L. (U.S. Army Engineer Research and Development Center)","Cox, Daniel (editor)","2023","Nearshore berms or shoreface nourishments are sandy coastal interventions in which sediment is placed seaward of the land-water interface. These projects rely on natural forces to redistribute sediment in the nearshore zone and mitigate erosion, increase beach width or both. However, there is still limited knowledge on the connection between the morphodynamic development of the nourishment body and the changes to the beach landward to it. Several aspects make it difficult to connect the changes in the sub-aqueous and sub-aerial zones of the cross-shore profile, amongst others the lack of data and the small signal in beach changes with respect to the natural variability. We hypothesize that this obscurity also originates from the deep placement of most nearshore berms, making them mobilized very infrequently. This study examines observations of a unique shallow nearshore berm at New Smyrna (FL) where about 350,000 m3 of sediment dredged from a nearby inlet was deposited in the nearshore zone by moving the end of an outflow pipe along a 400-m section of coast.","","en","conference paper","ASCE - COPRI","","","","","","","","","","Coastal Engineering","","",""
"uuid:9376a51c-d62d-4ace-9e60-36798586a0ca","http://resolver.tudelft.nl/uuid:9376a51c-d62d-4ace-9e60-36798586a0ca","ARCH-COMP23 Category Report: Stochastic Models","Abate, Alessandro (University of Oxford); Blom, H.A.P. (TU Delft Air Transport & Operations); Cauchi, Nathalie (University of Oxford); Delicaris, Joanna (University of Münster); Haesaert, Sofie (Eindhoven University of Technology); van Huijgevoort, Birgit (Eindhoven University of Technology); Lavaei, Abolfazl (Newcastle University); Remke, Anne (University of Münster); Schon, Oliver (Newcastle University)","Frehse, Goran (editor); Althoff, Matthias (editor)","2023","Abstract
This report is concerned with a friendly competition for formal verification and policy synthesis of stochastic models. The main goal of the report is to introduce new benchmarks and their properties within this category and recommend next steps toward next year’s edition of the competition. Given that the tools for stochastic models are at their early stages of development compared to those of non-probabilistic models, the main focus is to report on an initiative to collect a set of minimal benchmarks that all such tools can run, thus facilitating the comparison between the efficiency of the implemented techniques. This friendly competition took place as part of the workshop Applied Verification for Continuous and Hybrid Systems (ARCH) in Summer 2023.","","en","conference paper","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:b505941f-f8c8-474a-b1d1-32af13fe4906","http://resolver.tudelft.nl/uuid:b505941f-f8c8-474a-b1d1-32af13fe4906","Tuning Parameters in the Genetic Algorithm Optimization of Electrostatic Electron Lenses","Hesam Mahmoudi Nezhad, N. (TU Delft ImPhys/Hagen group); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Hagen, C.W. (TU Delft ImPhys/Hagen group); Kruit, P. (TU Delft ImPhys/Hoogenboom group)","","2023","The design of electrostatic electron lenses involves the choice of many geometrical parameters for the lens electrodes as well as the choice of voltages applied to the electrodes. The purpose of the design is to focus the electrons at a specific point and to minimize the aberrations of the lens. In a previous study, genetic algorithm optimization was introduced to aid the designer. For speeding up the electrostatic field calculations, new methods for analytical approximations of the field near the optical axis were introduced. In this paper, the influence of the main tuning parameters of the Genetic Algorithms is analyzed. The analysis is performed on a typical electrostatic lens systems including 6 electrodes. Different combinations of population sizes and number of generations are taken and the quality of the optimized lens system is compared. It is seen that within a constant computational effort (time or total number of system evaluations), a lower population size with a larger number of generations can achieve better results compared to having larger population size and fewer generations. The combination of Crossover Heuristic with Mutation Gaussian showed significantly better results compared to all other combinations of Mutations and Crossovers. Crossover Fraction is also evaluated to find the most suited values of this parameter.","Genetic Algorithms; Tuning Parameters; Electrostatic Lens; Lens Design Optimization","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-07","","","ImPhys/Hagen group","","",""
"uuid:d8bc500a-6265-4183-8fdf-d5eff1abc5dd","http://resolver.tudelft.nl/uuid:d8bc500a-6265-4183-8fdf-d5eff1abc5dd","Local Frictions in the Energy Transition: Design Anthropology for the Emergence of Energy Communities","van Leeuwen, G.E. (TU Delft Design Conceptualization and Communication); Singh, A. (TU Delft Design Conceptualization and Communication)","","2023","Critical challenges in energy transitions are social and cultural – not just technical and economic. This paper shares research in an interdisciplinary consortium developing an innovative smart energy system, and demonstrates the value of ethnography in supporting energy transitions and local energy communities. Our fieldwork illuminated frictions stemming from the invisibility of energy infrastructure and lack of a relatable narrative, people’s past experiences with public participation in the energy transition, and conflict between long-term policy goals with people’s short-term concerns. The project’s typical techno-economic framing of renewable energy projects also inhibited the building of social connections and rapport within our fieldwork. Using a design anthropology approach, we describe how ethnographers can support the emergence of local energy communities and identify future directions to address the frictions identified. These directions include making energy systems more socially experienceable, mediating between people and institutions, and embedding ethnographic engagements in institutional structures to ensure continuity.","design anthropology; Energy Communities","en","conference paper","EPIC Proceedings","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Design Conceptualization and Communication","","",""
"uuid:2de3287f-1c61-4c06-a185-3315352bc352","http://resolver.tudelft.nl/uuid:2de3287f-1c61-4c06-a185-3315352bc352","Fabricated Flips: Poisoning Federated Learning without Data","Huang, J. (TU Delft Data-Intensive Systems); Zhao, Z. (TU Delft Data-Intensive Systems); Chen, Lydia Y. (TU Delft Data-Intensive Systems); Roos, S. (TU Delft Data-Intensive Systems)","O'Conner, Lisa (editor)","2023","Attacks on Federated Learning (FL) can severely reduce the quality of the generated models and limit the usefulness of this emerging learning paradigm that enables on-premise decentralized learning. However, existing untargeted attacks are not practical for many scenarios as they assume that i) the attacker knows every update of benign clients, or ii) the attacker has a large dataset to locally train updates imitating benign parties. In this paper, we propose a data-free untargeted attack (DFA) that synthesizes malicious data to craft adversarial models without eavesdropping on the transmission of benign clients at all or requiring a large quantity of task-specific training data. We design two variants of DFA, namely DFA-R and DFA-G, which differ in how they trade off stealthiness and effectiveness. Specifically, DFA-R iteratively optimizes a malicious data layer to minimize the prediction confidence of all outputs of the global model, whereas DFA-G interactively trains a malicious data generator network by steering the output of the global model toward a particular class. Experimental results on Fashion-MNIST, Cifar-10, and SVHN show that DFA, despite requiring fewer assumptions than existing attacks, achieves similar or even higher attack success rate than state-of-the-art untargeted attacks against various state-of-the-art defense mechanisms. Concretely, they can evade all considered defense mechanisms in at least 50% of the cases for CIFAR-10 and often reduce the accuracy by more than a factor of 2. Consequently, we design REFD, a defense specifically crafted to protect against data-free attacks. REFD leverages a reference dataset to detect updates that are biased or have a low confidence. It greatly improves upon existing defenses by filtering out the malicious updates and achieves high global model accuracy.","data heterogeneity; data-free attack; Federated learning; untargeted attack","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","","Data-Intensive Systems","","",""
"uuid:019d5385-8339-435c-86ea-367636181573","http://resolver.tudelft.nl/uuid:019d5385-8339-435c-86ea-367636181573","Specifications of a Simulation Framework for Virtualized Intelligent Electronic Devices in Smart Grids Covering Networking and Security Requirements","Kabbara, N. (Électricité de France S.A.; Universiteit Utrecht); Mwangi, A.W. (Universiteit Utrecht); Gibescu, Madeleine (Universiteit Utrecht); Abedi, A. (TU Delft Intelligent Electrical Power Grids); Stefanov, Alexandru (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy)","","2023","As power system's operational technology converges with innovative information and communication technologies, the need for extensive resilience testing for scenarios covering the electrical grid, networking bottlenecks, as well as cyber security threats, become a necessity. This paper proposes a comprehensive, multi-disciplinary simulation framework to test virtualized intelligent electronic devices (vIEDs), considering 1) functional requirements, 2) performance and quality of service of the underlying communication network using software-defined networking, and 3) cyber security intrusion detection schemes. This work serves as a reference for researchers interested in the grid modernization of information and communication infrastructure for future power systems. Six different cyber security attack surfaces have been identified within the framework scope. It was observed that migration of vIEDs due to device maintenance or external anomalies is interesting from an operational perspective yet still poses significant security threats. Therefore, both host-based and network-based intrusion detection schemes were analyzed. Also, the setup has been mapped to an offshore wind case study demonstrating its potential and possible scenarios to simulate.","virtualized intelligent electronic devices; software-defined networking; intrusion detection; IT/OT; simulation framework; cyber-physical power systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:c2e7fd95-6dd7-40b4-bec8-be81da613638","http://resolver.tudelft.nl/uuid:c2e7fd95-6dd7-40b4-bec8-be81da613638","Development of Reliable Power Electronic Systems using Real Time Digital Twin Based Power Hardware-in-the-Loop Testbed","Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Rituraj, G. (TU Delft DC systems, Energy conversion & Storage); van der Sande, Robin (TU Delft DC systems, Energy conversion & Storage); Ahmadi, M. (TU Delft DC systems, Energy conversion & Storage); Deshmukh, R.S. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage); Nougain, V. (TU Delft Intelligent Electrical Power Grids); Lekić, A. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy)","","2023","Reliable Power Electronic Systems (PES) are vital for enabling energy transition technologies of the future. Power hardware-in-the-Loop (PHIL) test bed can be used to validate such systems cost-effectively and time-efficiently. In general, the Real Time Digital Twin (RTDT) is a virtual representation of the PES and its operating environment that mimics its behavior in real-time to provide adequate flexibility to the test bed. The workflow of alternating between the prototype and twin, for instance, overcomes the dilemma of needing 100 % details (due to fast dynamics), but optimization during design choices requires cheap flexibility. In this paper, some use cases in applications of RTDT-based PHIL test bed such as fault tolerant converters, power electronic interface for green technologies, survivable all-electric ships, mission profile-based reliability testing, protection of multi terminal dc systems and reconfigurable hybrid ac-dc links is discussed. Furthermore, the co-simulation potential of real-time platforms is briefly described.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","Electrical Sustainable Energy","DC systems, Energy conversion & Storage","","",""
"uuid:2ac1b3c5-2fa0-43de-898a-cc4ae3ee404e","http://resolver.tudelft.nl/uuid:2ac1b3c5-2fa0-43de-898a-cc4ae3ee404e","Evaluation of Ramp-Rate Limitation at Distribution Transformer Level via Central and Distributed Storage Systems","Malamaki, Kyriaki-Nefeli D. (Independent Power Transmission Operator); Fu, A. (TU Delft Intelligent Electrical Power Grids); Mauricio, Juan Manuel (University of Seville); Cvetkovic, M. (TU Delft Intelligent Electrical Power Grids); Demoulias, Charis S. (Aristotle University of Thessaloniki)","","2023","As the penetration of Converter-Interfaced Dis-tributed Renewable Energy Sources (CI-DRES) increases, several problems are revealed in electric power systems, e.g., power quality issues, reverse power flows and frequency instability. A solution to tackle these issues is the mitigation of high CI-DRES active power ramp-rates (RRs) by utilizing energy storage systems (ESS). In many grid-codes at transmission system (TS) level, it is specified that the CI-DRES limit their RRs, while also the utilization of a central ESS has been proposed to limit the RRs. Nevertheless, this approach involves only large energy market players. Although various RRL methods have been proposed for CI-DRES, a remaining gap is the evaluation of the RR of a Distribution Network (DN) containing CI-DRES and loads together with the influence of distributed ESS in the DN. Towards this direction, in this paper, this evaluation is performed in order to study the RRL capability of a low-voltage (LV) DN considering both central and distributed ESS. The analysis is conducted in the LV CIGRE DN via quasi-steady-state and RMS simulations in PowerFactory considering several techno-economic parameters, e.g., ESS size, type, per unit cost. This evaluation will help towards the integration of the RRL control in the grid codes in DNs so that it can be considered as a new ancillary service to be remunerated in respective markets where also small CI-DRES owners will be able to participate.","Ancillary Service; Active Distribution Networks; Distributed Generation; Energy Storage Systems; Ramp-Rate Limit; Renewable Energy Sources","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","","Intelligent Electrical Power Grids","","",""
"uuid:ba48e8be-4728-45a0-8d36-ea35bf246d25","http://resolver.tudelft.nl/uuid:ba48e8be-4728-45a0-8d36-ea35bf246d25","Off-shore Bidding Zones under Flow-Based Market Coupling","Kenis, Michiel (Katholieke Universiteit Leuven); Delarue, Erik (Katholieke Universiteit Leuven); Bruninx, K. (TU Delft Energie and Industrie); Dominguez, Fernando (Energy Technology)","","2023","The market integration of hybrid off-shore projects, consisting of wind farms and transmission assets connecting different market zones, requires re-examining bidding zone definitions. Policy makers consider separate off-shore bidding zones to optimally integrate off-shore wind farms in power systems. In this paper, we apply Advanced Hybrid Coupling to include off-shore DC transmission lines in flow-based market coupling, and compare different bidding zone configurations. We find that off-shore bidding zones lead to a transfer of welfare: the producers' surplus of off-shore wind farm owners decreases as a result of a lower average price and the congestion rent for TSOs increases. Despite that an off-shore bidding zone signals transmission scarcity better, it impacts the need for support instruments for off-shore wind farms.","Advanced Hybrid Coupling; Bidding zone configuration; Flow-Based Market Coupling; Off-shore wind power; Standard Hybrid Coupling","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","","Energie and Industrie","","",""
"uuid:2af94223-be79-4d71-8ad5-0b59b66c343c","http://resolver.tudelft.nl/uuid:2af94223-be79-4d71-8ad5-0b59b66c343c","Analysis of Orthogonal Matching Pursuit for Compressed Sensing in Practical Settings","Masoumi, H. (TU Delft Team Nitin Myers); Verhaegen, M.H.G. (TU Delft Team Michel Verhaegen); Myers, N.J. (TU Delft Team Nitin Myers)","","2023","Orthogonal matching pursuit (OMP) is a widely used greedy algorithm for sparse signal recovery in compressed sensing (CS). Prior work on OMP, however, has only provided reconstruction guarantees under the assumption that the columns of the CS matrix have equal norms, which is unrealistic in many practical CS applications due to hardware constraints. In this paper, we derive sparse recovery guarantees with OMP, when the CS matrix has unequal column norms. Finally, we show that CS matrices whose column norms are comparable achieve tight guarantees for the successful recovery of the support of a sparse signal and a low mean squared error in the estimate.","Compressive sensing; mutual coherence; orthogonal matching pursuit; support recovery","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","","Team Nitin Myers","","",""
"uuid:ee398f73-31f5-4d0c-9c91-842486d6b6b2","http://resolver.tudelft.nl/uuid:ee398f73-31f5-4d0c-9c91-842486d6b6b2","Single-ended DC Fault Location Method For MMC-Based HVDC Power System Using Adaptive Multi-step Levenberg-Marquardt Algorithm","Liu, L. (TU Delft Intelligent Electrical Power Grids); Xie, F. (TU Delft Intelligent Electrical Power Grids; Xi’an Jiaotong University); Popov, M. (TU Delft Intelligent Electrical Power Grids); Hao, Zhiguo (Xi’an Jiaotong University); Lekić, A. (TU Delft Intelligent Electrical Power Grids)","","2023","This article presents an accurate DC fault location method that applies parameter fitting. This technique first discusses the traveling wave (TW) propagation process in the decoupled line-mode network. We obtain the exact fault distance equation based on the analytical expressions for the wavefront of backward line-mode voltage TW. The adaptive multi-step Levenberg- Marquardt's (AMLM) algorithm is used for parameter fitting due to its fast processing speed and accuracy. The exact fault location can then be estimated using the parameter fitting results. The proposed fault location method is validated using a three-terminal HVDC system modeled on a real-time digital simulator (RTDS) platform. Based on the experimental results, the proposed method accurately detects the fault location, with all estimated errors smaller than 1%, and can withstand 40 dB noise interference. Moreover, the proposed method does not need a high sampling frequency and communication device. Its accuracy is independent of fault resistance and type compared to existing methods.","HVDC; VSC; backward traveling wave; DC fault location; parameter fitting; Levenberg-Marquardt; RTDS","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","","Intelligent Electrical Power Grids","","",""
"uuid:d3c3daf1-099e-4b47-8c06-f988cf007326","http://resolver.tudelft.nl/uuid:d3c3daf1-099e-4b47-8c06-f988cf007326","Exploring Operational Flexibility of Active Distribution Networks with Low Observability","Chrysostomou, D. (TU Delft Intelligent Electrical Power Grids); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Cremer, Jochen (TU Delft Intelligent Electrical Power Grids)","","2023","Power electronic interfaced devices progressively enable the increasing provision of flexible operational actions in distribution networks. The feasible flexibility these devices can effectively provide requires estimation and quantification so the network operators can plan operations close to real- time. Existing approaches estimating the distribution network flexibility require the full observability of the system, meaning topological and state knowledge. However, the assumption of full observability is unrealistic and represents a barrier to system operators' adaptation. This paper proposes a definition of the distribution network flexibility problem that considers the limited observability in real-time operation. A critical review and assessment of the most prominent approaches are done, based on the proposed definition. This assessment showcases the limitations and benefits of existing approaches for estimating flexibility with low observability. A case study on the CIGRE MV distribution system highlights the drawbacks brought by low observability.","distribution network flexibility; network observability; network operators","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","","Intelligent Electrical Power Grids","","",""
"uuid:28ca935d-c6f0-4929-acc0-cfdda4a66203","http://resolver.tudelft.nl/uuid:28ca935d-c6f0-4929-acc0-cfdda4a66203","Power Routing Strategy for an Offshore-Onshore Bipolar VSC-HVDC Interconnector","Marchand, J. (TU Delft Intelligent Electrical Power Grids); Perilla Guerra, A.D. (TU Delft Intelligent Electrical Power Grids); Garapati, M. (Student TU Delft); Gonzalez-Longatt, Francisco (University of Exeter); Rueda, José L. (TU Delft Intelligent Electrical Power Grids)","","2023","Distantly-located offshore energy hubs need to be connected to the shore via High Voltage Direct Current (HVDC) links to allow for an efficient bulk power exchange. A bipolar configuration of the HVDC link is suitable for a point-to-point connection, as it provides redundancy, and, therefore, a larger reliability, e.g. half of the rated transfer capacity can still be transferred via one of the poles in case of a fault occurring on the other pole. Nevertheless, a control strategy of the converters that can effectively enable a situation-dependent power routing between the two poles constitutes a research challenge. In this paper, two control strategies are proposed for the offshore Modular Multi-level Converters (MMCs) of a bipolar HVDC link connecting a 2 GW offshore hub to the shore. The strategies, based on DC current and DC voltage measurements, respectively, enable to track and adjust the amount of power flowing through each pole of the link. Real-time digital simulations show that both strategies can effectively route the power exchanges through the bipolar HVDC link, e.g. operation under balanced or unbalanced conditions. The strategy based on DC current seems more suitable to manage the dynamic performance of the HVDC link.","Electromagnetic Transient (EMT) simulation; High Voltage Direct Current (HVDC) links; large-scale offshore networks; DC power management","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","","Intelligent Electrical Power Grids","","",""
"uuid:26093a92-63e0-4130-a27d-a9fda998942c","http://resolver.tudelft.nl/uuid:26093a92-63e0-4130-a27d-a9fda998942c","The Illuminator: An Open Source Energy System Integration Development Kit","Fu, A. (TU Delft Intelligent Electrical Power Grids); Saini, Raghav (Student TU Delft); Koornneef, R.N. (TU Delft ESP LAB); van der Meer, A.A. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy); Cvetkovic, M. (TU Delft Intelligent Electrical Power Grids)","","2023","This paper introduces a flexible and extendable easy-to-use energy system integration development kit: the Illuminator. The Illuminator illustrates challenges arising from the energy transition. Hence, it is suitable in education and for demonstration. It also acts as a sandbox for testing new research concepts, and particularly, distributed energy coordination algorithms in real and non-real time. The Illuminator technology is primarely a modular software platform developed to run on a Raspberry Pi (RasPi) cluster. It is open-source, available at GitHub and developed in Python. The Illuminator comprises models of common energy technologies, such as photovoltaic (PV) panels, wind turbines, batteries, and hydrogen systems. The uniqueness of the Illuminator is in its modularity and flexibility to reconfigure scenarios and cases on the fly, even by non-experts in a plug-and-play fashion. This paper introduces the Illuminator and shows its performance in a simple case study.","Energy system integration; open source; education; energy transition; demonstration","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:d3c7623c-cafa-42e5-830e-5e1ffbb2e59e","http://resolver.tudelft.nl/uuid:d3c7623c-cafa-42e5-830e-5e1ffbb2e59e","MARL-iDR: Multi-Agent Reinforcement Learning for Incentive-Based Residential Demand Response","van Tilburg, Jasper (Student TU Delft); Cavalcante Siebert, L. (TU Delft Interactive Intelligence); Cremer, Jochen (TU Delft Intelligent Electrical Power Grids)","","2023","This paper presents a decentralized Multi-Agent Reinforcement Learning (MARL) approach to an incentive-based Demand Response (DR) program, which aims to maintain the capacity limits of the electricity grid and prevent grid congestion by financially incentivizing residential consumers to reduce their energy consumption. The proposed approach addresses the key challenge of coordinating heterogeneous preferences and requirements from multiple participants while preserving their privacy and minimizing financial costs for the aggregator. The participant agents use a novel Disjunctively Constrained Knapsack Problem optimization to curtail or shift the requested household appliances based on the selected demand reduction. Through case studies with electricity data from 25 households, the proposed approach effectively reduced energy consumption's Peak-to-Average ratio (PAR) by 14.48% compared to the original PAR while fully preserving participant privacy. This approach has the potential to significantly improve the efficiency and reliability of the electricity grid, making it an important con-tribution to the management of renewable energy resources and the growing electricity demand.","Reinforcement Learning; Incentive-based Demand Response; Multi-Agent systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","","Interactive Intelligence","","",""
"uuid:951d7cf7-ee9a-4db5-9a53-0ad8df70b95b","http://resolver.tudelft.nl/uuid:951d7cf7-ee9a-4db5-9a53-0ad8df70b95b","End-to-End Learning with Multiple Modalities for System-Optimised Renewables Nowcasting","Vohra, Rushil (Student TU Delft); Rajaei, A. (TU Delft Intelligent Electrical Power Grids); Cremer, Jochen (TU Delft Intelligent Electrical Power Grids)","","2023","With the increasing penetration of renewable power sources such as wind and solar, accurate short-term, nowcasting renewable power prediction is becoming increasingly important. This paper investigates the multi-modal (MM) learning and end-to-end (E2E) learning for nowcasting renewable power as an intermediate to energy management systems. MM combines features from all-sky imagery and meteorological sensor data as two modalities to predict renewable power generation that otherwise could not be combined effectively. The combined, predicted values are then input to a differentiable optimal power flow (OPF) formulation simulating the energy management. For the first time, MM is combined with E2E training of the model that minimises the expected total system cost. The case study tests the proposed methodology on the real sky and meteorological data from the Netherlands. In our study, the proposed MM- E2E model reduced system cost by 30% compared to uni-modal baselines.","multi-modal learning; end-to-end learning; optimal power flow; power forecasting","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","","Intelligent Electrical Power Grids","","",""
"uuid:42fcf01b-29f9-462d-a834-3f0158640409","http://resolver.tudelft.nl/uuid:42fcf01b-29f9-462d-a834-3f0158640409","Optimal Energy Scheduling of Flexible Industrial Prosumers via Reinforcement Learning","van den Bovenkamp, Nick (Student TU Delft; Sunrock Investments B.V); Giraldo, Juan S. (TNO); Salazar Duque, Edgar Mauricio (Eindhoven University of Technology); Vergara Barrios, P.P. (TU Delft Intelligent Electrical Power Grids); Konstantinou, Charalambos (King Abdullah University of Science and Technology (KAUST)); Palensky, P. (TU Delft Electrical Sustainable Energy)","","2023","This paper introduces an energy management system (EMS) aiming to minimize electricity operating costs using reinforcement learning (RL) with a linear function approximation. The proposed EMS uses a Q-learning with tile coding (QLTC) algorithm and is compared to a deterministic mixed-integer linear programming (MILP) with perfect forecast information. The comparison is performed using a case study on an industrial manufacturing company in the Netherlands, considering measured electricity consumption, PV generation, and wholesale electricity prices during one week of operation. The results show that the proposed EMS can adjust the prosumer's power consumption considering favorable prices. The electricity costs obtained using the QLTC algorithm are 99% close to those obtained with the MILP model. Furthermore, the results demonstrate that the QLTC model can generalize on previously learned control policies even in the case of missing data and can deploy actions 80% near to the MILP's optimal solution.","Q-learning; tile coding; energy management system; mixed-integer linear programming","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:e6c141b2-f8b8-4583-b936-6c807ac9cba9","http://resolver.tudelft.nl/uuid:e6c141b2-f8b8-4583-b936-6c807ac9cba9","Regularised Learning with Selected Physics for Power System Dynamics","Xie, H. (TU Delft Intelligent Electrical Power Grids); Bellizio, Federica (Swiss Federal Laboratories for Materials Science and Technology (Empa)); Cremer, Jochen (TU Delft Intelligent Electrical Power Grids); Strbac, Goran (Imperial College London)","","2023","Due to the increasing system stability issues caused by the technological revolutions of power system equipment, the assessment of the dynamic security of the systems for changing operating conditions (OCs) is nowadays crucial. To address the computational time problem of conventional dynamic security assessment tools, many machine learning (ML) approaches have been proposed and well-studied in this context. However, these learned models only rely on data, and thus miss resourceful information offered by the physical system. To this end, this paper focuses on combining the power system dynamical model together with the conventional ML. Going beyond the classic Physics Informed Neural Networks (PINNs), this paper proposes Selected Physics Informed Neural Networks (SPINNs) to predict the system dynamics for varying OCs. A two-level structure of feed-forward NNs is proposed, where the first NN predicts the generator bus rotor angles (system states) and the second NN learns to adapt to varying OCs. We show a case study on an IEEE-9 bus system that considering selected physics in model training reduces the amount of needed training data. Moreover, the trained model effectively predicted long-term dynamics that were beyond the time scale of the collected training dataset (extrapolation).","Dynamic Security Assessment; Machine Learning; Physics-Informed; Transient Prediction","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-12","","","Intelligent Electrical Power Grids","","",""
"uuid:c11ced71-bb97-49fc-90e5-208f91f636a4","http://resolver.tudelft.nl/uuid:c11ced71-bb97-49fc-90e5-208f91f636a4","What does a Text Classifier Learn about Morality? An Explainable Method for Cross-Domain Comparison of Moral Rhetoric","Liscio, E. (TU Delft Interactive Intelligence); Araque, Oscar (Universidad Politécnica de Madrid); Gatti, Lorenzo (University of Twente); Constantinescu, I.L. (ETH Zürich); Jonker, C.M. (TU Delft Interactive Intelligence); Kalimeri, Kyriaki (ISI Foundation); Murukannaiah, P.K. (TU Delft Interactive Intelligence)","","2023","","","en","conference paper","Association for Computational Linguistics (ACL)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:ed740524-ce99-4465-a954-75c657ff42b0","http://resolver.tudelft.nl/uuid:ed740524-ce99-4465-a954-75c657ff42b0","Zero-emission Fueling Infrastructure for IWT: Optimizing the Connection between Upstream Energy Supply and Downstream Energy Demand","Pourbeirami Hir, Maryam (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Pourmohammadzia, N. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Jiang, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); van Koningsveld, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Van Oord)","","2023","A key challenge in the energy transition for Inland Water Transport is the functional design of bunker networks and first-order dimensioning of individual bunker stations. A fundamental ingredient for this is an improved understanding of how upstream energy supply (‘well-to-bunker-station’) and downstream demand (‘bunker-station-to-tank’) may interconnect. In this paper we discuss an approach to the design of bunkering networks that takes logistic modelling to estimate network scale energy demand as a starting point. Depending on the vessels that use the network and the anticipated fuel mix for the overall fleet, logistical modelling may be used to estimate the magnitude of the energy demand along the network. Estimates of the operational range of vessels per energy carrier help to estimate maximum bunker station inter-distances. Insight into the potential supply chains that connect the source of each energy carrier to a physical bunker facility is needed to close the loop. Energy carriers may be needed on board in a gaseous or liquid form, or in the form of electrons. Transfer may take place in the form of loading (e.g., filling the fuel tank, charging the battery pack) or swapping (e.g., exchanging fuel containers, exchanging battery containers). Depending on the energy carrier, transfer method(s) and demand quantities, functional designs of bunker stations (in terms of required system elements and their order-of-magnitude dimensions) can be made. Depending on service level requirements both the dimensions of individual bunker stations and their spread over the network may be optimized. Key contribution of this work is a thorough overview of aspects that play a role in the design of bunker infrastructure for the decarbonisation of inland shipping. Based on this overview steps for further research are recommended.","Inland Water Transport; Bunkering; Well-to-Bunker-Station; Bunker-Station-to-Tank","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:62bcf04d-0f87-46ae-ad4c-42db203c4f34","http://resolver.tudelft.nl/uuid:62bcf04d-0f87-46ae-ad4c-42db203c4f34","Preventing Scenario Recognition in Human-in-the-Loop Air Traffic Control Research","de Rooij, G. (TU Delft Control & Simulation); Borst, C. (TU Delft Control & Simulation); van Paassen, M.M. (TU Delft Control & Simulation); Mulder, Max (TU Delft Control & Simulation)","","2023","In academic air traffic control research, traffic scenarios are often repeated to increase the sample size and enable paired-sample comparisons, e.g., between different display variants. This comes with the risk that participants recognize scenarios and consequently recall the desired response. In this paper we provide an overview of mitigation techniques found in literature and conclude that rotating scenario geometries is most frequently used. The potential impact of these transformations on participant behavior, as described in this paper, is however not sufficiently addressed in most studies. As an example we, therefore, analyze previously collected eye tracking data from ten professional air traffic controllers, each presented with three repetitions in various rotations of several distinct scenarios. Results imply that researchers wishing to repeat scenarios should more carefully consider whether mitigation techniques might have an impact on their results.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:0778aea6-580f-4dca-87f3-baf8ba72349b","http://resolver.tudelft.nl/uuid:0778aea6-580f-4dca-87f3-baf8ba72349b","Lanelet2 for nuScenes: Enabling Spatial Semantic Relationships and Diverse Map-based Anchor Paths","Naumann, Alexander (FZI Research Center for Information Technology); Hertlein, Felix (FZI Research Center for Information Technology); Grimm, Daniel (FZI Research Center for Information Technology); Zipf, Maximilian (FZI Research Center for Information Technology); Thoma, Steffen (FZI Research Center for Information Technology); Rettinger, Achim (University of Trier); Halilaj, Lavdim (Bosch Corporate Research); Luettin, Juergen (Bosch Corporate Research); Schmid, Stefan (Bosch Corporate Research); Caesar, Holger (TU Delft Intelligent Vehicles)","O'Conner, Lisa (editor)","2023","Motion prediction and planning are key components to enable autonomous driving. Although high definition (HD) maps provide important contextual information that constrains the action space of traffic participants, most approaches are not able to fully exploit this heterogeneous information. In this work, we enrich the existing road geometry of the popular nuScenes dataset and convert it into the open-source map framework Lanelet2. This allows easy access to the road topology and thus, enables the usage of (1) spatial semantic information, such as agents driving on intersecting roads and (2) map-generated anchor paths for target vehicles that can help to improve trajectory prediction performance. Further, we present DMAP, a simple, yet effective approach for diverse map-based anchor path generation and filtering. We show that combining DMAP with ground truth velocity profile information yields high-quality motion prediction results on nuScenes (MinADE5=1.09, MissRate5,2=0.18, Offroad rate=0.00). While it is obviously unfair to compare us against the state-of-the-art, it shows that our HD map accurately depicts the road geometry and topology. Future approaches can leverage this by focusing on data-driven sampling of map-based anchor paths and estimating velocity profiles. Moreover, our HD map can be used for map construction tasks and supplement perception. Code and data are made publicly available at https://felixhertlein.github.io/lanelet4nuscenes.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-14","","","Intelligent Vehicles","","",""
"uuid:6eb858ba-3802-4dc8-91f4-b8f80daf9ff9","http://resolver.tudelft.nl/uuid:6eb858ba-3802-4dc8-91f4-b8f80daf9ff9","Intrusion Resilience Systems for Modern Vehicles","Shoker, Ali (RC3); Rahli, Vincent (University of Birmingham); Decouchant, Jérémie (TU Delft Data-Intensive Systems); Esteves-Veríssimo, Paulo (RC3)","","2023","Current vehicular Intrusion Detection and Prevention Systems either incur high false-positive rates or do not capture zero-day vulnerabilities, leading to safety-critical risks. In addition, prevention is limited to few primitive options like dropping network packets or extreme options, e.g., ECU Bus-off state. To fill this gap, we introduce the concept of vehicular Intrusion Resilience Systems (IRS) that ensures the resilience of critical applications despite assumed faults or zero-day attacks, as long as threat assumptions are met. IRS enables running a vehicular application in a replicated way, i.e., as a Replicated State Machine, over several ECUs, and then requiring the replicated processes to reach a form of Byzantine agreement before changing their local state. Our study rides the mutation of modern vehicular environments, which are closing the gap between simple and resource-constrained ""real-time and embedded systems"", and complex and powerful ""information technology"" ones. It shows that current vehicle (e.g., Zonal) architectures and networks are becoming plausible for such modular fault and intrusion tolerance solutions—deemed too heavy in the past. Our evaluation on a simulated Automotive Ethernet network running two state-of-the-art agreement protocols (Damysus and Hotstuff) shows that the achieved latency and throughout are feasible for many Automotive applications.","Intrusion resilience; fault masking; cybersecurity; Byzantine agreement; automotive","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-14","","","Data-Intensive Systems","","",""
"uuid:9d436fbf-852c-4d0a-bc16-439c60a33e27","http://resolver.tudelft.nl/uuid:9d436fbf-852c-4d0a-bc16-439c60a33e27","What Affects Learned Equivariance in Deep Image Recognition Models?","Bruintjes, R. (TU Delft Pattern Recognition and Bioinformatics); Motyka, Tomasz (Synerise); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","O’Conner, Lisa (editor)","2023","Equivariance w.r.t. geometric transformations in neural networks improves data efficiency, parameter efficiency and robustness to out-of-domain perspective shifts. When equivariance is not designed into a neural network, the network can still learn equivariant functions from the data. We quantify this learned equivariance, by proposing an improved measure for equivariance. We find evidence for a correlation between learned translation equivariance and validation accuracy on ImageNet. We therefore investigate what can increase the learned equivariance in neural networks, and find that data augmentation, reduced model capacity and inductive bias in the form of convolutions induce higher learned equivariance in neural networks.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-14","","","Pattern Recognition and Bioinformatics","","",""
"uuid:3ee9d601-36ea-4e31-8878-524a58a6b0de","http://resolver.tudelft.nl/uuid:3ee9d601-36ea-4e31-8878-524a58a6b0de","EFE: End-to-end Frame-to-Gaze Estimation","Balim, Haldun (ETH Zürich); Park, Seonwook (Lunit Inc.); Wang, Xi (ETH Zürich); Zhang, X. (TU Delft Pattern Recognition and Bioinformatics); Hilliges, Otmar (ETH Zürich)","O’Conner, Lisa (editor)","2023","Despite the recent development of learning-based gaze estimation methods, most methods require one or more eye or face region crops as inputs and produce a gaze direction vector as output. Cropping results in a higher resolution in the eye regions and having fewer confounding factors (such as clothing and hair) is believed to benefit the final model performance. However, this eye/face patch cropping process is expensive, erroneous, and implementation-specific for different methods. In this paper, we propose a frame-to-gaze network that directly predicts both 3D gaze origin and 3D gaze direction from the raw frame out of the camera without any face or eye cropping. Our method demonstrates that direct gaze regression from the raw downscaled frame, from FHD/HD to VGA/HVGA resolution, is possible despite the challenges of having very few pixels in the eye region. The proposed method achieves comparable results to state-of-the-art methods in Point-of-Gaze (PoG) estimation on three public gaze datasets: GazeCapture, MPIIFaceGaze, and EVE, and generalizes well to extreme camera view changes.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-14","","","Pattern Recognition and Bioinformatics","","",""
"uuid:81b8b74d-63da-4de1-a5cf-aaeb023c37ba","http://resolver.tudelft.nl/uuid:81b8b74d-63da-4de1-a5cf-aaeb023c37ba","SmartBugs 2.0: An Execution Framework for Weakness Detection in Ethereum Smart Contracts","di Angelo, Monika (Technische Universität Wien); Durieux, T. (TU Delft Software Engineering); Ferreira, João F. (University of Lisbon); Salzer, Gernot (Technische Universität Wien)","Gurrola, Javier (editor)","2023","Smart contracts are blockchain programs that often handle valuable assets. Writing secure smart contracts is far from trivial, and any vulnerability may lead to significant financial losses. To support developers in identifying and eliminating vulnerabilities, methods and tools for the automated analysis of smart contracts have been proposed. However, the lack of commonly accepted benchmark suites and performance metrics makes it difficult to compare and evaluate such tools. Moreover, the tools are heterogeneous in their interfaces and reports as well as their runtime requirements, and installing several tools is time-consuming. In this paper, we present SmartBugs 2.0, a modular execution framework. It provides a uniform interface to 19 tools aimed at smart contract analysis and accepts both Solidity source code and EVM bytecode as input. After describing its architecture, we highlight the features of the framework. We evaluate the framework via its reception by the community and illustrate its scalability by describing its role in a study involving 3.25 million analyses.","Bytecode; EVM; Solidity; Security; Vulnerability","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public. Funding Information: This project was partially supported by national funds through Fundação para a Ciência e a Tecnologia (FCT) under project UIDB/50021/2020. The project was also partially supported by the CASTOR Software Research Centre.","","2024-05-08","","","Software Engineering","","",""
"uuid:5bee6175-4c10-41e0-bf5c-60bb9d9c6640","http://resolver.tudelft.nl/uuid:5bee6175-4c10-41e0-bf5c-60bb9d9c6640","Comparison of acoustic localisation techniques for drone position estimation using real-world experimental data","Altena, A. (TU Delft Aircraft Noise and Climate Effects); Luesutthiviboon, S. (TU Delft Aircraft Noise and Climate Effects); de Croon, G.C.H.E. (TU Delft Aircraft Noise and Climate Effects; TU Delft Control & Simulation); Snellen, M. (TU Delft Aircraft Noise and Climate Effects; TU Delft Control & Operations); Voskuijl, M. (TU Delft Flight Performance and Propulsion; Netherlands Defence Academy)","Carletti, Eleonora (editor)","2023","Due to technological advances in the drone industry, security threats induced by unmanned aerial vehicles (UAVs) are becoming more relevant. Fast and accurate localisation systems need to be designed. One approach is localisation of UAVs by their sound using acoustic techniques. So far, a systematic performance assessment of acoustic techniques for drone localisation, based on real-world data, is lacking. This work presents a comparison of selected techniques using real-world measurement data. The achieved performance serves as a baseline for future design of novel localisation methods. Three techniques are chosen. The first technique estimates the time-difference-of-arrival (TDOA) using generalised cross-correlation with phase transform weighting (GCC-PHAT). The second technique is differential evolution, which approaches the localisation task as a global optimisation problem. The third technique is conventional frequency domain beamforming. Real-world data of 5 quadrotor UAVs were used acquired with an acoustic microphone-array. The performance of the techniques is assessed using the absolute error between the estimated source location and the true source location obtained from the onboard GPS tracker of the drones. GCC-PHAT and differential evolution attempt to estimate the drone position in one or few steps. They have a much shorter runtime than beamforming, which is an exhaustive grid search algorithm. However, these techniques result in lower detection ranges and accuracy compared to beamforming.","Beamforming; Differential evolution; Drones; Sound source localisation; Time-difference-of-arrival","en","conference paper","Society of Acoustics","","","","","","","","","Control & Operations","Aircraft Noise and Climate Effects","","",""
"uuid:8e41d6c1-d9d4-414d-9f2b-228f9070f401","http://resolver.tudelft.nl/uuid:8e41d6c1-d9d4-414d-9f2b-228f9070f401","Determining causes of variance in ground-level aircraft noise: Combining in-situ noise and weather measurements with spatial aircraft data","Wuite, F.G.E. (TU Delft Environmental & Climate Design; Amsterdam Institute for Advanced Metropolitan Solutions (AMS)); Tenpierik, M.J. (TU Delft Environmental & Climate Design); Lugten, M.C. (TU Delft Environmental & Climate Design; Amsterdam Institute for Advanced Metropolitan Solutions (AMS))","Astolfi, Arianna (editor); Asdrubali, Francesco (editor); Shtrepi, Louena (editor)","2023","Aircraft are a source of noise pollution in areas surrounding airports. Buildings shield or amplify local sound levels, albeit that the level of shielding varies considerably. The sound pressure levels reaching ground receivers in the built environment depend on flight position relative to the receiver, atmospheric and weather effects, and the composition of the surrounding buildings. Their combined effect on local ground sound levels and noise shielding remains unclear however.
The impact of urban and architectural design on the local attenuation of aircraft noise is studied in a full-scale field lab near Amsterdam Schiphol airport. In the experiment, two microphones and a weather station collected sound and meteorological data. The measurements are combined with spatial aircraft radar data for a period of one month. Statistical analyses are conducted to gain insights into the causes of variance in shielding effects.
This paper presents a method to combine and analyse sound, flight and meteorological data, for one-second time intervals. Aircraft orientation, obstruction from buildings between source and receiver, operation type and propulsion type influence the building shielding for this case study. The orientation of airplanes relative to the field lab records the highest effect on the shielding of the analysed variables (R^2=0.58).","aircraft noise; urban design; meteo effects; modelling","en","conference paper","Politecnico di Torino","","","","","The research is funded by the municipality of Haarlemmermeer, the Dutch ministry for Infrastructure and Water Management, the Dutch ministry for housing and domestic affairs, and the Stichting Leefomgeving Schiphol.","","","","","Environmental & Climate Design","","",""
"uuid:38b7e09f-a108-4336-a701-8a8d87768ea3","http://resolver.tudelft.nl/uuid:38b7e09f-a108-4336-a701-8a8d87768ea3","A Dynamic Object Removal and Reconstruction Algorithm for Point Clouds","Nagavarapu, Sarat Chandra (Agency for Science, Technology and Research); Abraham, Anuj (Technology Innovation Institute); Selvaraj, Nithish Muthuchamy (Nanyang Technological University); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","","2023","Autonomous vehicles (AV) are one of the greatest technological advancements of this decade and a giant leap in the transportation industry and mobile robotics. Autonomous vehicles face several major challenges in achieving higher levels of autonomy. One of these is to find a fast and reliable algorithm to process the sensor data so that the simultaneous localization and mapping (SLAM) algorithms run in real-time to achieve autonomous navigation. The major limitation of the SLAM algorithm, especially while building a map is to have static environmental features, i.e. without any dynamic or moving objects. To achieve this, our paper introduces a novel algorithm to remove dynamic objects from point cloud data. The algorithm focuses on identifying and removing dynamic objects from sensor data, thereby creating a static scene suitable for traditional SLAM algorithms. Simulations conducted on the benchmark dataset demonstrate the algorithm's efficacy in successfully eliminating dynamic objects and reconstructing a stable static scene.","point clouds; autonomous vehicles; object removal; reconstruction; SLAM; LiDAR; mobile robots","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-14","","","Signal Processing Systems","","",""
"uuid:9a028d37-d07d-4967-aef0-3e7b2b5d38e7","http://resolver.tudelft.nl/uuid:9a028d37-d07d-4967-aef0-3e7b2b5d38e7","Two-Phase MMC Based on Modular Multilevel Series/Parallel Converter for Back to Back Power Systems","Fierro, Felipe (Centro de Energia UCSC); Lizana F., Ricardo (Centro de Energia UCSC); Alcaide, Abraham M. (University of Seville); Rivera, Sebastian (TU Delft DC systems, Energy conversion & Storage)","","2023","The MMC converter is the key topology for HVDC applications. In these systems, a major complexity is the number of modules and components required per phase to achieve nominal voltage and current levels for applications in transmission system. In this paper, the topology and control of a two-phase MMC system for HVDC applications is presented. The main idea is to eliminate a complete phase of the classic MMC converter for reduce the number of modules and the complexity of the system, together with the use of MMSPC-type modules, in order to achieve internal voltage balance without the need of extra sensors and control loops.","HVDC transmission; Rectifiers; Sensor systems; Topology; Complexity theory; Sensors; Voltage control","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-15","","","DC systems, Energy conversion & Storage","","",""
"uuid:136f6979-1a19-463f-bb34-5a87060f3eed","http://resolver.tudelft.nl/uuid:136f6979-1a19-463f-bb34-5a87060f3eed","Design, Fabrication, and Characterization of a 4H-SiC CMOS Readout Circuit for Monolithic Integration with SiC Sensors","Sattari, R. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","This paper reports the design and fabrication of a 4H-SiC CMOS readout circuit enabling monolithic integration of silicon carbide (SiC) sensors and circuits. Compared to conventional Si electronics, 4H-SiC integrated circuits can sustain operation in harsh conditions such as higher temperatures and radiation levels. The proposed amplifier performance is well balanced through the temperature range of 25 °C to 400 °C. Compared to state-of-the-art, the proposed SiC readout circuit does not include any off-chip components. The amplifier is fully differential, and hence shows improved common-mode rejection and signal-to-noise ratio (SNR). It can be monolithically integrated with SiC sensors in a scalable SiC technology.","ability; SiC technology; monolithic integration; readout circuit; off-chip component; fully differential; common-mode rejection; signal-to-noise ratio (SNR)","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-09","","","Electronic Components, Technology and Materials","","",""
"uuid:be018cad-b554-4424-ba6c-9ceb1c6cd9a2","http://resolver.tudelft.nl/uuid:be018cad-b554-4424-ba6c-9ceb1c6cd9a2","Hexagonal Power Converter Based on Modular Multilevel Series Parallel Converter for Decoupled DC Terminals","Barrera, Felipe (Centro de Energia UCSC); Lizana F., Ricardo (Centro de Energia UCSC); Alcaide, Abraham M. (University of Seville); Rivera, Sebastian (TU Delft DC systems, Energy conversion & Storage)","","2023","The Hexagonal power converter has become a suitable solution to provide high-quality voltage waveforms while achieving decoupled control of three DC terminals as well. In this case, the internal voltage balance of the different storage units is the main concern for the correct operation of the Hexagonal converter. Moreover, the Modular multilevel series- parallel converters (MMSPC) have become an interesting solution to provide higher operating voltages, reliability at a reduced cost, due to their ability to achieve a simpler internal voltage balance. In this paper, a Hexagonal power converter based on MMSPC for decoupled DC terminals is presented. The proposed system allows to implement a simple and cost-effective way to achieve a decoupled control strategy in each output of the system, to control the corresponding voltage of the system, along with maintaining the internal voltage balance of the proposed topology.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-15","","","DC systems, Energy conversion & Storage","","",""
"uuid:f4b952e6-003e-47bd-b180-0e1ab8e4479c","http://resolver.tudelft.nl/uuid:f4b952e6-003e-47bd-b180-0e1ab8e4479c","Modular Multilevel Series-Parallel Converter with Parallel-Connected Phases and Coupled Inductors for High-Current Applications","Concha, Esteban (Centro de Energia UCSC); Rivera, Sebastian (TU Delft DC systems, Energy conversion & Storage); Dekka, Apparao (Lakehead University); Lizana F., Ricardo (Centro de Energia UCSC)","","2023","Modular multilevel series-parallel converters (MM-SPC) have become a suitable solution to provide higher operating voltages, fault tolerance operation, and reliability at a reduced cost, due to their ability to achieve a simpler internal voltage balance. Therefore, MMSPC is a promising solution for applications where the nominal operating conditions are high voltage levels on the DC side and high current ratings on the AC side of the system. To allow this operation, it is necessary to design the system with parallelization in each phase. Therefore, this article proposes a design that incorporates parallelization in each phases and analyzes the internal cross-circulating current generated due to parallelization. This current creates an unbalance and internal losses in the converter. In order to compensate for the cross-circulating current, two cases are compared: the first one with decoupled inductors in their phases, and the second one with coupled inductors. This way, a comparison is made to determine which case provides greater compensation of the circulating current, allowing for the delivery of a high current at the converter's output with the least possible imbalance and internal losses.","MMSPC; cross-circulating current; coupled inductors","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-15","","","DC systems, Energy conversion & Storage","","",""
"uuid:5c927f2a-6b46-40c2-a617-98fedad5d26f","http://resolver.tudelft.nl/uuid:5c927f2a-6b46-40c2-a617-98fedad5d26f","Value Inference in Sociotechnical Systems","Liscio, E. (TU Delft Interactive Intelligence); Lera-Leri, Roger (IIIA-CSIC, Bellaterra); Bistaffa, Filippo (IIIA-CSIC, Bellaterra); Dobbe, R.I.J. (TU Delft Information and Communication Technology); Jonker, C.M. (TU Delft Interactive Intelligence); Lopez-Sanchez, Maite (University of Barcelona); Rodriguez-Aguilar, Juan A. (IIIA-CSIC, Bellaterra); Murukannaiah, P.K. (TU Delft Interactive Intelligence)","","2023","","","en","conference paper","International Foundation for Autonomous Agents and Multiagent Systems (IFAAMAS)","","","","","","","2024-01-01","","","Interactive Intelligence","","",""
"uuid:ca68f483-16ba-4657-90b2-14beed27570c","http://resolver.tudelft.nl/uuid:ca68f483-16ba-4657-90b2-14beed27570c","Challenges in designing an inclusive Peer-to-peer (P2P) lending system","Sulastri, R. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","Cid, David Duenas (editor)","2023","Literature suggests advantages of Peer-to-peer (P2P) lending systems over conventional banking credit, such as better credit scoring, and direct interaction of lenders-borrowers. Fintech P2P lending companies can process scattered individual information using advanced statistical methods and serve unreached market segments improving inclusiveness in society. Establishing inclusive P2P lending systems remains challenging, however, there is no systematic overview of such design challenges. Failure in designing an inclusive system creates a system that remains exclusive for some parts of society which hinders them from increasing economic transactions or even continuing business as usual due to limited funds. This research aims to identify the challenges in designing P2P lending systems that block inclusiveness in society. We conducted a systematic literature review followed by semi-structured interviews with financial inclusions and P2P lending systems experts. Our review found a variety of challenges in designing inclusive P2P lending systems. We classify the challenges into six categories. The main challenges are related to trust in the systems, literacy, and data issues.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:446dc879-2782-4f89-9e25-120e912448ae","http://resolver.tudelft.nl/uuid:446dc879-2782-4f89-9e25-120e912448ae","Explaining Black-Box Models through Counterfactuals","Altmeyer, P. (TU Delft Multimedia Computing); Liem, C.C.S. (TU Delft Multimedia Computing); van Deursen, A. (TU Delft Software Technology)","","2023","We present CounterfactualExplanations.jl: a package for generating Counterfactual Explanations (CE) and Algorithmic Recourse (AR) for black-box models in Julia. CE explain how inputs into a model need to change to yield specific model predictions. Explanations that involve realistic and actionable changes can be used to provide AR: a set of proposed actions for individuals to change an undesirable outcome for the better. In this article, we discuss the usefulness of CE for Explainable Artificial Intelligence and demonstrate the functionality of our package. The package is straightforward to use and designed with a focus on customization and extensibility. We envision it to one day be the go-to place for explaining arbitrary predictive models in Julia through a diverse suite of counterfactual generators.","Julia; Explainable AI; Counterfactual Explanations; Algorithmic Recourse","en","conference paper","","","","","","","","","","Software Technology","Multimedia Computing","","",""
"uuid:1517fcd8-6559-4de9-8c86-244f32e687c6","http://resolver.tudelft.nl/uuid:1517fcd8-6559-4de9-8c86-244f32e687c6","Recyclability of epoxy-modified open-graded porous asphalt","Jing, R. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Naus, R. (Dura Vermeer); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University)","","2023","Epoxy asphalt attracted the attention of road authorities in many countries as a solution for du-rable open-graded porous asphalt (OGPA) surface layers with enhanced longevity. Nevertheless, the recycla-bility of aged epoxy asphalt materials has not been thoroughly studied yet. This research presents an experi-mental program conducted in the laboratory to assess the potential recyclability of epoxy-modified open-graded porous asphalt (EMOGPA) mixes. Results indicate that the aging increased the tensile strength of studied mixes, with the strength and strength development rate of aging EMOGPA mixes to be almost identi-cal to standard OGPA mixes. The EMOGPA mixes have shown higher resistance against water damage than the OGPA mixes supporting the claim that the stone-mastic adhesion is improved with the use of epoxy bind-er. It was also proven that the aged material containing the epoxy binder could be re-melted to produce new pavement materials. The new EMOGPA mixes with the recycled epoxy material exhibited similar durability characteristics with the recycled standard OGPA mixes.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-24","","","Pavement Engineering","","",""
"uuid:0bbfcc61-0d20-449b-a227-60e4a0252654","http://resolver.tudelft.nl/uuid:0bbfcc61-0d20-449b-a227-60e4a0252654","Do negative externalities affect platform membership conditions? The impact of moral and regulatory legitimacy on platform governance","Brandwijk, N. (Student TU Delft); de Reuver, Mark (TU Delft Information and Communication Technology)","","2023","When allowing third parties to join their platforms, platform owners run the risk of attracting harmful third-party complements. Existing literature considers that low-quality offerings negatively affect cross-side user satisfaction and attractiveness, ultimately harming the platform's reputation and stability. However, recent events show that negative externalities from third-party offerings can also motivate platform sponsors to adapt their membership conditions. Existing platform literature does not explain the underlying theoretical mechanisms. In this paper, we examine why platform sponsors adjust the conditions that govern third parties joining their platforms in response to negative externalities. We apply legitimacy theory to a critical case on a payment transaction platform. We find that negative externalities affect both moral and regulatory legitimacy, which in turn motivate the platform sponsor to adjust the conditions under which third parties may join the platform.","digital platform; platform openness; platform governance; legitimacy; negative externalities; ecosystem membership","en","conference paper","AIS","","","","","","","","","","Information and Communication Technology","","",""
"uuid:30dcc85e-34d0-4fac-8d31-b2ebf2abb332","http://resolver.tudelft.nl/uuid:30dcc85e-34d0-4fac-8d31-b2ebf2abb332","Incremental Generalized Policy Iteration for Adaptive Attitude Tracking Control of a Spacecraft","Li, Y. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation)","","2023","This paper proposes a novel dynamic programming algorithm for nonlinear system optimal control problem, namely Incremental Generalized Policy Iteration (IGPI). The proposed IGPI algorithm combines the advantages of Incremental Control(IC) and Generalized Policy Iteration(GPI). Incremental control can handle the nonlinearity and uncertainty in nonlinear systems without knowing the nonlinear system information, GPI can learn an optimal control law for dynamical systems. Based on the proposed IGPI algorithm, a data-driven adaptive attitude controller is designed for a spacecraft with sloshing liquid fuel. Simulation results demonstrate the effectiveness of the spacecraft attitude controller.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Control & Simulation","","",""
"uuid:5b2207d5-3771-4a24-aab1-8014a28b472a","http://resolver.tudelft.nl/uuid:5b2207d5-3771-4a24-aab1-8014a28b472a","Applications of Artificial Dielectric Layers for mm-Wave Antennas","Cavallo, D. (TU Delft Tera-Hertz Sensing)","","2023","Artificial Dielectric Layers (ADLs) have recently been exploited to improve the radiation and impedance performance of integrated antennas at millimeter wave (mmWave) and terahertz (THz) frequencies. The ADLs are composed by layers of sub-wavelength periodic metal patches that can be arranged within a host medium to synthesize an equivalent anisotropic material. Thanks to the availability of closed-form expressions for the modeling, ADLs can be conveniently designed to realize matching layers and impedance transformers when used in the closed proximity of antennas, to improve their bandwidth and the front-to-back ratio. An overview of different applications that benefit from this concept is given. Moreover, recent developments on the use of ADLs for wideband flat lenses are described.","artificial dielectrics; flat lenses; wideband arrays; wideband lenses","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-15","","","Tera-Hertz Sensing","","",""
"uuid:0725e364-49cf-4be6-af8d-9c7c8b87d24a","http://resolver.tudelft.nl/uuid:0725e364-49cf-4be6-af8d-9c7c8b87d24a","New Cross-Faculty, University-Industry, and University- Society Educational Encounters","Rooij, R.M. (TU Delft Spatial Planning and Strategy)","Ioannou, Olga (editor)","2023","Rondetafelsessie met Remon Rooij, Olaf Oosting Michiel Susebeek, Hans Wamelink, Emma de Wijs, Indy van de Sande, Helmut Thoele.
In the Netherlands the shortage of domestic servants became a problematic issue at the end of the 19th century, and it stimulated middle-class housewives and architects to find solutions. Gilman’s work had an influence on this: In the American feminist’s view, this shortage of servants jeopardized the liberation of middle- and upper-class women, who were now forced to stay in the privacy of the home instead of playing a public role. The reception of Gilman’s ‘grand domestic revolution’ - i.e. the attempt for the centralisation of all domestic services - generated interesting projects in the Netherlands, from ‘collective kitchens’ (the first of which opened in Amsterdam in 1903), to the Dutch version of the ‘apartment hotel’, which came to be known as the ‘dwelling hotel’ (woonhotel). The first of these ‘dwelling hotels’ opened in the Hague in 1906 and was followed by more, some of which were no longer designed for families but for single working women.","Dwelling Hotel; Dutch Housing; Perkins Gilman; Feminist Transformation","en","conference paper","ETH Zürich","","","","","","","2023-09-08","","","Building Knowledge","","",""
"uuid:d11cd978-6306-45c1-9474-d6babc95daa3","http://resolver.tudelft.nl/uuid:d11cd978-6306-45c1-9474-d6babc95daa3","How do personality traits influence Open Government Data (OGD) adoption and usage? Investigating the indirect and moderating effects","Rizun, Nina (Gdansk University of Technology); Alexopoulos, Charalampos (University of the Aegean); Saxena, Stuti (Graphic Era University, Dehradun); Kleiman, F. (TU Delft Information and Communication Technology); Matheus, R. (TU Delft Information and Communication Technology)","Cid, David Duenas (editor)","2023","Open Government Data (OGD) research has focused for a long on the adoption and usage from the perspectives of users across different contexts. The underlying rationale for this specific focus is that OGD initiatives are undertaken to further citizen engagement with OGD for value generation and innovation purposes. Conceding that usage propensity is different across individuals, it is important to understand the influence of personality traits vis-à-vis OGD adoption and usage. Given that OGD has been regarded as a sophisticated ""technology""and the role of personality traits has been considered as important in the adoption and usage of ""technologies""in general, therefore, the present study contributes to the extant OGD-focused literature from a novel dimension. The study invokes the adapted model of the Unified Theory of Technology Adoption and Use (UTAUT) alongside the HEXACO-100 inventory constructs for studying the relationships between the constructs with a sample of 530 respondents. The results demonstrate that higher user Openness to Experience contributes to their higher Effort and Performance Expectancy; exposure to Social Influence; an increased level of Trust; and a more positive perception of Facilitating Conditions and Information Quality. Agreeable people are more likely to voluntarily use OGD. An individual's conscientiousness improves their perception of factors related to OGD quality. Excessive emotionality leads to a more critical perception of systems and information quality issues. Our findings also attest to the moderating impact of Honesty-Humility across Information Quality-Behavioral Intention positively; Extraversion across Information Quality-Behavioral Intention negatively and Extraversion across Trust-Behavioral Intention positively. Honesty turns out to be important for considering Information Quality vis-à-vis OGD adoption and usage but whilst extroverts are concerned about Information Quality, i.e. flawless information retrieval via OGD sources, Introverts are concerned about OGD trustworthiness, i.e. credible OGD for its adoption and usage and Extroverts find the OGD reliable and credible. With pointers for further research across the personality traits-OGD adoption and usage theme, the study closes with practitioner implications.","HEXACO; OGD; Open Government Data; Personality","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Information and Communication Technology","","",""
"uuid:37de09a4-7232-4026-b520-128f4c754744","http://resolver.tudelft.nl/uuid:37de09a4-7232-4026-b520-128f4c754744","TIM: A Novel Quality of Service Metric for Tactile Internet","Kroep, H.J.C. (TU Delft Networked Systems); Gokhale, V. (TU Delft Networked Systems); Simha, A. (TU Delft Networked Systems); Venkatesha Prasad, Ranga Rao (TU Delft Networked Systems); Rao, V.S. (Cognizant Technology Solutions)","","2023","Tactile Internet (TI) envisions communicating haptic sensory information and kinesthetic feedback over the network and is expected to transfer human skills remotely. For mission-critical TI applications, the network latency is commonly mandated to be between 1-10 ms, due to the sensitivity of human touch, and the packet delivery ratio to be 99.99999%, failing which can lead to catastrophic outcomes. However, with humans-in-the-loop, their dexterity and adaptability to varying responses to stimuli under different network conditions, measuring the performance of a TI session only with latency and packet losses are insufficient and presents an incorrect representation of the experience of the TI application. To develop an objective measure of the quality of TI sessions, we propose a framework that models TI applications as networked control systems, including humans-in-the-loop. We derive a closed-form expression for measuring the difference between the application performance in ideal and non-ideal network conditions. Based on Weber’s law of Just Noticeable Difference, we provide a metric called TIM to estimate the impact of the network on haptic feedback. We implemented TIM on multiple applications on a TI testbed to show that our approach is feasible and TIM strongly follows real subjective measurements. Further, we propose a channel compensation spring based on TIM, to alleviate the network conditions’ negative effects. We demonstrate the efficacy of the channel compensation spring in improving the user experience. We also present implementation notes for TI application developers.","QoS; Tactile internet; teleoperation; user experience","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Networked Systems","","",""
"uuid:d957133a-a0f0-4bb6-b70c-382f093afacc","http://resolver.tudelft.nl/uuid:d957133a-a0f0-4bb6-b70c-382f093afacc","Success Factors and Barriers of GovTech Ecosystems: A case study of GovTech ecosystems in the Netherlands and Lithuania","Hoekstra, Marissa (TNO); Van Veenstra, Anne Fleur (TNO); Bharosa, Nitesh (TU Delft Information and Communication Technology)","Cid, David Duenas (editor)","2023","GovTech, an acronym of Government Technologies, is a novel concept that is gaining attention in the public and private sector. It entails improving the design and delivery of human centric public services and data-driven processes with the use of emerging (digital) technologies. Furthermore, GovTech is concerned with the development of digital technologies that are used in these processes or services, but that are often developed by organizations outside the public sector. As a result, GovTech ecosystems emerge, in which public and private organizations as well as civic partners, including citizens, collaborate. GovTech ecosystems can be defined as networks of citizens, public and private actors, academia, and (venture) capital involved in the development of technological solutions to address public challenges. At this moment, literature on GovTech is still scarce and empirical studies into the emergence and impact of GovTech ecosystems are even scarcer. Therefore, this study explores the emergence and development of GovTech ecosystems. More specifically, the goal of this study is to contribute to the understanding of the barriers and success factors for the emergence of GovTech ecosystems. To do so, this paper conducts an explorative case study of success factors and barriers of GovTech ecosystems in two frontrunner countries: the Netherlands and Lithuania. Regarding the emergence of GovTech ecosystems, we find that the way in which the two GovTech ecosystems emerged and are built up, differs. Whereas the ecosystem in Lithuania is more centralized, the ecosystem in the Netherlands is more scattered. In addition, we find that factors that contribute to successful GovTech ecosystems include public-private collaborations, having a clear vision and strategy, sufficient space for experimentation, having infrastructure, networks and initiatives in place that stimulate sharing of knowledge and resources, and the presence of a culture of co-creation and innovation.","Digital Transformation; Ecosystems; GovTech; Procurement","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-11","","","Information and Communication Technology","","",""
"uuid:1e78c685-ae2c-4287-b3f6-8f398b10780e","http://resolver.tudelft.nl/uuid:1e78c685-ae2c-4287-b3f6-8f398b10780e","Hippolyta: a framework to enhance open data interpretability and empower citizens","Barcellos, Raissa (Federal University Fluminense (UFF)); Bernardini, Flavia (Federal University Fluminense (UFF)); Viterbo, Jose (Federal University Fluminense (UFF)); Zuiderwijk-van Eijk, A.M.G. (TU Delft Information and Communication Technology)","Cid, David Duenas (editor)","2023","Open government data initiatives have been rising quickly in recent times. They are encouraged by a wish to democratize data access and knowledge production and enhance cities socially and economically. The hardship of interpreting data can be considered an obstacle to using open government data and more prominent citizen engagement. Technology is crucial to enhance data interpretability and the practical construction of an open government. Nevertheless, the literature needed an instrument to support open government data's interpretability. In this work, our primary goal is to present the definition, implementation, and evaluation of a framework named Hippolyta, which is qualified to help citizens to interpret open government data. Hippolyta first identifies the citizen's necessities using a semantic enrichment module. After this step, the framework conducts the data collection through the same data retrieval module. Finally, Hippolyta creates a graphic visualization through a data visualization module. This study is relevant since it furnishes comprehensive insights into what the open data interpretability concept is composed of and which framework modules can sustain open data interpretation.","Data Interpretability; e-government; open data","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-11","","","Information and Communication Technology","","",""
"uuid:96993165-bda5-423d-8257-2383cf435f99","http://resolver.tudelft.nl/uuid:96993165-bda5-423d-8257-2383cf435f99","Barriers for developing and launching digital identity wallets","Lukkien, J.A. (TU Delft Information and Communication Technology); de Reuver, Mark (TU Delft Information and Communication Technology); Bharosa, Nitesh (TU Delft Information and Communication Technology)","Cid, David Duenas (editor)","2023","Across the European continent, governments and GovTech companies are rushing to launch digital identity wallets for citizens. These wallets should allow citizens to obtain a higher level of control over their personal data. While there are some regulations and policy directions, actors are struggling with the design, launch, and governance of these digital wallets. Those looking for help will find little guidance in academic literature. The objective of this paper is to provide insights in barriers for launching digital identity wallets by a public-private ecosystem. Drawing on the case study approach, we study the available regulations and policy directions, and collect insights from workshops with policy makers and aspiring wallet providers. The main findings indicate that barriers such as the lack of boundary resources (e.g. shared data specifications and exchange standards) and the absence of a collaborative, public-private governance impede the launch of digital identity wallets. Policy makers looking to speed up the launch of digital wallets must focus on removing these barriers, starting with the development and governance of boundary resources by the public-private ecosystem.","barriers; Digital identity wallet; personal data management; public-private collaboration","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Information and Communication Technology","","",""
"uuid:948f4bdb-eba0-44dd-a2a6-ec07a561130a","http://resolver.tudelft.nl/uuid:948f4bdb-eba0-44dd-a2a6-ec07a561130a","Clay micromechanics: experimental challenges and perspectives","Pedrotti, Matteo (University of Strathclyde); Dieudonné, A.A.M. (TU Delft Geo-engineering); Dijkstra, J. (Chalmers University of Technology); Musso, Guido (Politecnico di Torino); Hattab, Mahdia (Lorraine University); Viggiani, Gioacchino (Université Grenoble Alpes)","Viana da Fonseca, António (editor); Ferreira, Cristiana (editor)","2023","The importance of physico-chemical processes at the particle scale for the engineering scale behaviour of fine-grained geomaterials is undisputed. Yet, despite great advances in the discipline, experimental evidence that fully resolves the clay micromechanics i.e. linking the evolving microstructure and interparticle actions under loading, is lacking. This paper will discuss the challenges ahead in quantifying the evolving kinematics and interparticle interactions of finegrained geomaterials. As such, the current limitations, and the potential opportunities of experimental methodologies for manipulating, monitoring and (post-mortem) analysing fine-grained materials at the particle scale will be discussed. In addition to the need of integrating multiple experimental techniques that span several length scales and modalities, the critical role of advanced data reduction and analysis is highlighted, as required for a measurement as opposed to qualitative observation. Throughout the paper, the link between experimental clay micromechanics and modelling will be discussed.","clay; micromechanics; experimental methods","en","conference paper","International Society for Soil Mechanics and Geotechnical Engineering","","","","","","","","","","Geo-engineering","","",""
"uuid:e420db6d-4881-4e53-928d-4e96eb1a5268","http://resolver.tudelft.nl/uuid:e420db6d-4881-4e53-928d-4e96eb1a5268","A New Look at Blockchain Leader Election: Simple, Efficient, Sustainable and Post-Quantum","Esgin, Muhammed F. (Monash University); Ersoy, O. (TU Delft Cyber Security; Radboud Universiteit Nijmegen); Kuchta, Veronika (Florida Atlantic University); Loss, Julian (CISPA Helmholtz Center for Information Security); Sakzad, Amin (Monash University); Steinfeld, Ron (Monash University); Yang, Xiangwen (Monash University); Zhao, Raymond K. (CSIRO Data61)","","2023","In this work, we study the blockchain leader election problem. The purpose of such protocols is to elect a leader who decides on the next block to be appended to the blockchain, for each block proposal round. Solutions to this problem are vital for the security of blockchain systems. We introduce an efficient blockchain leader election method with security based solely on standard assumptions for cryptographic hash functions (rather than public-key cryptographic assumptions) and that does not involve a racing condition as in Proof-of-Work based approaches. Thanks to the former feature, our solution provides the highest confidence in security, even in the post-quantum era. A particularly scalable application of our solution is in the Proof-of-Stake setting, and we investigate our solution in the Algorand blockchain system. We believe our leader election approach can be easily adapted to a range of other blockchain settings. At the core of Algorand's leader election is a verifiable random function (VRF). Our approach is based on introducing a simpler primitive which still suffices for the blockchain leader election problem. In particular, we analyze the concrete requirements in an Algorand-like blockchain setting to accomplish leader election, which leads to the introduction of indexed VRF (iVRF). An iVRF satisfies modified uniqueness and pseudorandomness properties (versus a full-fledged VRF) that enable an efficient instantiation based on a hash function without requiring any complicated zero-knowledge proofs of correct PRF evaluation. We further extend iVRF to an authenticated iVRF with forward-security, which meets all the requirements to establish an Algorand-like consensus. Our solution is simple, flexible and incurs only a 32-byte additional overhead when combined with the current best solution to constructing a forward-secure signature (in the post-quantum setting). We implemented our (authenticated) iVRF proposal in C language on a standard computer and show that it significantly outperforms other quantum-safe VRF proposals in almost all metrics. Particularly, iVRF evaluation and verification can be executed in 0.02 ms, which is even faster than ECVRF used in Algorand.","Algorand; Blockchain; Leader Election; Post-Quantum; Verifiable Random Function","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-10","","","Cyber Security","","",""
"uuid:558e0b18-ced1-411d-b8e0-dd2783a9a3f2","http://resolver.tudelft.nl/uuid:558e0b18-ced1-411d-b8e0-dd2783a9a3f2","Correction: Aeropropulsive Performance Modelling of Over-The-Wing Propulsion at Incidence","Dekker, H.N.J. (TU Delft Wind Energy); Tuinstra, Marthijn (Royal Netherlands Aerospace Centre NLR); Baars, W.J. (TU Delft Aerodynamics); Scarano, F. (TU Delft Aerodynamics); Ragni, D. (TU Delft Wind Energy)","","2023","","","en","conference paper","","","","","","","","","","","Wind Energy","","",""
"uuid:c7e41571-82e3-43ad-85c4-65105fed9afa","http://resolver.tudelft.nl/uuid:c7e41571-82e3-43ad-85c4-65105fed9afa","Detailed distinct element modeling of a Utrecht wharf cellar for the assessment of the load-bearing capacity and failure mechanism","Oktiovan, Y.P. (TU Delft Applied Mechanics); Messali, F. (TU Delft Applied Mechanics); Rots, J.G. (TU Delft Applied Mechanics)","Topping, B.H.V. (editor); Iványi, P. (editor); Kruis, J. (editor)","2023","The city of Utrecht is famously known for the system of canals and the wharf cellars integrated to the heart of the city, whose construction dates back to the 1300s. Due to increased traffic volume which caused the increase in dead load and traffic load, it is important to assess the safety and state of maintenance of these historical structures. In this paper, a safety assessment framework for wharf cellars is introduced and the application to a wharf cellar as a case study in central Utrecht is provided. The geometry of the wharf cellar is parametrically generated and used for the numerical analysis using the distinct element method (DEM), where arch units and piers are modeled as discrete blocks separated by zero-thickness interfaces. Traffic load models in accordance with the Dutch guideline for emergency vehicles are calculated. Unlike traditional approaches, the three-dimensional load distribution through the soil is modeled. The structure’s compliance with this load is assessed, and the failure load
and mechanism are observed. The analysis result can be used to help engineers on providing insights into the safety and stability of the cellars in an effort to extend the lifespan of the historical structures.","Utrecht wharf cellar; distinct element method; 3DEC; traffic load; barrel vault; Boussinesq distribution; safety assessment","en","conference paper","Civil-Comp Press","","","","","","","","","","Applied Mechanics","","",""
"uuid:68e873db-82af-49e0-8b53-85de2570f09b","http://resolver.tudelft.nl/uuid:68e873db-82af-49e0-8b53-85de2570f09b","On the Strengths of Pure Evolutionary Algorithms in Generating Adversarial Examples","Bartlett, A.J. (TU Delft Multimedia Computing); Liem, C.C.S. (TU Delft Multimedia Computing); Panichella, A. (TU Delft Software Engineering)","","2023","Deep learning (DL) models are known to be highly accurate, yet vulnerable to adversarial examples. While earlier research focused on generating adversarial examples using whitebox strategies, later research focused on black-box strategies, as models often are not accessible to external attackers. Prior studies showed that black-box approaches based on approximate gradient descent algorithms combined with meta-heuristic search (i.e., the BMI-FGSM algorithm) outperform previously proposed white- and black-box strategies. In this paper, we propose a novel black-box approach purely based on differential evolution (DE), i.e., without using any gradient approximation method. In particular, we propose two variants of a customized DE with customized variation operators: (1) a single-objective (Pixel-SOO) variant generating attacks that fool DL models, and (2) a multi-objective variant (Pixel-MOO) that also minimizes the number of changes in generated attacks. Our preliminary study on five canonical image classification models shows that Pixel-SOO and Pixel-MOO are more effective than the state-of-the-art BMI-FGSM in generating adversarial attacks. Furthermore, Pixel-SOO is faster than Pixel-MOO, while the latter produces subtler attacks than its single-objective variant.","Black-box testing; Adversarial example generation; Differential evolution; Multi-Objective Optimisation; Search-based Software Testing; Deep Learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-27","","","Multimedia Computing","","",""
"uuid:22c40cfe-54f8-4a0e-bfa8-b18cb0280ee2","http://resolver.tudelft.nl/uuid:22c40cfe-54f8-4a0e-bfa8-b18cb0280ee2","Over-the-Air Characterization Techniques for Antenna-Coupled Direct-Detectors at Terahertz Frequencies","Hoogelander, M. (TU Delft Tera-Hertz Sensing); Alonso Del Pino, M. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Spirito, M. (TU Delft Electronics)","","2023","The continuous advancements in the coverage and sensitivity of terahertz direct-detector imagers places increasing constraints on the test benches needed for characterization. Already, relative differences on the order of a few decibels are observed between simulated and measured performance in the state-of-the-art literature. This contribution elaborates on our experimental strategies to maximize the characterization accuracy and precision of terahertz direct-detection imagers using a broadband, over-the-air measurement procedure. As a demonstration, four elements within a dense detector array were characterized in the WR2.2 band. By optimizing the modulation frequency in the setup for minimal impact of low-frequency noise and interference, a measurement dynamic range up to 30 dB was achieved, including the path loss over 20 cm. The hardware-to-model agreement of the characterized array is below 1 dB between 325 and 450 GHz.","antenna array; antenna measurement; direct-detection; modulation; over-the-air measurement; Terahertz imaging; wideband measurement","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-28","","","Tera-Hertz Sensing","","",""
"uuid:812d98bf-81dc-4e24-a369-1ea41442c9ed","http://resolver.tudelft.nl/uuid:812d98bf-81dc-4e24-a369-1ea41442c9ed","A 6GHz Multi-Path Multi-Frequency Chopping CTΔΣ Modulator achieving 122dBFS SFDR from 150kHz to 120MHz BW","Javvaji, L.S. (TU Delft Electronic Instrumentation); Bolatkale, M. (TU Delft Electronic Instrumentation; NXP Semiconductors); Bajoria, Shagun (NXP Semiconductors); Rutten, Robert (NXP Semiconductors); Essink, Bert Oude (NXP Semiconductors); Beijens, Koen (NXP Semiconductors); Makinwa, K.A.A. (TU Delft Microelectronics); Breems, L.J. (TU Delft Electronic Instrumentation; NXP Semiconductors)","","2023","Advances in CMOS technologies have led to the development of continuous-time ΔΣ modulators (CTDSMs) with GHz sampling rates that achieve better than-100dBc linearity and bandwidths above 100MHz. However, at low frequencies (below 10MHz), their SNDR is limited by 1/f noise, which limits their use in radio receivers intended to cover both the AM and the FM bands. In this work, a multi-path multi-frequency chopping scheme is proposed to suppress 1/f noise, while maintaining interferer robustness, noise, spurious, and linearity performance. Implemented in a CTDSM sampling at 6GHz, it reduces its 1/f noise corner frequency by 22x and achieves -98.3dBc THD, 122dBFS SFDR in 120MHzBW.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-24","","Microelectronics","Electronic Instrumentation","","",""
"uuid:b29e3a31-06cc-4de8-b833-b58eaee3b636","http://resolver.tudelft.nl/uuid:b29e3a31-06cc-4de8-b833-b58eaee3b636","A Class-D Piezoelectric Speaker Driver Using A Quadrature Feedback Chopping Scheme achieving 29dB Large-Signal THD+N Improvement","Karmakar, S. (TU Delft Electronic Instrumentation); Zhang, H. (TU Delft Electronic Components, Technology and Materials; TU Delft Electronic Instrumentation); Berkhout, Marco (Goodix Technologies); Fan, Q. (TU Delft Electronic Components, Technology and Materials)","","2023","This paper presents a Class-D piezoelectric speaker driver that employs a quadrature feedback chopping scheme (QCS). Compared to a conventional single feedback chopping scheme (SCS), the use of QCS can eliminate the timing skew between low-voltage (LV) and high-voltage (HV) choppers, greatly improving large-signal linearity. A prototype implemented in a 180nm BCD process achieves a peak THD+N of 88dB/-92.5dB for a 1kHz/6kHz input frequency and 37μ VR MS output noise (A-weighted) while driving a 4μ F load. Thanks to QCS, the large-signal THD+N has been improved by 29 dB, while the output voltage swing achieving -60dB THD+N has been extended from 86.9% to 99.5% of the full-scale (FS).","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-24","","","Electronic Instrumentation","","",""
"uuid:c7e4a7ad-639e-443b-b516-d9afd78d2934","http://resolver.tudelft.nl/uuid:c7e4a7ad-639e-443b-b516-d9afd78d2934","A 720 nW Current Sensor with 0-to-15 V Input Common-Mode Range and ±0.5% Gain Error from -40 to 85 °C","Brito Zamparette, R.L. (TU Delft Electronic Instrumentation); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","This paper presents a nano-power high-side shunt-based current sensor (CS) that digitizes the voltage drop across an on-chip (±1A) or a lead-frame (±30A) shunt. A TC-tunable ADC reference compensates for the shunts' large temperature coefficient (TC), resulting in ±0.5% gain error from -40 to 85°C. The CS employs a capacitively coupled gm-boosted front-end followed by a CCO-based Δ Σ ADC. Together with a floating input chopper, this results in an input common-mode range (ICMR) of 0-to-15V, the largest reported for a CS implemented in a standard CMOS process. It achieves high energy efficiency (164dB FoM) while consuming only 720nW, representing a 4 × improvement on the state-of-the-art and making this the first ever reported sub-μ W smart current sensor.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-24","","Microelectronics","Electronic Instrumentation","","",""
"uuid:d263a2dd-3a8b-4efb-86a3-478b0eff1219","http://resolver.tudelft.nl/uuid:d263a2dd-3a8b-4efb-86a3-478b0eff1219","Co-aligning user-centered design and software engineering courses: A case study","Suvorova, Alena (National Research University Higher School of Economics); Musabirov, Ilya (University of Toronto); Bulygin, D. (TU Delft Internet of Things); Faidrakhmanov, Rustem (National Research University Higher School of Economics)","","2023","Introducing students to different perspectives and roles in the development process allows them to engage in the work of cross-disciplinary diverse teams and even can enable them to change roles in designer-developer interactions. Industry work often places recent graduates in preexisting polarized relationship dynamics between different participants in the design and development process. This paper describes a two-stage attempt at co-alignment of software engineering and user-centered design courses: from full alignment with topic intersections and joint project to partial alignment through separate activities. We discuss challenges of both ways including time or technical constraints, increased effort from the program developers and instructors, students' and instructors' frustrations. We finalize by describing benefits of providing students with early experience identifying trade-offs between design requirements and architecture and opportunities for diverse group with different background in computer science.","curriculum; information systems; user-centered design; workshop","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-06","","","Internet of Things","","",""
"uuid:35a5f4e9-78d5-404d-bdd6-410564eee4d2","http://resolver.tudelft.nl/uuid:35a5f4e9-78d5-404d-bdd6-410564eee4d2","A Framework of Design Method Corroboration","Thoring, K.C. (Technische Universität München); Mueller, Roland M. (Berlin School of Economics and Law); Lecuna, Alejandro (Anhalt University of Applied Sciences Dessau); Badke-Schaub, P.G. (TU Delft Methodologie en Organisatie van Design)","Bui, Tung X. (editor)","2023","Practitioners design artifacts of different kinds. Researchers and practitioners both create methods for designing such artifacts. The question arises whether those methods are actually valid and useful. In this conceptual paper, we argue that there is a need for “method corroboration”-the deliberate and reflected use and possible validation of a design method. We present a literature review of method corroboration in the IS and more specifically in the DSR literature. The findings are summarized as a conceptual model outlining eight strategies of method use, which are then condensed into a 2-by-2 framework of method corroboration. The results of this paper present insight into the current state of method corroboration in the DSR field and provide guidance for working with design methods in research and practice.","design methods; design science research; method evaluation; method validation; research rigor","en","conference paper","IEEE","","","","","","","","","","Methodologie en Organisatie van Design","","",""
"uuid:db694ad2-9e5c-4004-971a-c9d2d9eee5b6","http://resolver.tudelft.nl/uuid:db694ad2-9e5c-4004-971a-c9d2d9eee5b6","A 26GHz Balun-First Three-Way Doherty PA in 40nm CMOS with 20.7 dBm Psat and 20dB Power Gain","Kumaran, A.K. (TU Delft Electronics); Pashaeifar, M. (TU Delft Electronics); Nemati, Hossein Mashad (Huawei Technologies); de Vreede, L.C.N. (TU Delft Electronics); Alavi, S.M. (TU Delft Electronics)","Kitchen, Jennifer (editor); Turner, Steven (editor)","2023","This paper presents a 40nm CMOS mm-wave 3-way Doherty power amplifier (PA) suitable for 5G mm-wave transmitters. It features a bandwidth-enhanced technique using a compact single-supply balun-first 3-way Doherty combiner. The realized front-end with a core area of 0.77 mm2delivers a peak power/gain of more than 20 dBm/16 dB and a drain efficiency (DE) of better than 15 %/22 %/33 % at 9.5 dB/6 dB/0 dB power back-off across a 24-to-30 GHz band. At 26 GHz, it achieves an EVM/ACLR of -23.5 dB/-29.5 dBc for an 800MHz 64-OFDM signal with 9.8 dBm average output power and a 15 % average DE.","3-stage Power amplifier; Compact; Doherty; Lumped components; Millimeter wave; Norton transformation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-24","","","Electronics","","",""
"uuid:20f27c92-2bf6-4f66-8c73-2edb37bcb82b","http://resolver.tudelft.nl/uuid:20f27c92-2bf6-4f66-8c73-2edb37bcb82b","Teaching Exergy to Engineering Students in view of the Energy Transition","Stougie, L. (TU Delft Energie and Industrie); de Raad, B.W. (TU Delft Energie and Industrie; Rotterdam University of Applied Sciences); van der Kooi, H.J. (TU Delft Reservoir Engineering); Korevaar, G. (TU Delft Energie and Industrie; Rotterdam University of Applied Sciences)","","2023","In view of the energy transition, it is important that engineering students are familiar with the concept of exergy and the added value of exergy analysis compared to energy analysis. Exergy analysis tells the truth about energy efficiency and exergy is directly related to sustainable development. This paper focuses on teaching exergy to students at the Delft University of Technology (TU Delft), but the contents are valuable to other engineering students as well. To encourage the teaching of exergy, the basics of exergy and exergy analysis are presented, as well as examples and ideas for teaching exergy to BSc students that are related to the topics of their BSc programme. It is recommended that the contents of this paper be discussed with many teachers of BSc programmes, especially teachers of BSc programmes that do not yet seem to include the teaching of exergy, and that attention be paid to teaching exergy to MSc students as well.","Academia; Added Value; Basics; Energy Transition; Examples; Exergy; Teaching","en","conference paper","International Conference on Efficiency, Cost, Optimization, Simulation and Environmental Impact of Energy Systems","","","","","","","","","","Energie and Industrie","","",""
"uuid:20eb4219-3aaf-47c2-8e50-eb7773868fa9","http://resolver.tudelft.nl/uuid:20eb4219-3aaf-47c2-8e50-eb7773868fa9","Sentinel-1 InSAR Coherence as an Indicator of Monitor Farming Activities","Huber, Manuel (European Space Agency (ESA); University of the Federal Armed Forces Munich); Kumar, V. (TU Delft Mathematical Geodesy and Positioning); Steele-Dunne, S.C. (TU Delft Mathematical Geodesy and Positioning); Rommen, Bjorn (European Space Agency (ESA))","","2023","Reliable crop monitoring is paramount to achieve the objectives of the Common Agricultural Policy (CAP) and Food and Agriculture Organization. Synthetic Aperture Radar (SAR) provides high-resolution imaging and all-weather data acquisition capabilities for crop monitoring. This study investigates the sensitivity of parcel-level Sentinel-1 interferometric coherence to farming activities (e.g. planting, emergence, harvest and tillage) and weather events. A methodology to detect activities was developed and validated using ground-truth data from four crop types, collected over four years. The proposed approach was able to detect over 60% of all nine different farming activities. The results show that interferometric coherence is a reliable indicator for farming activities that can be considered as events resulting in a clear structural change (e.g. tillage 100%), but less reliable for gradual changes (e.g. Emergence 40%).","Agriculture; Catch Crop; Emergence; ERA5; Harvest; Interferometric Coherence; Sentinel-1; Tillage","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-21","","","Mathematical Geodesy and Positioning","","",""
"uuid:cc4e051c-6f3e-4131-ab5c-d2c9b1b4ef1b","http://resolver.tudelft.nl/uuid:cc4e051c-6f3e-4131-ab5c-d2c9b1b4ef1b","Magnetic order in 2D antiferromagnets disclosed by spontaneous anisotropic magnetostriction","Houmes, M.J.A. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Baglioni, G. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Siskins, M. (TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Lee, M. (TU Delft QN/Steeneken Lab; Kavli institute of nanoscience Delft); Esteras, Dorye L. (Universidad de Valencia (ICMol)); Mañas Valero, S. (Universidad de Valencia (ICMol)); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems; TU Delft QN/Steeneken Lab; TU Delft Precision and Microsystems Engineering; Kavli institute of nanoscience Delft); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft)","","2023","The temperature dependent order parameter provides important information on the nature of magnetism. Using traditional methods to study this parameter in two-dimensional (2D) magnets remains difficult, however, particularly for insulating antiferromagnetic (AF) compounds. We show that its temperature dependence in AF MPS3 (M(II) = Fe, Co, Ni) can be probed via the anisotropy in the resonance frequency of rectangular membranes, mediated by a combination of anisotropic magnetostriction and spontaneous staggered magnetization. Density functional calculations followed by a derived orbital-resolved magnetic exchange analysis confirm and unravel the microscopic origin of this magnetization inducing anistropic strain. We further show that the temperature and thickness dependent order parameter allows to deduce the material's critical exponents characterising magnetic order. Nanomechanical sensing of magnetic order thus provides a future platform to investigate 2D magnetism down to the single-layer limit.","antiferromagnetic; membrane resonator; nanomechanics; phase transition; Transition-metal phosphorus trisulphides; two-dimensional materials","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-12","","Precision and Microsystems Engineering","QN/van der Zant Lab","","",""
"uuid:620851e4-f4ab-40a3-af96-dd1aff0beea6","http://resolver.tudelft.nl/uuid:620851e4-f4ab-40a3-af96-dd1aff0beea6","Non-Destructive Infield Quality Estimation of Strawberries using Deep Architectures","Jol, Cees (Student TU Delft); Wen, J. (TU Delft Algorithmics); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","Ceballos, Cristina (editor)","2023","Strawberries are profitable fruits, yet they have a short shelf life. Therefore, it is crucial to anticipate their quality and harvest them at the best time, which is vital not only for finding the appropriate market but also for minimizing food and economic waste. To this end, non-destructive strawberry quality measurements are useful. Much research is conducted on post-harvest strawberries: the fruits were only analyzed after harvesting and thus, these methods cannot be used to find a good time to harvest. Our research targets pre-harvest analysis for supporting the timing decisions of harvests. As such, we used an infield image dataset that was collected during the cultivation of strawberries. The images are labeled by quality assessments and measurements from post-harvest destructive tests. We evaluated deep learning for quality estimation and trained our algorithms to predict the ripeness, firmness, and sweetness of strawberries. Additionally, we applied depth estimation algorithms and shape inpainting models to estimate the size of strawberries using images. Our results demonstrate the feasibility of infield quality attribute prediction.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-25","","","Algorithmics","","",""
"uuid:12f8bc08-b5ae-428d-981e-39882d938972","http://resolver.tudelft.nl/uuid:12f8bc08-b5ae-428d-981e-39882d938972","Is there progress in activity progress prediction?","de Boer, Frans (Student TU Delft); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics); Dijkstra, Jouke (Leiden University Medical Center); Pintea, S. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","Ceballos, Cristina (editor)","2023","Activity progress prediction aims to estimate what percentage of an activity has been completed. Currently this is done with machine learning approaches, trained and evaluated on complicated and realistic video datasets. The videos in these datasets vary drastically in length and appearance. And some of the activities have unanticipated developments, making activity progression difficult to estimate. In this work, we examine the results obtained by existing progress prediction methods on these datasets. We find that current progress prediction methods seem not to extract useful visual information for the progress prediction task. Therefore, these methods fail to exceed simple frame-counting baselines. We design a precisely controlled dataset for activity progress prediction and on this synthetic dataset we show that the considered methods can make use of the visual information, when this directly relates to the progress prediction. We conclude that the progress prediction task is ill-posed on the currently used real-world datasets. Moreover, to fairly measure activity progression we advise to consider a, simple but effective, frame-counting baseline.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-25","","","Pattern Recognition and Bioinformatics","","",""
"uuid:3ae2da81-d8ac-47cc-9ad0-e6b03724c81c","http://resolver.tudelft.nl/uuid:3ae2da81-d8ac-47cc-9ad0-e6b03724c81c","Video BagNet: Short temporal receptive fields increase robustness in long-term action recognition","Strafforello, O. (TU Delft Pattern Recognition and Bioinformatics; TNO); Liu, X. (TU Delft Pattern Recognition and Bioinformatics); Schutte, Klamer (TNO); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","Ceballos, Cristina (editor)","2023","Previous work on long-term video action recognition relies on deep 3D-convolutional models that have a large temporal receptive field (RF). We argue that these models are not always the best choice for temporal modeling in videos. A large temporal receptive field allows the model to encode the exact sub-action order of a video, which causes a performance decrease when testing videos have a different sub-action order. In this work, we investigate whether we can improve the model robustness to the sub-action order by shrinking the temporal receptive field of action recognition models. For this, we design Video BagNet, a variant of the 3D ResNet-50 model with the temporal receptive field size limited to 1, 9, 17 or 33 frames. We analyze Video Bag-Net on synthetic and real-world video datasets and experimentally compare models with varying temporal receptive fields. We find that short receptive fields are robust to sub-action order changes, while larger temporal receptive fields are sensitive to the sub-action order.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-25","","","Pattern Recognition and Bioinformatics","","",""
"uuid:33b93392-a242-45be-810e-e8b213271cc4","http://resolver.tudelft.nl/uuid:33b93392-a242-45be-810e-e8b213271cc4","Are current long-term video understanding datasets long-term?","Strafforello, O. (TU Delft Pattern Recognition and Bioinformatics; TNO); Schutte, Klamer (TNO); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","Ceballos, Cristina (editor)","2023","Many real-world applications, from sport analysis to surveillance, benefit from automatic long-term action recognition. In the current deep learning paradigm for automatic action recognition, it is imperative that models are trained and tested on datasets and tasks that evaluate if such models actually learn and reason over long-term information. In this work, we propose a method to evaluate how suitable a video dataset is to evaluate models for long-term action recognition. To this end, we define a long-term action as excluding all the videos that can be correctly recognized using solely short-term information. We test this definition on existing long-term classification tasks on three popular real-world datasets, namely Breakfast, CrossTask and LVU, to determine if these datasets are truly evaluating long-term recognition. Our study reveals that these datasets can be effectively solved using shortcuts based on short-term information. Following this finding, we encourage long-term action recognition researchers to make use of datasets that need long-term information to be solved.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-25","","","Pattern Recognition and Bioinformatics","","",""
"uuid:bf3678d1-4203-4018-a7fc-989828369188","http://resolver.tudelft.nl/uuid:bf3678d1-4203-4018-a7fc-989828369188","Multichannel current-mode stimulator with channel-specific regulated power supply","Varkevisser, F. (TU Delft Bio-Electronics); Lopes Marta da Costa, T.M. (TU Delft Bio-Electronics); Serdijn, W.A. (TU Delft Bio-Electronics; Erasmus MC)","","2023","Developing neuroprosthetic bioelectronic devices requires wirelessly-powered implantable stimulator systems with hundreds to thousands of output channels. Power efficiency optimization is crucial for scaling up the number of output channels. Current-mode electrical stimulation is favored for safety but is power-inefficient in conventional designs, particularly in multichannel stimulators. An adaptive voltage supply can improve power efficiency, but implementing channel-specific voltage supplies in large-scale systems is challenging. Conventional power management suffers from losses and low efficiency due to multiple conversion stages. This work proposes a multichannel current-mode stimulator with a parallel, adaptive ac/dc power management strategy using single-stage phase-controlled converters to prevent cascaded losses. This allows for generating channel-specific supply voltages within a small area for high power efficiency and high-density electrical stimulation. The proposed circuit was designed and simulated using TSMC 180 nm technology and demonstrates an improvement in the power efficiency of up to 45% with respect to a conventional power-management strategy using a fixed supply voltage.","Power supplies; Power system management; Rectifiers; Neuroprostheses; Electrical stimulation; Topology; Safety; electrical stimulation; neuromodulation; regulating rectifier","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","Bio-Electronics","","",""
"uuid:505a880e-58aa-4ac6-a8c6-43f6c8446bca","http://resolver.tudelft.nl/uuid:505a880e-58aa-4ac6-a8c6-43f6c8446bca","Stand-Alone Broad Frequency Range Charge-Balancing System for Neural Stimulators","Späth, Jana M. (Fraunhofer Institute for Reliability and Microintegration IZM; Technical University of Berlin); Kolovou Kouri, K. (TU Delft Bio-Electronics; Fraunhofer Institute for Reliability and Microintegration IZM); Holzapfel, L.F.M. (TU Delft Bio-Electronics; Fraunhofer Institute for Reliability and Microintegration IZM); Thewes, Roland (Technical University of Berlin); Giagka, Vasiliki (TU Delft Bio-Electronics; Fraunhofer Institute for Reliability and Microintegration IZM)","","2023","Safety is a critical consideration when designing an electrical neural stimulator, given the direct contact with neural tissue. This paper presents the design of a charge balancing system suitable for frequencies up to the kilohertz domain, to be used as an add-on system for stimulators over a wide range of frequencies, also covering nerve conduction blocking. It operates independently of the stimulator timing by continuously sensing the offset voltage, and applying a corrective current to the electrode, using the offset compensation technique. To ensure its stand-alone capability, the system is battery-powered, and includes a safety and start-up circuit. Electrical measurements verified the functionality of the circuit, demonstrating a residual offset of only 0.7 mV for 1 V biphasic pulses at 50 kHz. When tested for 20 kHz biphasic pulse at a 5 V amplitude, the offset was measured at -11.6 mV, which is still within the (commonly used) ±50 mV safety window.","neural stimulation; active charge balancing; offset compensation; kilohertz frequency alternating current (KHFAC)","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","Bio-Electronics","","",""
"uuid:77d316a3-d919-4231-9510-a94839be92be","http://resolver.tudelft.nl/uuid:77d316a3-d919-4231-9510-a94839be92be","The Two Faces of AI in Green Mobile Computing: A Literature Review","Siemers, Wander (Student TU Delft); Sallou, J. (TU Delft Software Engineering); Cruz, Luis (TU Delft Software Engineering)","Ceballos, Cristina (editor)","2023","Artificial intelligence is bringing ever new functionalities to the realm of mobile devices that are now considered essential (e.g., camera and voice assistants, recommender systems). Yet, operating artificial intelligence takes up a substantial amount of energy. However, artificial intelligence is also being used to enable more energy-efficient solutions for mobile systems. Hence, artificial intelligence has two faces in that regard, it is both a key enabler of desired (efficient) mobile functionalities and a major power draw on these devices, playing a part in both the solution and the problem. In this paper, we present a review of the literature of the past decade on the usage of artificial intelligence within the realm of green mobile computing. From the analysis of 34 papers, we highlight the emerging patterns and map the field into 13 main topics that are summarized in details. Our results showcase that the field is slowly increasing in the past years, more specifically, since 2019. Regarding the double impact AI has on the mobile energy consumption, the energy consumption of AI-based mobile systems is under-studied in comparison to the usage of AI for energy-efficient mobile computing, and we argue for more exploratory studies in that direction. We observe that although most studies are framed as solution papers (94%), the large majority do not make those solutions publicly available to the community. Moreover, we also show that most contributions are purely academic (28 out of 34 papers) and that we need to promote the involvement of the mobile software industry in this field.","mobile software; energy consumption; artificial intelligence","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Software Engineering","","",""
"uuid:c807a83e-0062-498d-8ecb-e10328c6547b","http://resolver.tudelft.nl/uuid:c807a83e-0062-498d-8ecb-e10328c6547b","Batching for Green AI - An Exploratory Study on Inference","Yarally, T.E.R.; Cruz, Luis (TU Delft Software Engineering); Feitosa, Daniel (University Medical Center Groningen); Sallou, J. (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Engineering)","","2023","The batch size is an essential parameter to tune during the development of new neural networks. Amongst other quality indicators, it has a large degree of influence on the model’s accuracy, generalisability, training times and parallelisability. This fact is generally known and commonly studied. However, during the application phase of a deep learning model, when the model is utilised by an end-user for inference, we find that there is a disregard for the potential benefits of introducing a batch size. In this study, we examine the effect of input batching on the energy consumption and response times of five fully-trained neural networks for computer vision that were considered state-of-the-art at the time of their publication. The results suggest that batching has a significant effect on both of these metrics. Furthermore, we present a timeline of the energy efficiency and accuracy of neural networks over the past decade. We find that in general, energy consumption rises at a much steeper pace than accuracy and question the necessity of this evolution. Additionally, we highlight one particular network, ShuffleNetV2 (2018), that achieved a competitive performance for its time while maintaining a much lower energy consumption. Nevertheless, we highlight that the results are model dependent.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-08","","","Software Engineering","","",""
"uuid:e413dd39-cceb-4e60-b689-11820ad27f04","http://resolver.tudelft.nl/uuid:e413dd39-cceb-4e60-b689-11820ad27f04","Polymer Reinforced Solder Paste for Improving Impact Energy Absorption Capability in Micro LED Laser-Assisted Mass Transfer","Ji, Liangzheng (Fudan University; Heraeus Materials Technology Shanghai Ltd.); Li, Zaihuan (Heraeus Materials Technology Shanghai Ltd.); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology Shanghai Ltd.); Liu, Pan (Fudan University)","","2023","Micro LED displays offer superior performance compared to traditional LCD and OLED displays. However, challenges in transfer technology, such as high throughput and scalability, must be addressed. Among various mass transfer techniques, stamp transfer and laser-assisted transfer are widely used for Micro LED assembly. The laser-assisted transfer technique enables high-speed and accurate transfer. Anisotropic conductive film (ACF) is commonly used for its energy absorption properties during chip transfer. However, during the subsequent thermocompression bonding process, the ACF film needs to be ruptured, which adds no value to the bonding process. To address limitations, we have developed a polymer-reinforced solder paste that demonstrates high effectiveness in absorbing impact energy during chip dropping, providing performance comparable to ACF-like materials for die receiving. It also possesses typical solder paste characteristics, enabling the formation of reliable solder joints between the chip and substrate. This material facilitates streamlined manufacturing process and providing opportunities for chip rework in subsequent stages.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-17","","","Electronic Components, Technology and Materials","","",""
"uuid:6c7359c2-d9eb-4829-ab91-d5276c6acc0f","http://resolver.tudelft.nl/uuid:6c7359c2-d9eb-4829-ab91-d5276c6acc0f","Galvanic Brain-Coupled Communication Among Freely Floating Micro-Scale Implants","Pola, M. (TU Delft Bio-Electronics; Politecnico di Torino); Giagka, Vasiliki (TU Delft Bio-Electronics; Fraunhofer Institute for Reliability and Microintegration IZM); Serdijn, W.A. (TU Delft Bio-Electronics); Demarchi, Danilo (Politecnico di Torino); Rashidi, A. (TU Delft Bio-Electronics; imec)","","2023","This paper presents a new communication method between micro-scale freely floating brain implants based on galvanic coupling (GC), called ""Brain-Coupled Communication"" (BCC). Since the transmission efficiency based on GC is highly dependent on the system’s geometry and the electromagnetic properties of the tissue, finite element models in COMSOL Multiphysics® are employed for characterizing the proposed method. Concurrent scaling of channel length (i.e., the distance between two implants), the inter-electrode distance (on a single implant), and electrode dimensions with a constant ratio down to 2 % of their typical values show an increase in the optimum frequency of the communication by 50 times (from 200 kHz to 10 MHz). This, in turn, yields a substantial increase in the channel bandwidth. The proposed method also shows excellent robustness against misalignment. Up to 60 ° of angular misalignment and 1 mm of lateral displacement result in a voltage-gain attenuation of less than 5 dB and 2 dB, respectively. Furthermore, a negligible shading effect between implants is observed by exploring multi-implant scenarios. Moreover, based on the conducted compliance study, no safety hazards were observed for the intended conditions. In conclusion, the proposed method exhibits a multitude of desirable qualities that position it as an excellent choice for establishing a network of freely floating brain implants.","Micro-scale brain implants; Distributed neural interface; Human body communication; Galvanic coupling","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","Bio-Electronics","","",""
"uuid:0294f482-e8d4-4ec5-a702-55328f5d95ca","http://resolver.tudelft.nl/uuid:0294f482-e8d4-4ec5-a702-55328f5d95ca","SPAIC: A sub-μW/Channel, 16-Channel General-Purpose Event-Based Analog Front-End with Dual-Mode Encoders","Narayanan, Shyam (University of Zürich; ETH Zürich); Cartiglia, Matteo (University of Zürich; ETH Zürich); Rubino, Arianna (University of Zürich; ETH Zürich); Lego, Charles (University of Zürich; ETH Zürich); Frenkel, C. (TU Delft Electronic Instrumentation); Indiveri, Giacomo (University of Zürich; ETH Zürich)","","2023","Low-power event-based analog front-ends (AFE) are a crucial component required to build efficient end-to-end neuromorphic processing systems for edge computing. Although several neuromorphic chips have been developed for implementing spiking neural networks (SNNs) and solving a wide range of sensory processing tasks, there are only a few general-purpose analog front-end devices that can be used to convert analog sensory signals into spikes and interfaced to neuromorphic processors. In this work, we present a novel, highly configurable analog front-end chip, denoted as ""SPAIC"" (signal-to-spike converter for analog AI computation), that offers a general-purpose dual-mode analog signal-to-spike encoding with delta modulation and pulse frequency modulation, with tunable frequency bands. The ASIC is designed in a 180nm process. It supports and encodes a wide variety of signals spanning 4 orders of magnitude in frequency, and provides an event-based output that is compatible with existing neuromorphic processors. We validated the ASIC for its functions and present initial silicon measurement results characterizing the basic building blocks of the chip.","Neuromorphic; Analog Front-End (AFE); Encoder; Spiking Neural Network (SNN)","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","Electronic Instrumentation","","",""
"uuid:3631b057-6e20-470a-acec-ceb3476a1137","http://resolver.tudelft.nl/uuid:3631b057-6e20-470a-acec-ceb3476a1137","3ET: Efficient Event-based Eye Tracking using a Change-Based ConvLSTM Network","Chen, Qinyu (University of Zürich; ETH Zürich); Wang, Zuowen (University of Zürich; ETH Zürich); Liu, Shih Chii (University of Zürich; ETH Zürich); Gao, C. (TU Delft Electronics)","","2023","This paper presents a sparse Change-Based Convolutional Long Short-Term Memory (CB-ConvLSTM) model for event-based eye tracking, key for next-generation wearable healthcare technology such as AR/VR headsets. We leverage the benefits of retina-inspired event cameras, namely their low-latency response and sparse output event stream, over traditional frame-based cameras. Our CB-ConvLSTM architecture efficiently extracts spatio-temporal features for pupil tracking from the event stream, outperforming conventional CNN structures. Utilizing a delta-encoded recurrent path enhancing activation sparsity, CB-ConvLSTM reduces arithmetic operations by approximately 4.7× without losing accuracy when tested on a v2e-generated event dataset of labeled pupils. This increase in efficiency makes it ideal for real-time eye tracking in resource-constrained devices. The project code and dataset are openly available at https://github.com/qinche106/cb-convlstm-eyetracking.","Pupil tracking; event cameras; sparsity; ConvLSTM; healthcare; AR/VR","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","Electronics","","",""
"uuid:85412880-9cb4-47ca-ab5c-6c33f89796e4","http://resolver.tudelft.nl/uuid:85412880-9cb4-47ca-ab5c-6c33f89796e4","An Energy-Efficient High-Voltage Pulser for High-Frequency Ultrasound Medical Applications","Xiao, Yidi (Student TU Delft); Rivandi, H. (TU Delft Bio-Electronics); Lopes Marta da Costa, T.M. (TU Delft Bio-Electronics)","","2023","Emerging ultrasound (US) biomedical applications, from battery-powered US imaging to US neuromodulation, demand wearable form factor and power-efficient US transmitters. Fulfilling these specifications demands a high-frequency and power-efficient 2D US phased-array transmitter directly integrated with the ASIC. In such systems, pulsers are the most power-hungry block owing to delivering high-voltage pulses to the US transducers. This paper presents a power-efficient high-voltage pulser to drive a 2D phased-array of piezoelectric transducers. The proposed pulser employs two storage capacitors per channel to save the charge of the US transducer and reuse it in the next phase. Moreover, utilizing a stack of two low-voltage CMOS transistors enables delivering 15-MHz pulses with an amplitude of 10 V to the piezoelectric transducers. The proposed pulser is designed and simulated in 180 nm CMOS technology. The simulation results demonstrate that the proposed pulser reduces the power consumption by 40.9% compared to the conventional class D pulser.","high-voltage pulser; power-efficient driver; stacked architecture; charge recycling","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","Bio-Electronics","","",""
"uuid:e92b54b8-6acc-45aa-9567-f9cd39cd5a4c","http://resolver.tudelft.nl/uuid:e92b54b8-6acc-45aa-9567-f9cd39cd5a4c","Delta-Sigma Control Loop For Energy-Efficient Electrical Stimulation with Arbitrary-Shape Stimuli","Rashidi, A. (TU Delft Bio-Electronics; imec); Rivandi, H. (TU Delft Bio-Electronics); Grubor, M. (TU Delft Electronic Instrumentation); Agostinho, Andre (SiliconGate); Sadio, Valter (SiliconGate); Santos, Marcelino (SiliconGate); Serdijn, W.A. (TU Delft Bio-Electronics); Giagka, Vasiliki (TU Delft Bio-Electronics; Fraunhofer Institute for Reliability and Microintegration IZM)","","2023","This paper presents a novel multi-channel stimulation backend with a multi-bit delta-sigma control loop, which enables precise adjustment of the stimulation current through modulation of the supply voltage. This minimizes the overhead voltage of series circuitry to the stimulation load and avoids the associated energy loss. Additionally, to address the bandwidth limitations commonly encountered in battery-less implants, we propose incorporating amplitude and duration scaling of the arbitrary stimulation waveform. The waveform is programmable with 64 7-bit samples and 4 scaling factors per channel, resulting in a minimum of 68% data reduction per channel compared to using the waveform without scaling. The proposed circuits are designed and simulated in 180nm BCD technology occupying a total silicon area of 9mm2. The fully integrated backend has a minimum compliance voltage of 8.5V and features a switched-capacitor multi-output DC-DC converter (MODDC) with pulse-skipping capability, a CMOS-only high-voltage (HV) multiplexer, and a unique HV H-bridge. Programming a sine-wave stimulus with a 4mA amplitude and a duration of 256μs achieved a signal-to-noise ratio of 40dB within a 10kHz bandwidth. For the same waveform, power efficiencies of 94% and 68% were observed without and with MODDC, respectively. Additionally, when programming constant-current stimuli ranging from 0.26mA to 4mA, high efficiencies of 78-97% and 23-79.4% were achieved without and with MODDC, respectively.","Electrical stimulation back-end; Delta-sigma control loop; power efficiency; arbitrary waveform","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","Bio-Electronics","","",""
"uuid:e0a3c3b1-99aa-47de-956f-19e2172f5b0b","http://resolver.tudelft.nl/uuid:e0a3c3b1-99aa-47de-956f-19e2172f5b0b","A Deep Reinforcement Learning Approach to Configuration Sampling Problem","Abolfazli, Amir (L3S Research Center); Spiegelberg, Jakob (Volkswagen AG); Anand, A. (TU Delft Web Information Systems); Palmer, Gregory (L3S Research Center)","O’Conner, Lisa (editor)","2023","Configurable software systems have become increasingly popular as they enable customized software variants. The main challenge in dealing with configuration problems is that the number of possible configurations grows exponentially as the number of features increases. Therefore, algorithms for testing customized software have to deal with the challenge of tractably finding potentially faulty configurations given exponentially large configurations. To overcome this problem, prior works focused on sampling strategies to significantly reduce the number of generated configurations, guaranteeing a high t-wise coverage. In this work, we address the configuration sampling problem by proposing a deep reinforcement learning (DRL) based sampler that efficiently finds the trade-off between exploration and exploitation, allowing for the efficient identification of a minimal subset of configurations that covers all t-wise feature interactions while minimizing redundancy. We also present the CS-Gym, an environment for the configuration sampling. We benchmark our results against heuristic-based sampling methods on eight different feature models of software product lines and show that our method outperforms all sampling methods in terms of sample size. Our findings indicate that the achieved improvement has major implications for cost reduction, as the reduction in sample size results in fewer configurations that need to be tested.","Deep learning; Costs; Software algorithms; Reinforcement learning; Sampling methods; Software systems; Software product lines; reinforcement learning; configuration sampling; software testing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Web Information Systems","","",""
"uuid:d80d86ab-6af6-40e6-bc67-abaebdcfb3bc","http://resolver.tudelft.nl/uuid:d80d86ab-6af6-40e6-bc67-abaebdcfb3bc","Optimal Distinctiveness: The Role of Platform Size and Identity","Sobota, V.C.M. (TU Delft Economics of Technology and Innovation); Ortt, J.R. (TU Delft Economics of Technology and Innovation); van de Kaa, G. (TU Delft Economics of Technology and Innovation); van Beers, Cees (TU Delft Economics of Technology and Innovation)","Bui, Tung X. (editor)","2023","Recent theoretical advances hold that platforms comprise a second strategic dimension next to size, called identity, which describes the platform's technological and market scope. Letting go of platform size as the main criterion for platform value opens the possibility for platforms to pursue differentiation strategies with a distinct market positioning. The concept of optimal distinctiveness (OD) implies that differentiation can be optimized so that it maximizes performance. In this paper, we draw on recent OD research in and outside of the field of platforms and elaborate on the role of platform size within the distinctiveness framework. We discuss platform size and identity in the context of OD and suggest propositions for future research. The paper contributes to the management of platforms and OD in platform markets by showing how a platform's distinctiveness strategy may depend on its size. We contribute to platform management across various platform sizes and to research on OD in platform markets.","Conformity; Distinctiveness; Performance; Platform; Strategy","en","conference paper","IEEE","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:f09bccf5-7894-4d27-883c-c7c61082acb5","http://resolver.tudelft.nl/uuid:f09bccf5-7894-4d27-883c-c7c61082acb5","Utility of the Koopman Operator in Output Regulation of Disturbed Nonlinear Systems","Kieboom, Bart (Student TU Delft); Bartzioka, M. (TU Delft Team Matin Jafarian); Jafarian, M. (TU Delft Team Matin Jafarian)","","2023","This paper studies the problem of output regulation for a class of nonlinear systems experiencing matched input disturbances. It is assumed that the disturbance signal is generated by an external autonomous dynamical system. First, we show that for a class of nonlinear systems admitting a finite-dimensional Koopman representation, the problem is equivalent to a bilinear output regulation. We then prove that a linear dynamic output feedback controller, inspired by the linear output regulation framework, locally solves the original nonlinear problem. Numerical results validate our analysis.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Matin Jafarian","","",""
"uuid:bef99bc2-8cb6-4bb3-ba84-ca2ff960dc4a","http://resolver.tudelft.nl/uuid:bef99bc2-8cb6-4bb3-ba84-ca2ff960dc4a","Exploring The Reliability, Time Efficiency, And Fairness Of Comparative Judgement In The Admission Of Architecture Students","van den Heuvel, L. (TU Delft Education and Student Affairs); Bohm, N.L. (TU Delft Urban Development Management)","","2023","It is common in architecture education to quantify the quality of assignments into grades, often done by one or two teachers using rubrics. However, this can have several downsides. It suggests an objective preciseness that is debatable for the creative assignments in the field of architecture, and the assessment is dependent on the judgement of only one or two people. Comparative judgement (CJ) offers an alternative to rubric-based assessment by applying pairwise comparison to student assignments, resulting in a ranking instead of a grade.
We used a mixed methods approach to compare the reliability, time efficiency, and fairness of CJ in the selection of students for an undergraduate architecture programme at Delft University of Technology in the Netherlands. Teachers involved in the rubric-based approach for student selection were asked to re-assess a random selection of the assignments using CJ. Reliability and time investments for both methods were compared, and the involved assessors were asked in a focus group setting which of the two methods they perceived as more reliable and fair. Comparing rubric-based assessment to CJ is new, as previous studies have only looked at these assessment methods in isolation.
Findings indicate that CJ can be serve as a more reliable and time efficient alternative to rubric-based assessment. However, teachers still perceive rubrics as having higher reliability and fairness. Though this research is particularly relevant in the context of architecture, it contributes to wider discussions about reliable and fair assessment of creative student assignments.","assessment; comparative judgement; fairness; reliability","en","conference paper","","","","","","","","","","","Education and Student Affairs","","",""
"uuid:a2c96cbd-32a9-47e6-96a8-4a216a843187","http://resolver.tudelft.nl/uuid:a2c96cbd-32a9-47e6-96a8-4a216a843187","Exploring Data Augmentation in Bias Mitigation Against Non-Native-Accented Speech","Zhang, Y. (TU Delft Multimedia Computing); Herygers, Aaricia (External organisation); Patel, T.B. (TU Delft Multimedia Computing); Yue, Z. (TU Delft Multimedia Computing); Scharenborg, O.E. (TU Delft Multimedia Computing)","","2023","Automatic speech recognition (ASR) should serve every speaker, not only the majority “standard” speakers of a language. In order to build inclusive ASR, mitigating the bias against speaker groups who speak in a “non-standard” or “diverse” way is crucial. We aim to mitigate the bias against non-native-accented Flemish in a Flemish ASR system. Since this is a low-resource problem, we investigate the optimal type of data augmentation, i.e., speed/pitch perturbation, cross-lingual voice conversion-based methods, and SpecAugment, applied to both native Flemish and non-native-accented Flemish, for bias mitigation. The results showed that specific types of data augmentation applied to both native and non-native-accented speech improve non-native-accented ASR while applying data augmentation to the non-native-accented speech is more conducive to bias reduction. Combining both gave the largest bias reduction for human-machine interaction (HMI) as well as read-type speech.","Speech recognition; bias mitigation; non-native accents; data augmentation; voice conversion","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Multimedia Computing","","",""
"uuid:4d7d4264-a5ba-4001-8181-091b4505a948","http://resolver.tudelft.nl/uuid:4d7d4264-a5ba-4001-8181-091b4505a948","Improving Whispered Speech Recognition Performance Using Pseudo-Whispered Based Data Augmentation","Lin, Zhaofeng (Student TU Delft); Patel, T.B. (TU Delft Multimedia Computing); Scharenborg, O.E. (TU Delft Multimedia Computing)","","2023","Whispering is a distinct form of speech known for its soft, breathy, and hushed characteristics, often used for private communication. The acoustic characteristics of whispered speech differ substantially from normally phonated speech and the scarcity of adequate training data leads to low automatic speech recognition (ASR) performance. To address the data scarcity issue, we use a signal processing-based technique that transforms the spectral characteristics of normal speech to those of pseudo-whispered speech. We augment an End-to-End ASR with pseudo-whispered speech and achieve an 18.2 % relative reduction in word error rate for whispered speech compared to the baseline. Results for the individual speaker groups in the wTIMIT database show the best results for US English. Further investigation showed that the lack of glottal information in whispered speech has the largest impact on whispered speech ASR performance.","Error analysis; Databases; Conferences; Training data; Transforms; Data augmentation; Acoustics; Whispered speech; pseudo-whisper; end-to-end speech recognition; wTIMIT; signal processing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Multimedia Computing","","",""
"uuid:b416e921-936b-4b96-a451-2d0089d7c987","http://resolver.tudelft.nl/uuid:b416e921-936b-4b96-a451-2d0089d7c987","AGIR: Automating Cyber Threat Intelligence Reporting with Natural Language Generation","Perrina, Filippo (University of Padova); Marchiori, Francesco (University of Padova); Conti, M. (TU Delft Cyber Security; University of Padova); Verde, Nino Vincenzo (Leonardo S.p.A.)","","2023","Cyber Threat Intelligence (CTI) reporting is pivotal in contemporary risk management strategies. As the volume of CTI reports continues to surge, the demand for automated tools to streamline report generation becomes increasingly apparent. While Natural Language Processing techniques have shown potential in handling text data, they often struggle to address the complexity of diverse data sources and their intricate interrelationships. Moreover, established paradigms like STIX have emerged as de facto standards within the CTI community, emphasizing the formal categorization of entities and relations to facilitate consistent data sharing. In this paper, we introduce AGIR (Automatic Generation of Intelligence Reports), a transformative Natural Language Generation tool specifically designed to address the pressing challenges in the realm of CTI reporting. AGIR’s primary objective is to empower security analysts by automating the labor-intensive task of generating comprehensive intelligence reports from formal representations of entity graphs. AGIR utilizes a two-stage pipeline by combining the advantages of template-based approaches and the capabilities of Large Language Models such as ChatGPT. We evaluate AGIR’s report generation capabilities both quantitatively and qualitatively. The generated reports accurately convey information expressed through formal language, achieving a high recall value (0.99) without introducing hallucination. Furthermore, we compare the fluency and utility of the reports with state-of-the-art approaches, showing how AGIR achieves higher scores in terms of Syntactic Log-Odds Ratio (SLOR) and through questionnaires. By using our tool, we estimate that the report writing time is reduced by more than 40%, therefore streamlining the CTI production of any organization and contributing to the automation of several CTI tasks.","Cyber Threat Intelligence; Natural Language Generation; Threat Reports; STIX","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-22","","","Cyber Security","","",""
"uuid:aa78178a-8b7b-485b-9329-2942991b6b8e","http://resolver.tudelft.nl/uuid:aa78178a-8b7b-485b-9329-2942991b6b8e","The 2021 German Federal Election on Social Media: Analysing Electoral Risks Created by Twitter and Facebook","Kübler, Johanne (WU Wien); Sekwenz, M.T. (TU Delft Organisation & Governance); Rachinger, Felicitas (University of Innsbruck); König, Anna (University of Potsdam); Gsenger, Rita (University of Potsdam); Pírková, Eliška (Access Now); Wagner, Ben (TU Delft Organisation & Governance; InHolland); Kettemann, Matthias C. (University of Innsbruck); Krennerich, Michael (Friedrich-Alexander-Universität Erlangen-Nürnberg); Ferro, Carolina (Enabling Digital)","Bui, Tung X. (editor)","2023","Safeguarding democratic elections is hard. Social media plays a vital role in the discourse around elections and during electoral campaigns. The following article provides an analysis of the 'systemic electoral risks' created by Twitter and Facebook and the mitigation strategies employed by the platforms. It is based on the 2020 proposal by the European Commission for the new Digital Services Act (DSA) in the context of the 2021 German federal elections. This article focuses on Twitter and Facebook and their roles during the German federal elections that took place on 26 September 2021. We analysed three systemic electoral risk categories: 1) the dissemination of illegal content, 2) negative effects on electoral rights, and 3) the influence of disinformation and developed systematic categories for this purpose. In conclusion, we discuss how to respond to these challenges as well as avenues for future research.","disinformation; elections; Germany; illegal content; platform governance; socio-technical systems","en","conference paper","IEEE","","","","","","","","","","Organisation & Governance","","",""
"uuid:1ca51e5b-7f1c-43a1-8dfd-d605fa95cc3d","http://resolver.tudelft.nl/uuid:1ca51e5b-7f1c-43a1-8dfd-d605fa95cc3d","Students' Perceptions on Engaging Database Domains and Structures","Miedema, Daphne (Eindhoven University of Technology); Taipalus, Toni (University of Jyväskylä); Aivaloglou, E.A. (TU Delft Web Information Systems; Open University of the Netherlands)","","2023","Several educational studies have argued for the contextualization of assignments, i.e., for providing a context or a story instead of an abstract or symbolic problem statement. Such contextualization may have beneficial effects such as higher student engagement and lower dropout rates. In the domain of database education, textbooks and educators typically provide an example database for context. These are then used to introduce key concepts related to database design, and to illustrate querying. However, it remains unstudied what kinds of database contexts are engaging for novices. In this paper, we study which aspects of database domain and complexity students find engaging through student reflections on a database creation assignment. We identify six factors regarding engaging domains, and five factors for engaging complexity. The main factor for domain-related engagement was Personal interest, the main factor for complexity engagement was Matching information requirements. Our findings can help database educators and book authors to design engaging exercise databases targeted for novices.","context; database; design; education; engagement","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:304160d7-eca0-4a66-8e33-d9a822b33e94","http://resolver.tudelft.nl/uuid:304160d7-eca0-4a66-8e33-d9a822b33e94","Data Segmentation and Fusion for Classification of Armed Personnel Using Micro-Doppler Signatures","Focante, E. (TU Delft Team Nitin Myers); Martin, Lilian De (TNO); Coutino, Mario (TNO)","","2023","In recent years, convolutional neural networks (CNNs) have been increasingly used for classifying radar micro-Doppler signatures of various targets. However, obtaining large amounts of data for efficient CNN training in defence and surveillance scenarios can be challenging. Therefore, designing techniques that maximize the use of available samples is critical. In this paper, we propose an approach built on the hypothesis that certain classes of radar spectrograms, such as those used for discerning armed from unarmed walking personnel, do not have information about the class encoded in the trajectory. Therefore, our method entails segmenting each input spectrogram into individual frames that correspond to a distinct step of human locomotion. Subsequently, we classify each segment independently and combine the resulting classification scores to obtain the final score for the entire spectrogram. As a result of this segmentation, the size of the training set is increased, whereas the dimensions of each sample - and therefore the number of parameters in the classifier - is decreased, reducing the risk of overfitting. Our experimental results demonstrate the effectiveness of our approach and its potential to enhance CNN-based classification of micro-Doppler signatures.","human detection; micro-Doppler; neural networks; target classification","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-28","","","Team Nitin Myers","","",""
"uuid:03a1f676-79a1-4e6c-8687-3980822d8424","http://resolver.tudelft.nl/uuid:03a1f676-79a1-4e6c-8687-3980822d8424","Synthetic Data Generation for the Creation of Bridge Digital Twins What-If Scenarios","Jiménez Rios, A. (OsloMet – storbyuniversitetet); Plevris, V. (Qatar University); Nogal Macho, M. (TU Delft Integral Design & Management)","Papadrakakis, M. (editor); Fragiadakis, M. (editor)","2023","The Digital Twin (DT) concept, as understood nowadays, appeared in the early 2000s as an attempt to create virtual replicas of physical assets, such as bridges, that can be used to examine, monitor and manage their performance. Up to this day, it has been successfully applied in the fields of aeronautics, manufacturing, medicine, and more recently, in the architecture, engineering, and construction industry. The DT of a bridge requires the creation of a virtual replica of the real-life asset, along with the connection and feedback of information channel between the two of them. This connection is currently achieved through the generation of real-time data by the placement of sensors in the real bridge and the application of structural health monitoring techniques to analyze such data. This connection could result in a complex, time-consuming, and expensive process which would hinder the creation of DT prototypes for development purposes in the bridge engineering field. This paper aims at exploring the currently available synthetic data generation methodologies and tools, which could be used as a faster and a more economically feasible alternative to real monitoring, for the creation and development of DT prototypes of bridges, for both industry and research-oriented purposes. A synthetic data generation framework is proposed that can produce FAIR benchmark databases that are based on Findability, Accessibility, Interoperability, and Reuse, which could be used in the prototyping of bridge DTs. Finally, tentative future improvements in this topic are discussed.","digital twins; bridges; synthetic FAIR data; prototyping; damage detection","en","conference paper","ECCOMAS","","","","","This project has received funding from the European Union’s Horizon 2020 research and innovation program under the Marie Sklodowska-Curie grant agreement No. 101066739.","","","","","Integral Design & Management","","",""
"uuid:7ca849c6-ba61-4170-88c9-eef5eb0b693b","http://resolver.tudelft.nl/uuid:7ca849c6-ba61-4170-88c9-eef5eb0b693b","Influence of Nanoparticle Coating on the Differential Magnetometry and Wireless Actuation of Biohybrid Microrobots","Magdanz, Veronika (University of Waterloo); Cumming, Jack R. (University of Twente); Salamzadeh, Sadaf (University of Twente); Tesselaar, Sven (University of Twente); Alic, Lejla (University of Twente); Abelmann, L. (TU Delft Bio-Electronics); Khalil, Islam S.M. (University of Twente)","","2023","Magnetic nanoparticles can be electrostatically assembled around sperm cells to form biohybrid micro robots. These biohybrid microrobots possess sufficient magnetic material to potentially allow for pulse-echo localization and wireless actuation. Alternatively, magnetic excitation of these nanoparticles can be used for localization based on Faraday's law of induction using a detection coil. Here, we investigate the influence of the electrostatic attraction between positively charged nanoparticles and negatively charged sperm cells on the activation of the nanoparticles during nonlinear differential magnetometry and wireless magnetic actuation. Activation of clusters of free nanoparticles and nanoparticles bound to the body of sperm cells is achieved by a combination of a high- frequency alternating field and a pulsating static field. The nonlinear response in both cases indicates that constraining the nanoparticles is likely to yield significant decreases in the magnetometry sensitivity. While the attachment of particles to the cells enables wireless actuation (rolling locomotion), the rate of change of the magnetization of the nanoparticles decreases one order of magnitude compared to free nanoparticles","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-13","","","Bio-Electronics","","",""
"uuid:5fc082f5-0993-4136-a6f9-576294c6b275","http://resolver.tudelft.nl/uuid:5fc082f5-0993-4136-a6f9-576294c6b275","How do you feel?: Measuring User-Perceived Value for Rejecting Machine Decisions in Hate Speech Detection","Lammerts, Philippe (Student TU Delft); Lippmann, P. (TU Delft Web Information Systems); Hsu, Yen Chia (Universiteit van Amsterdam); Casati, Fabio (ServiceNow); Yang, J. (TU Delft Web Information Systems)","","2023","Hate speech moderation remains a challenging task for social media platforms. Human-AI collaborative systems offer the potential to combine the strengths of humans' reliability and the scalability of machine learning to tackle this issue effectively. While methods for task handover in human-AI collaboration exist that consider the costs of incorrect predictions, insufficient attention has been paid to accurately estimating these costs. In this work, we propose a value-sensitive rejection mechanism that automatically rejects machine decisions for human moderation based on users' value perceptions regarding machine decisions. We conduct a crowdsourced survey study with 160 participants to evaluate their perception of correct and incorrect machine decisions in the domain of hate speech detection, as well as occurrences where the system rejects making a prediction. Here, we introduce Magnitude Estimation, an unbounded scale, as the preferred method for measuring user (dis)agreement with machine decisions. Our results show that Magnitude Estimation can provide a reliable measurement of participants' perception of machine decisions. By integrating user-perceived value into human-AI collaboration, we further show that it can guide us in 1) determining when to accept or reject machine decisions to obtain the optimal total value a model can deliver and 2) selecting better classification models as compared to the more widely used target of model accuracy.","crowdsourcing; hate speech; human-in-the-loop; machine confidence; rejection; value-sensitive machine learning","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:53ab957f-4f51-4a50-ba2e-5524e0ce1f63","http://resolver.tudelft.nl/uuid:53ab957f-4f51-4a50-ba2e-5524e0ce1f63","The power of perspective dialogue: Unlocking transformative reflection in engineering education","Hermsen, P.E.A. (TU Delft Education and Student Affairs; TU Delft Biomechanical Engineering); van Dommelen, S. (TU Delft Education and Student Affairs); Hueso Espinosa, P. (TU Delft Education and Student Affairs); van den Bogaard, M.E.D. (University of Texas at El Paso)","Reilly, Ger (editor); Murphy, Mike (editor); Nagy, Balazs Vince (editor); Jarvinen, Hannu-Matti (editor)","2023","Engineers need to be socially responsible, ethically aware and deliver positive contributions to the wicked problems2 of today's global challenges. In navigating these challenges, being able to reflect is a necessary prerequisite. But if we simply ask students reflective questions, they tend to give us mostly socially desirable answers. Our university initiated an institute-wide program focused on creating learning experiences and environments for transformative reflection instead of superficial reflection. In this paper we present design principles for transformative reflection based on a literature overview and the program's accumulated experience. The principles are I) Six domains for reflection on engineering issues, II) The differentiation between the internal and external perspectives, III) Our approach to design for context-specificity of transformative reflective experiences, and IV) Four mechanisms that foster transformative reflection.","Education Innovation; Reflection; Transformative Reflection","en","conference paper","European Society for Engineering Education (SEFI)","","","","","","","","","Education and Student Affairs","","","",""
"uuid:c1c27700-58cd-4201-97bd-948b307a3c89","http://resolver.tudelft.nl/uuid:c1c27700-58cd-4201-97bd-948b307a3c89","Campfire Talk game, an unsupervised intervision game for students and staff","Hermsen, P.E.A. (TU Delft Education and Student Affairs; TU Delft Biomechanical Engineering); van Dommelen, S. (TU Delft Education and Student Affairs); Hueso Espinosa, P. (TU Delft Education and Student Affairs; TU Delft Support 3mE)","Reilly, Ger (editor); Murphy, Mike (editor); Nagy, Balazs Vince (editor); Jarvinen, Hannu-Matti (editor)","2023","Are you witnessing students facing concerns, difficulties, and problems throughout their educational journey? Perhaps you know PhDs, lecturers, or support staff who encounter challenges in their educational, research, or teaching paths. Openly addressing these issues can prove beneficial in all of these scenarios! While coaching is a potential solution, it can be time-consuming and demanding, right? Instead, let them have a Campfire Talk: a non-intrusive and socially safe serious game that requires no supervision. It provides a platform for discussing struggles that in practice are often difficult to address or overlooked but can deeply affect individual or group behavior. During this workshop, participants will have the opportunity to experience the game firsthand, followed by an exploration of its underlying mechanisms and potential application in their own educational settings. An open-source version of this game will be available for participants.","Intervision; Ludodidactics; Reflection; Serious Game","en","conference paper","European Society for Engineering Education (SEFI)","","","","","","","","","Education and Student Affairs","","","",""
"uuid:4557e408-9360-4a9c-afc5-02b5a33eb7d5","http://resolver.tudelft.nl/uuid:4557e408-9360-4a9c-afc5-02b5a33eb7d5","Recent Developments in the Design of Conventional Rubble Mound Structures","Etemad-Shahidi, Amir (Griffith University); van Gent, M.R.A. (TU Delft Coastal Engineering; Deltares)","","2023","Conventional rubble mound structures such as breakwaters, seawalls, and revetments are the most common type of coastal structures around the world used to protect harbour basins and embankments from wave action. To have a safe and economic design, two aspects need to be considered. The first one is the structural stability where the required armor size (weight) must be determined. The second aspect is the safety, where the crest freeboard of the structure is usually determined based on the allowable mean wave overtopping rate. Several semi-empirical formulas have been developed for these purposes. These formulas, which have evolved over time, are generally semi-empirical and based on the small-scale laboratory experiments where both incident wave characteristics and the structure configuration are considered. This paper aims to provide a comprehensive overview of the performance of existing formulas developed for the assessing the stability and mean overtopping rate of conventional rubble mound structures, while also introducing the recent ones. The Rock Manual formulas for the slope stability and EurOtop formula for estimating the mean overtopping rate will be discussed, and their performances will be compared with those of more recent and comprehensive ones using both lab and field data. It will be shown that the recent formulas that utilize the spectral energy mean period for stability analysis and run-up for the mean overtopping rate are more robust and physically sound. Finally, design formulas and uncertainty estimates are presented, along with guidance for practitioners.","armour stability; breakwaters; mean overtopping discharge; seawall; shallow water","en","conference paper","Engineers Australia","","","","","","","","","","Coastal Engineering","","",""
"uuid:222b05e0-4fd7-4504-8d7a-57827d90d722","http://resolver.tudelft.nl/uuid:222b05e0-4fd7-4504-8d7a-57827d90d722","Reshaping The Bio Medical Curriculum To Include Socialisation And Subjectification","Klaassen, R.G. (TU Delft Policy & Implementation); Bossen, R.H. (TU Delft Education and Student Affairs); Milano, C. (TU Delft Communication IO); Hellendoorn, J. (TU Delft Cognitive Robotics)","Reilly, Ger (editor); Murphy, Mike (editor); Nagy, Balazs Vince (editor); Jarvinen, Hannu-Matti (editor)","2023","University students are asked to become all-round human beings, knowing how to be engaged in Engineering in the future, as well as wholly socialised and going through personal development steps. However, how and where are the students supposed to acquire these skills? Do we already have them in the Higher Education programmes and curricula? This article explores low threshold steps that can be taken to tweak the curriculum and implicit professionalisation of staff towards incorporating transversal skills and reflective activities that allow students to develop to their full potential.. One is a roadmap Workshop identifying guiding principles and touchpoint activities for curricular change. The other is a survey on how transversal skills are currently thought to have been embedded in the curriculum.","Curricular Design & Development; Engineering Roles; Transversal Skills","en","conference paper","European Society for Engineering Education (SEFI)","","","","","","","","","Education and Student Affairs","Policy & Implementation","","",""
"uuid:f0aa4c7e-1484-4fd4-ac0a-68929a701ad7","http://resolver.tudelft.nl/uuid:f0aa4c7e-1484-4fd4-ac0a-68929a701ad7","Real-Time Tendon Strain Estimation of Rotator-Cuff Muscles during Robotic-Assisted Rehabilitation","Beck, I.L.Y. (TU Delft Human-Robot Interaction); Belli, I. (TU Delft Human-Robot Interaction); Peternel, L. (TU Delft Human-Robot Interaction); Seth, A. (TU Delft Biomechatronics & Human-Machine Control); Prendergast, J.M. (TU Delft Human-Robot Interaction)","","2023","In this research, we propose a novel method to estimate and monitor rotator cuff tendon strains during active robotic-assisted rehabilitation. This is a significant step forward from our previous work which estimated these tendon strains during passive exercises (i.e., no muscle activity). Physiotherapists adopt a cautious approach to rehabilitation treatment to prevent (re-) injury given the limited available information about the shoulder's internal condition. By leveraging a robotic device and a musculoskeletal model, our approach provides quantitative information on the risk of re-injury by monitoring the strains of the rotator cuff tendons during shoulder movements with the application of external loads. Muscle strains depend on the shoulder kinematic state and muscle activations, which makes it crucial to obtain physiologically realistic joint kinematics to estimate real-time muscle function. To obtain the strains, we utilize our muscle redundancy solver that incorporates constraints on model accelerations, the glenohumeral joint reaction forces, and active muscle dynamics. Using this algorithm along with force and pose data from a collaborative robotic arm, we demonstrate the ability to update our tendon strain estimates based on muscle activation during robotic-assisted rehabilitation exercises. The findings of our research pave the way for establishing improved therapy that considers the risk of injury to individual muscles and explores a broader and more personalized range of motion. By providing physiotherapists with valuable quantitative information on rotator cuff tendon strains, our method empowers them to optimize rehabilitation protocols and deliver more personalized and effective care. In addition, the system and method presented here comprise a tool capable of offering new insights into the relationship between the rotator cuff muscles, external forces, and shoulder kinematics.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Human-Robot Interaction","","",""
"uuid:667d20fa-b19d-45fa-addc-e728854d7e32","http://resolver.tudelft.nl/uuid:667d20fa-b19d-45fa-addc-e728854d7e32","An Adaptive Semi-Autonomous Impedance Controller for Teleoperated Object Grasping Based on Human Grip Safety Margin","Popken, M.H.J. (Student TU Delft); Prendergast, J.M. (TU Delft Human-Robot Interaction); Wiertlewski, M. (TU Delft Human-Robot Interaction); Peternel, L. (TU Delft Human-Robot Interaction)","","2023","Humans can effortlessly grasp various objects when the fingers are in direct physical interaction with the object. However, the same actions become complicated when grasping has to be performed via a teleoperated remote robot due to a lack of direct contact and reduced sensory information. Having a fully autonomous remote robot can eliminate the problem of lack of proper feedback to the human operator, nevertheless, it also prevents human control over the remote robot's grasping actions. In this paper, we propose a semi-autonomous controller for a teleoperated robot grasping where the human operator controls the grasping aperture while the robot controls the impedance of the gripper. When the operator grasps an object with the remote robot, the semi-autonomous controller maintains the grip force by adjusting stiffness. The developed stiffness adjustment approach derives from the concept of grip force safety margin, which is the central regulation principle humans use to maintain a light grasp yet prevent object slippage. To detect incipient slippage, we use a tactile sensor that captures the local deformations due to the contact and interprets them to determine the proximity to the object's slip. To validate the proposed method, we performed experiments on a teleoperation system composed of Force Dimension sigma.7 haptic interface and a KUKA LBR iiwa collaborative robot equipped with a custom-built gripper. The results show that the proposed controller is robust to external perturbations while it adapts to the operator's commands to prevent grasped object slippage.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Human-Robot Interaction","","",""
"uuid:a1f1579b-a821-4a59-a581-90f64696b63c","http://resolver.tudelft.nl/uuid:a1f1579b-a821-4a59-a581-90f64696b63c","Controller Placement with Respect to Controller Reachability","Xu, Ran (Student TU Delft); Wang, F. (TU Delft Network Architectures and Services); Kooij, Robert (TU Delft Quantum & Computer Engineering; TNO)","","2023","In this paper we investigate the controller placement problem on networks using controller reachability as the network performance metric. This metric is defined as the probability that each node can reach at least one controller, given that each link is operational with a fixed probability. By exploring placements for more than 100 real-world networks and by varying the number of controllers from two to five, we find that controller reachability varies greatly with different placements. Obviously, increasing the number of controllers increases the controller reachability. However, the extent of this increase depends on the strategy with which the controllers are placed. The findings indicate that efficient controller placement strategies should be developed to ensure good network performance. In this research, we propose four controller placement strategies. One strategy is based on topological network metrics: node degree and path length between controllers and nodes. The other three heuristic strategies are the greedy algorithm, the classic genetic algorithm and the heuristic genetic algorithm. By validating strategies on real-world networks, we find that all four strategies work well to solve the controller placement problem with respect to controller reachability.","Controller reachability; controller placement; heuristic algorithms","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-08","","Quantum & Computer Engineering","Network Architectures and Services","","",""
"uuid:0b6ed7c9-3fd6-4a91-8e55-f8e48409ac6a","http://resolver.tudelft.nl/uuid:0b6ed7c9-3fd6-4a91-8e55-f8e48409ac6a","Orbital Head-Mounted Display: A Novel Interface for Viewpoint Control during Robot Teleoperation in Cluttered Environments","Kuitert, S. (Student TU Delft; Heemskerk Innovative Technology B.V.); Hofland, Jelle (Heemskerk Innovative Technology B.V.); Heemskerk, Cock J.M. (Heemskerk Innovative Technology B.V.); Abbink, D.A. (TU Delft Human-Centred Artificial Intelligence; TU Delft Human-Robot Interaction); Peternel, L. (TU Delft Human-Robot Interaction)","","2023","Robotic teleoperation is used in various applications, including the nuclear industry, where the experience and intelligence of a human operator are necessary for making complex decisions that are beyond the autonomy of robots. Human-robot interfaces that help strengthen an operators situational awareness without inducing excessive cognitive load are crucial to the success of teleoperation. This paper presents a novel visual interface that allows operators to simultaneously control a 6-DoF camera platform and a robotic manipulator whilst experiencing the remote environment through a virtual reality head-mounted display (HMD). The proposed system, Orbital Head-Mounted Display (OHMD), utilizes head rotation tracking to command camera movement in azimuth and elevation directions around a fixation point located at a robot's end-effector. A human factor study was conducted to compare the interface acceptance, perceived workload, and task performance of OHMD with a conventional interface utilizing multiple fixed cameras (Array) and a standard head-mounted display implementation (HMD). Results show that both the OHMD and HMD interfaces significantly improve task performance, reduce perceived workload and increase interface acceptance compared to the Array interface. Participants reported they preferred OHMD due to the increased assistance and freedom in viewpoint selection. Whilst OHMD excelled in usefulness, the standard HMD interface allowed operators to perform robotic welding tasks significantly faster.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-13","","","Human-Centred Artificial Intelligence","","",""
"uuid:bda8ce76-7c56-420d-ba73-eb701a3f791a","http://resolver.tudelft.nl/uuid:bda8ce76-7c56-420d-ba73-eb701a3f791a","Per Priority Data Rate Measurement in Data Plane","Mostafaei, Habib (Eindhoven University of Technology); Smaragdakis, G. (TU Delft Cyber Security)","Gurevich, Vladimir (editor); Signorello, Salvatore (editor)","2023","Many applications, such as video streaming, congestion control, and server selection, can benefit when the data rate of different priority groups between two endpoints is accurately estimated over the end-to-end path. With the introduction of programmable networks, e.g., P4, it is now possible to offload the measurements to the data plane of intermediate devices. Recently, tools have been developed to react to changes in available bandwidth, but a tool to accurately estimate end-to-end per-priority data rates needs to be added. This motivates us to design and implement a new end-to-end and per-priority data rate estimation tool, PrioMeter. PrioMeter can accurately report the data rate per priority group of flows in programmable networks using high-precision timestamps for arbitrary traffic scales. PrioMeter leverages two primitives: quantization and truncation, to achieve its goals. We implement PrioMeter in P4 and test it on BMv2 switches, and our preliminary results using NS3 simulations show that it can accurately estimate the data rate of different priority flows with minimal overhead.","","en","conference paper","","","","","","","","","","","Cyber Security","","",""
"uuid:2bcc4637-9a68-4528-a2fa-79916eb3881a","http://resolver.tudelft.nl/uuid:2bcc4637-9a68-4528-a2fa-79916eb3881a","Multi-Perspective Learning to Rank to Support Children's Information Seeking in the Classroom","Allen, G.M. (TU Delft Web Information Systems); Wright, Katherine Landau (Boise State University); Fails, Jerry Alan (Boise State University); Kennington, Casey (Boise State University); Pera, M.S. (TU Delft Web Information Systems)","Gurrola, Javier (editor)","2023","We introduce a re-ranking model that augments the functionality of standard search engines to aid classroom search activities for children (ages 6–11). This model extends the known listwise learning-to-rank framework by balancing risk and reward. Doing so enables the model to prioritize Web resources of high educational alignment, appropriateness, and adequate readability by analyzing the URLs, snippets, and page titles of Web resources retrieved by a mainstream search engine. Experimental results demonstrate the value of considering multiple perspectives inherent to the classroom when designing algorithms that can better support children's information discovery.","children’s web search; ranking","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-19","","","Web Information Systems","","",""
"uuid:e1548744-24b0-4943-aca9-4fc0b930eeb5","http://resolver.tudelft.nl/uuid:e1548744-24b0-4943-aca9-4fc0b930eeb5","Verifiable Credentials with Privacy-Preserving Tamper-Evident Revocation Mechanism","Xu, Li (Student TU Delft); Li, T. (TU Delft Cyber Security); Erkin, Z. (TU Delft Cyber Security)","","2023","Verifiable Credential (VC) is a new standard proposed by the W3C association to facilitate the expression and verification of third-party-verified credentials on the Internet, such as passports or diplomas. However, the current VC data model lacks an explicit revocation design that guarantees the secure operations of the system, which limits its application. In this paper, we specify the requirements for a tamper-evident and privacy-preserving revocation mechanism, based on which we compare existing solutions and propose our revocation mechanism that satisfies all the requirements. Our design combines a cryptographic accumulator and a role-based blockchain. With zero-knowledge proof, the verifier can operate off-chain computation of the revocation status while ensuring the correctness of revocation information published on the blockchain. Our analysis shows that the proposed revocation mechanism can prevent fraud using forged and revoked credentials and relieve privacy concerns caused by the correlation of digital data. Our proof-of-concept implementation demonstrates that our revocation mechanism adds only 42.86 ms overhead in the presentation and 31.36 ms overhead in the verification of verifiable credentials. We also provide scalability analysis, which illustrates that the throughput of our blockchain can meet real-world needs.","verifiable credential; revocation; blockchain; zero-knowledge proof; privacy","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-11","","","Cyber Security","","",""
"uuid:317e54a1-e776-4d28-8486-b444ad9e9e0b","http://resolver.tudelft.nl/uuid:317e54a1-e776-4d28-8486-b444ad9e9e0b","Generating Understandable Unit Tests through End-to-End Test Scenario Carving","Deljouyi, A. (TU Delft Software Engineering); Zaidman, A.E. (TU Delft Software Engineering)","Moonen, Leon (editor); Newman, Christian (editor); Gorla, Alessandra (editor)","2023","Automatic unit test generators such as EvoSuite are able to automatically generate unit test suites with high coverage. This removes the burden of writing unit tests from developers, but the generated tests are often difficult to understand for them. In this paper, we introduce the MicroTestCarver approach that generates unit tests starting from manual or scripted end-toend (E2E) tests. Using carved information from these E2E tests, we generate unit tests that have meaningful test scenarios and contain actual test data. When we apply our MicroTestCarver approach, we observe that 85% of the generated tests are executable. Through a user study involving 20 participants, we get indications that tests generated with MicroTestCarver are relatively easy to understand.","Test Generation; Unit Testing; Understandability; Readability; Carving and Replaying","en","conference paper","IEEE Computer Society - Conference Publishing Services","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-27","","","Software Engineering","","",""
"uuid:5aff2c18-e850-415e-8bd9-a10282c27f39","http://resolver.tudelft.nl/uuid:5aff2c18-e850-415e-8bd9-a10282c27f39","SSIG: A Visually-Guided Graph Edit Distance for Floor Plan Similarity","van Engelenburg, C.C.J. (TU Delft Building Knowledge); Khademi, S. (TU Delft Building Knowledge); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","","2023","We propose a simple yet effective metric that measures structural similarity between visual instances of architectural floor plans, without the need for learning. Qualitatively, our experiments show that the retrieval results are similar to deeply learned methods. Effectively comparing instances of floor plan data is paramount to the success of machine understanding of floor plan data, including the assessment of floor plan generative models and floor plan recommendation systems. Comparing visual floor plan images goes beyond a sole pixel-wise visual examination and is crucially about similarities and differences in the shapes and relations between subdivisions that compose the layout. Currently, deep metric learning approaches are used to learn a pair-wise vector representation space that closely mimics the structural similarity, in which the models are trained on similarity labels that are obtained by Intersection-over-Union (IoU). To compensate for the lack of structural awareness in IoU, graph-based approaches such as Graph Matching Networks (GMNs) are used, which require pairwise inference for comparing data instances, making GMNs less practical for retrieval applications. In this paper, an effective evaluation metric for judging the structural similarity of floor plans, coined SSIG (Structural Similarity by IoU and GED), is proposed based on both image and graph distances. In addition, an efficient algorithm is developed that uses SSIG to rank a large-scale floor plan database. Code will be openly available.","","en","conference paper","IEEE","","","","","The version of this article that was uploaded to the research portal is an open access version of the IEEE Computer Society version that was uploaded in December 2023. There is a slight discrepancy between the page numbers of the definitive version (pp. 1565-1574) and the open access version (pp. 1573-1582).","","","","","Building Knowledge","","",""
"uuid:c807205c-d1ef-4355-89b8-b2513d3fb725","http://resolver.tudelft.nl/uuid:c807205c-d1ef-4355-89b8-b2513d3fb725","Using and Abusing Equivariance","Edixhoven, T.F. (Student TU Delft); Lengyel, A. (TU Delft Pattern Recognition and Bioinformatics); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","","2023","In this paper we show how Group Equivariant Convolutional Neural Networks use subsampling to learn to break equivariance to the rotation and reflection symmetries. We focus on the 2D rotations and reflections and investigate the impact of the broken equivariance on network performance. We show that a change in the input dimension of a network as small as a single pixel can be enough for commonly used architectures to become approximately equivariant, rather than exactly. We investigate the impact of networks not being exactly equivariant and find that approximately equivariant networks generalise significantly worse to unseen symmetries compared to their exactly equivariant counterparts. However, when the symmetries in the training data are not identical to the symmetries of the network, we find that approximately equivariant networks can relax their equivariance constraints, matching or outperforming exactly equivariant networks on common benchmarks.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","","","Pattern Recognition and Bioinformatics","","",""
"uuid:643ae557-8981-4965-9c88-bdaae0181490","http://resolver.tudelft.nl/uuid:643ae557-8981-4965-9c88-bdaae0181490","Liveness Checking of the HotStuff Protocol Family","Decouchant, Jérémie (TU Delft Data-Intensive Systems); Kulahcioglu Ozkan, Burcu (TU Delft Software Engineering); Zhou, Yanzhuo (Student TU Delft)","Ceballos, Cristina (editor)","2023","Byzantine consensus protocols aim at maintaining safety guarantees under any network synchrony model and at providing liveness in partially or fully synchronous networks. However, several Byzantine consensus protocols have been shown to violate liveness properties under certain scenarios. Existing testing methods for checking the liveness of consensus protocols check for time-bounded liveness violations, which generate a large number of false positives. In this work, for the first time, we check the liveness of Byzantine consensus protocols using the temperature and lasso detection methods, which require the definition of ad-hoc system state abstractions. We focus on the HotStuff protocol family that has been recently developed for blockchain consensus. In this family, the HotStuff protocol is both safe and live under the partial synchrony assumption, while the 2-Phase Hotstuff and Sync HotStuff protocols are known to violate liveness in subtle fault scenarios. We implemented our liveness checking methods on top of the Twins automated unit test generator to test the HotStuff protocol family. Our results indicate that our methods successfully detect all known liveness violations and produce fewer false positives than the traditional time-bounded liveness checks.","Byzantine consensus; Hotstuff protocols; Liveness checking; Lasso detection; Testing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-21","","","Data-Intensive Systems","","",""
"uuid:131f55c3-4c9c-43ca-9428-8b3b32638335","http://resolver.tudelft.nl/uuid:131f55c3-4c9c-43ca-9428-8b3b32638335","When to Let the Developer Guide: Trade-offs Between Open and Guided Test Amplification","Brandt, C.E. (TU Delft Software Engineering); Wang, D. (Student TU Delft); Zaidman, A.E. (TU Delft Software Engineering)","Moonen, Leon (editor); Newman, Christian (editor); Gorla, Alessandra (editor)","2023","Test amplification generates new tests by mutating existing, developer-written tests and keeping those tests that improve the coverage of the test suite. Current amplification tools focus on starting from a specific test and propose coverage improvements all over a software project, requiring considerable effort from the software engineer to understand and evaluate the different tests when deciding whether to include a test in the maintained test suite. In this paper, we propose a novel approach that lets the developer take charge and guide the test amplification process towards a specific branch they would like to test in a control flow graph visualization. We evaluate whether simple modifications to the automatic process that incorporate the guidance make the test amplification more effective at covering targeted branches. In a user study and semi-structured interviews we compare our user-guided test amplification approach to the state-of-the-art open test amplification approach. While our participants prefer the guided approach, we uncover several trade-offs that influence which approach is the better choice, largely depending on the use case of the developer.","Software Testing; Test Amplification; Automated Test Code Modification; User-centric Design; Human-Automation Interaction","en","conference paper","IEEE Computer Society - Conference Publishing Services","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Software Engineering","","",""
"uuid:ea6fb9aa-ae00-4392-bdf7-03916ed319e2","http://resolver.tudelft.nl/uuid:ea6fb9aa-ae00-4392-bdf7-03916ed319e2","Coating layer on samples with roughness: numerical study for coherent Fourier scatterometry","Kolenov, D. (TU Delft ImPhys/Pereira group); Pereira, S.F. (TU Delft ImPhys/Pereira group)","Bodermann, Bernd (editor); Frenner, Karsten (editor)","2023","For the development of integrated circuits, the accompanying metrology inside the fabrication process is essential. Non-imaging metrology of nanostructure has to be quick and non-destructive. The multilayers are crucial components of today's microprocessor nanostructures and reflective coatings. Coherent Fourier scatterometry (CFS), which is currently employed as a method for determining certain parameters of nanostructures and isolated particle detection, has not been investigated in the context of multilayer characterization. Retrieving the thickness of many wavelength-thick films using a coherent visible-range source at a full-complex-field measurement is the specific application where CFS might be advantageous. Furthermore, due to polishing in the realistic multilayers, the anticipated optical performance suffers from stochastic changes relating to surface roughness. Few non-imaging metrology methods take into consideration these statistic variances and thus are of interest for this study. Operating in the visible regime, CFS can become a viable candidate to provide cover layer reconstruction in the presence of surface roughness that has a correlation length bigger than the characteristic spot size i.e., in the range of microns. We present forward model results of multilayer structure as measured with visible range CFS modality. The influence of surface roughness is taken into account and the simulation results are discussed. Simulations of micron-sized layers of dielectric on silicon substrate suggest an influence on the far field intensity that motivates a future extended study on experimental multiple wavelength thick cover layer reconstruction in the presence of roughness.","multilayer; Optical metrology; roughness; scatterometry","en","conference paper","SPIE","","","","","","","","","","ImPhys/Pereira group","","",""
"uuid:3194a705-5cd6-48c1-9d67-ea0c413b5775","http://resolver.tudelft.nl/uuid:3194a705-5cd6-48c1-9d67-ea0c413b5775","Carbon Nanotubes Dispersion for Humidity Sensor Devices","Abbas, Yawar (Khalifa University of Science and Technology); Deader, Firdous Ahmad (Khalifa University of Science and Technology); Abunahla, H.N. (TU Delft Computer Engineering); Baker, Mohammad (Khalifa University of Science and Technology); Rezeq, Moh'd (Khalifa University of Science and Technology)","","2023","Due to their stability, single-walled carbon nanotubes (SWCNTs) have been used for multiple applications in the semiconductor industry. Herein, we report the humidity sensing capability of SWCNTs by comparing the different densities of SWCNTs dispersed on the sensing area of the planner sensor device. Three different humidity sensors have been fabricated by preparing three different densities of CNTs diluted in deionized water and drop cast on the channel of 100 μm width between 2mm x 1mm gold electrodes. It is observed that for very low density and high density of SWCNTs sensing layer, the sensing behavior either lacks in the detection range and response time, respectively. However, we found the optimized density of SWCNTs in deionized water for a highly sensitive, fast, and high-range humidity sensor for the optimized density of SWCNTs in deionized water.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","Computer Engineering","","",""
"uuid:532af9e5-dc99-4cd3-9c96-c5a10f809b03","http://resolver.tudelft.nl/uuid:532af9e5-dc99-4cd3-9c96-c5a10f809b03","Uncertainty-Encoded Multi-Modal Fusion for Robust Object Detection in Autonomous Driving","Lou, Yang (City University of Hong Kong); Song, Q. (TU Delft Embedded Systems); Xu, Qian (City University of Hong Kong); Tan, Rui (Nanyang Technological University); Wang, J. (TU Delft Microwave Sensing, Signals & Systems; City University of Hong Kong)","Gal, Kobi (editor); Gal, Kobi (editor); Nowe, Ann (editor); Nalepa, Grzegorz J. (editor); Fairstein, Roy (editor); Radulescu, Roxana (editor)","2023","Multi-modal fusion has shown initial promising results for object detection of autonomous driving perception. However, many existing fusion schemes do not consider the quality of each fusion input and may suffer from adverse conditions on one or more sensors. While predictive uncertainty has been applied to characterize single-modal object detection performance at run time, incorporating uncertainties into the multi-modal fusion still lacks effective solutions due primarily to the uncertainty's cross-modal incomparability and distinct sensitivities to various adverse conditions. To fill this gap, this paper proposes Uncertainty-Encoded Mixture-of-Experts (UMoE) that explicitly incorporates single-modal uncertainties into LiDAR-camera fusion. UMoE uses individual expert network to process each sensor's detection result together with encoded uncertainty. Then, the expert networks' outputs are analyzed by a gating network to determine the fusion weights. The proposed UMoE module can be integrated into any proposal fusion pipeline. Evaluation shows that UMoE achieves a maximum of 10.67%, 3.17%, and 5.40% performance gain compared with the state-of-the-art proposal-level multi-modal object detectors under extreme weather, adversarial, and blinding attack scenarios.","","en","conference paper","IOS Press","","","","","","","","","","Embedded Systems","","",""
"uuid:2b73cbf3-8199-4964-a3f9-82f53b27edc4","http://resolver.tudelft.nl/uuid:2b73cbf3-8199-4964-a3f9-82f53b27edc4","Latency-Constrained Fading Mitigation for Coherent Optical Feeder Links based on Space-Time-Frequency Coding","Korevaar, C.W. (TU Delft BUS/TNO STAFF; DIANA FEA); Saathof, R. (TU Delft Space Systems Egineering); van Abkoude, Tara (DIANA FEA); Doelman, Niek J. (DIANA FEA; Universiteit Leiden)","Minoglou, Kyriaki (editor); Karafolas, Nikos (editor); Cugny, Bruno (editor)","2023","Optical feeder links (OFLs) benefit from the vast amount of bandwidth available in the THz-regime of the electromagnetic spectrum, and can be considered as enablers for future terabit-per-second satellite systems. A particular challenge for OFLs is to mitigate the effects of fading, caused by a combination of turbulence-induced scintillation, beam wander and pointing errors. The conventional solution is to exploit temporal diversity by a combination of interleaving and forward error correction (FEC). In this study we present an overview of fading mitigation techniques for latency-constrained coherent ground-to-satellite OFL and contribute a generic model which combines various diversity schemes including temporal, spatial, frequency and site diversity. To unlock spatial diversity, multi-beam space-time block coding and multi-beam, multi-λ are proposed and simulated. Though space-time block coding (STBC) provides more diversity gain, it requires accurate timing synchronization at the transmitter and channel state information at the receiver. Temporal, frequency and site diversity all rely on some form of interleaving and the potential diversity, pros and cons of each of these diversity techniques are covered in the presented study. In general, with a strict latency constraint and a tight link budget, frequency diversity, spatial diversity - either by STBC or multi-beam multi-λ - and site diversity can be effective methods to mitigate the effects of fading and close the link budget.","diversity; fading; free space optics; ground-to-satellite; Optical feeder links; space-time block coding; spatial diversity; turbulence","en","conference paper","SPIE","","","","","This study has been conducted as part of the TNO Early Research Program “Laser Satellite Communication - High Throughput Optical Feeder Links”.","","","","","BUS/TNO STAFF","","",""
"uuid:2786737e-dc3e-4b6b-9eff-3f3ccf5be966","http://resolver.tudelft.nl/uuid:2786737e-dc3e-4b6b-9eff-3f3ccf5be966","A review of analytical models for determining the behavior of metallic tubular structures submitted to axial crushing","Anand, S. (TU Delft Aerospace Structures & Computational Mechanics); Alderliesten, R.C. (TU Delft Structural Integrity & Composites); Castro, Saullo G.P. (TU Delft Aerospace Structures & Computational Mechanics)","","2023","This paper reviews analytical models proposed by Abramowicz et al.[1, 2] and Stefan et al.[3] for the axial crushing of metallic tubular structures with square and circular cross-sections. First, a database of experiments for square and circular tubes was created based on the literature. Subsequently, the predictions obtained using these analytical models were compared against the database of experiments to determine the accuracy of these analytical models. The database of experiments was also compared against some results generated using Finite-Element Method (FEM). Furthermore, the sensitivity of the analytical models to various material and geometrical parameters was studied to determine the influence of these parameters on the mean crushing force. Both models were found to be highly sensitive to the thickness of the tubular structures. It was observed that the models proposed by Abramowicz et al.[1, 2] showed better agreement with experimental results, although an over-prediction was observed for square tubular structures made of materials with a significant difference between the values of yield and ultimate stress. The model proposed by Stefan et al.[3] slightly over-predicted for square tubes and showed reasonable accuracy for circular tubes.","Axial crushing; Mean crushing force; Metallic tubes","en","conference paper","American Society of Mechanical Engineers","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-15","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:ad7580f5-d8fa-4df4-a91b-803b5e3538fa","http://resolver.tudelft.nl/uuid:ad7580f5-d8fa-4df4-a91b-803b5e3538fa","Large-scale magnetic field maps using structured kernel interpolation for Gaussian process regression","Menzen, C.M. (TU Delft Team Manon Kok); Fetter, Marnix (Student TU Delft); Kok, M. (TU Delft Team Manon Kok)","","2023","We present a mapping algorithm to compute large-scale magnetic field maps in indoor environments with approximate Gaussian process (GP) regression. Mapping the spatial variations in the ambient magnetic field can be used for 10-calization algorithms in indoor areas. To compute such a map, GP regression is a suitable tool because it provides predictions of the magnetic field at new locations along with uncertainty quantification. Because full GP regression has a complexity that grows cubically with the number of data points, approximations for GPs have been extensively studied. In this paper, we build on the structured kernel interpolation (SKI) framework, speeding up inference by exploiting efficient Krylov subspace methods. More specifically, we incorporate SKI with derivatives (D-SKI) into the scalar potential model for magnetic field modeling and compute both predictive mean and covariance with a complexity that is linear in the data points. In our simulations, we show that our method achieves better accuracy than current state-of-the-art methods on magnetic field maps with a growing mapping area. In our large-scale experiments, we construct magnetic field maps from up to 40000 three-dimensional magnetic field measurements in less than two minutes on a standard laptop.","Gaussian process regression; indoor localization; magnetic field maps; structured kernel interpolation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-25","","","Team Manon Kok","","",""
"uuid:0d0b4488-5946-4778-8762-afcc10fa788d","http://resolver.tudelft.nl/uuid:0d0b4488-5946-4778-8762-afcc10fa788d","MROS: A framework for robot self-adaptation","Rezende Silva, G. (TU Delft Robot Dynamics); Garcia, Nadia Hammoudeh (Fraunhofer Institute for Manufacturing Engineering and Automation); Bozhinoski, D. (Vrije Universiteit Brussel); Deshpande, Harshavardhan (Robot and Assistive Systems); Garzon Oviedo, M.A. (TU Delft Intelligent Vehicles); Wasowski, Andrzej (University of Copenhagen); Montero, Mariano Ramirez (Student TU Delft); Hernández, Carlos (TU Delft Robot Dynamics)","","2023","Self-adaptation can be used in robotics to increase system robust- ness and reliability. This work describes the Metacontrol method for self-adaptation in robotics. Particularly, it details how the MROS (Metacontrol for ROS Systems) framework implements and pack- ages Metacontrol, and it demonstrate how MROS can be applied in a navigation scenario where a mobile robot navigates in a factory floor. Video: https://www.youtube.com/watchvISe9aMskJuE","Metacontrol; MROS; Robotics; Self-adaptation; Self-adaptive systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-12","","","Robot Dynamics","","",""
"uuid:c2fa2632-2db7-4fe3-ae58-f03264bb2ec3","http://resolver.tudelft.nl/uuid:c2fa2632-2db7-4fe3-ae58-f03264bb2ec3","A compressive sensing with photonic crystals enabled spectrometer for trace gas observation","Siemons, M. E. (SRON Netherlands Institute for Space Research); Hagenaar, M. (Student TU Delft; SRON Netherlands Institute for Space Research); Adam, A.J.L. (TU Delft ImPhys/Adam group); Kohlhaas, R. (TU Delft ImPhys/Adam group; SRON Netherlands Institute for Space Research)","Minoglou, Kyriaki (editor); Karafolas, Nikos (editor); Cugny, Bruno (editor)","2023","Recently a spectrometer concept has been invented which uses compressive sensing in combination with photonic crystal filters. Here we present an adaption of this concept in push-broom configuration for earth observation. This implementation allows for a compact design, while maintaining a high spatial resolution and high signal-to-noise ratio compared to other traditional implementations. The photonic crystals have a unique transmission profile and act as a spectral filter, which allows for the computational reconstruction of the input spectrum with a limited number of filters. We show, using simulations, that our approach is able to reconstruct input radiance spectra with high accuracy and assess the performance for different number of filter sets. We furthermore show proof-of-principle measurements of the transmission profile of a manufactured photonic crystal. Future research will focus on the effect of noise on the reconstruction algorithm as well as further filter set optimization by combining the filter selection process with trace gas concentration retrieval.","compressive sensing; computation inversion; earth observation; photonic crystals; Spectrometer; trace gases","en","conference paper","SPIE","","","","","","","","","","ImPhys/Adam group","","",""
"uuid:eca68bba-0a88-4bb6-830c-f5ff0254aa5c","http://resolver.tudelft.nl/uuid:eca68bba-0a88-4bb6-830c-f5ff0254aa5c","Local Implicit Neural Representations for Multi-Sequence MRI Translation","Chen, Yunjie (Leiden University Medical Center); Staring, M. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Wolterink, Jelmer M. (University of Twente); Tao, Q. (TU Delft ImPhys/Tao group; Leiden University Medical Center)","","2023","In radiological practice, multi-sequence MRI is routinely acquired to characterize anatomy and tissue. However, due to the heterogeneity of imaging protocols and contraindications to contrast agents, some MRI sequences, e.g. contrast-enhanced T1-weighted image (T1ce), may not be acquired. This creates difficulties for large-scale clinical studies for which heterogeneous datasets are aggregated. Modern deep learning techniques have demonstrated the capability of synthesizing missing sequences from existing sequences, through learning from an extensive multi-sequence MRI dataset. In this paper, we propose a novel MR image translation solution based on local implicit neural representations. We split the available MRI sequences into local patches and assign to each patch a local multi-layer perceptron (MLP) that represents a patch in the T1ce. The parameters of these local MLPs are generated by a hypernetwork based on image features. Experimental results and ablation studies on the BraTS challenge dataset showed that the local MLPs are critical for recovering fine image and tumor details, as they allow for local specialization that is highly important for accurate image translation. Compared to a classical pix2pix model, the proposed method demonstrated visual improvement and significantly improved quantitative scores (MSE 0.86 × 10-3 vs. 1.02 × 10-3 and SSIM 94.9 vs 94.3).","generative adversarial network; hypernetwork; implicit neural representation; MR image translation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-01","","","Pattern Recognition and Bioinformatics","","",""
"uuid:31083173-787e-4bfd-bcb4-31a3ee69858e","http://resolver.tudelft.nl/uuid:31083173-787e-4bfd-bcb4-31a3ee69858e","2nd Workshop on Multimodal Motion Sickness Detection and Mitigation Methods for Car Journeys - Finding Consensus in the Field","Pöhlmann, Katharina Margareta Theresa (University of Glasgow; KITE-Toronto Rehabilitation Institute); Al Taie, Ammar Jamal Said (University of Glasgow); Li, Gang (University of Glasgow); Dam, Abhraneil (Virginia Tech); Wang, Yu Kai (University of Technology Sydney); Wei, Chun Shu (National Yang Ming Chiao Tung University); Papaioannou, G. (TU Delft Intelligent Vehicles)","","2023","The adoption of automated vehicles will be a positive step towards road safety and environmental benefits. However, one major challenge that still exist is motion sickness. The move from drivers to passengers who will engage in non-driving related tasks as well as the potential change in the layout of the car interior that will come with automated vehicles are expected to result in a worsened experience of motion sickness. The previous workshop [18] highlighted the need for consensus on guidelines regarding study design for motion sickness research. Hence, this workshop will develop a guide for motion sickness research through reflection and discussions on the current methodologies used by experts in the field. Further it will build on the knowledge collected from the previous workshop and will thereby facilitate not only new research ideas and fruitful collaborations but also find a consensus in the field in regard to study design and methodologies.","Automated Vehicles; Comfort; Detection; Mitigation; Motion Sickness","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Intelligent Vehicles","","",""
"uuid:7eb76b4a-6cb4-46b1-a35d-0fa2e457e972","http://resolver.tudelft.nl/uuid:7eb76b4a-6cb4-46b1-a35d-0fa2e457e972","Design and Characterization of a Data Converter in a SiC CMOS Technology for Harsh Environment Sensing Applications","Niu, Yunfan (Student TU Delft); Mo, J. (TU Delft Electronic Components, Technology and Materials); May, Alexander (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Rommel, Mathias (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Rossi, Chiara (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Romijn, J. (TU Delft Microelectronics); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials)","","2023","This work presents the design and characterization of an analog-to-digital converter (ADC) with silicon carbide (SiC) for sensing applications in harsh environments. The SiC-based ADC is implemented with the state-of-the-art low-voltage SiC complementary-metal-oxide-semiconductor (CMOS) technology developed by Fraunhofer IISB. Two types of ADCs, i.e., a 4-bit flash ADC and a 6-bit successive-approximation (SAR) ADC, are designed and simulated up to 300 degrees Celsius. The measurement results show that the 4-bit SiC flash ADC can operate reliably up to at least 200 degrees Celsius, which outperforms the Si counterpart regarding the maximum operating temperature.","analog-to-digital converter; harsh-environment; silicon carbide","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:2590493c-f6ff-49f9-99b2-4930a36b4325","http://resolver.tudelft.nl/uuid:2590493c-f6ff-49f9-99b2-4930a36b4325","Four-flux model combined with optical coherence tomography technique for non-destructive testing of the colour ground layers of the paintings","Wang, X. (TU Delft Structural Integrity & Composites); Anisimov, A. (TU Delft Structural Integrity & Composites); Groves, R.M. (TU Delft Structural Integrity & Composites)","Liang, Haida (editor); Groves, Roger (editor)","2023","The colour of the ground layers of a painting has an influence on its visual appearance. In addition to the commonly used white ground layers, other colour ground layers have been used, for example, the grey ground layer used in Peter Paul Rubens’s painting Portrait of Clara Serena Rubens helps the colour transition of the skin tones. Understanding the effects caused by the colours of the ground layers is of significance for both technical art history and conservation. Optical non-destructive testing (NDT) techniques are useful tools for the investigation of paintings, for example, optical coherence tomography (OCT) can be used to study the surface and subsurface layers non-destructively. In this work, the interaction of light with paint and ground layers is modelled to supplement OCT measurements of paintings with ground layers. A previously described near-infrared light range OCT system provides high spatial and depth resolution measurements. A four-flux model has been developed for analysing the light interaction in the paint and ground layers. This model considers forwards-propagating collimated light, backwards-propagating collimated light, forwards-propagating diffuse light and backwards-propagating diffuse light. The model uses the optical material properties, including refractive index (RI), absorption and layer thickness, as input. This paper describes the construction of the model and an evaluation of its performance by comparison with OCT data.","colour; Four-flux model; ground layer; non-destructive testing; painting","en","conference paper","SPIE","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:7928b88b-3afc-4d5e-8499-7152ca5893f8","http://resolver.tudelft.nl/uuid:7928b88b-3afc-4d5e-8499-7152ca5893f8","Distributed multi-agent magnetic field norm SLAM with Gaussian processes","Viset, F.M. (TU Delft Team Manon Kok); Helmons, R.L.J. (TU Delft Offshore and Dredging Engineering; Norwegian University of Science and Technology (NTNU)); Kok, M. (TU Delft Team Manon Kok)","","2023","Accurately estimating the positions of multi-agent systems in indoor environments is challenging due to the lack of Global Navigation Satelite System (GNSS) signals. Noisy measurements of position and orientation can cause the integrated position estimate to drift without bound. Previous research has proposed using magnetic field simultaneous localization and mapping (SLAM) to compensate for position drift in a single agent. Here, we propose two novel algorithms that allow multiple agents to apply magnetic field SLAM using their own and other agents' measurements.Our first algorithm is a centralized approach that uses all measurements collected by all agents in a single extended Kalman filter. This algorithm simultaneously estimates the agents' position and orientation and the magnetic field norm in a central unit that can communicate with all agents at all times. In cases where a central unit is not available, and there are communication drop-outs between agents, our second algorithm is a distributed approach that can be employed.We tested both algorithms by estimating the position of magnetometers carried by three people in an optical motion capture lab with simulated odometry and simulated communication dropouts between agents. We show that both algorithms are able to compensate for drift in a case where single-agent SLAM is not. We also discuss the conditions for the estimate from our distributed algorithm to converge to the estimate from the centralized algorithm, both theoretically and experimentally. Our experiments show that, for a communication drop-out rate of 80%, our proposed distributed algorithm, on average, provides a more accurate position estimate than single-agent SLAM. Finally, we demonstrate the drift-compensating abilities of our centralized algorithm on a real-life pedestrian localization problem with multiple agents moving inside a building.","Distributed Kalman filters; Gaussian processes; Multi-agent; SLAM","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-25","","","Team Manon Kok","","",""
"uuid:d428d731-b557-40cd-bd7f-391b9ac04e35","http://resolver.tudelft.nl/uuid:d428d731-b557-40cd-bd7f-391b9ac04e35","Mapping the magnetic field using a magnetometer array with noisy input Gaussian process regression","Edridge, T.I. (TU Delft Team Manon Kok); Kok, M. (TU Delft Team Manon Kok)","","2023","Ferromagnetic materials in indoor environments give rise to disturbances in the ambient magnetic field. Maps of these magnetic disturbances can be used for indoor localisation. A Gaussian process can be used to learn the spatially varying magnitude of the magnetic field using magnetometer measurements and information about the position of the magnetometer. The position of the magnetometer, however, is frequently only approximately known. This negatively affects the quality of the magnetic field map. In this paper, we investigate how an array of magnetometers can be used to improve the quality of the magnetic field map. The position of the array is approximately known, but the relative locations of the magnetometers on the array are known. We include this information in a novel method to make a map of the ambient magnetic field. We study the properties of our method in simulation and show that our method improves the map quality. We also demonstrate the efficacy of our method with experimental data for the mapping of the magnetic field using an array of 30 magnetometers.","Gaussian process; magnetic field; mapping; noisy inputs; sensor array","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-25","","","Team Manon Kok","","",""
"uuid:f913dd5a-6be8-487b-b07d-04b674f7e341","http://resolver.tudelft.nl/uuid:f913dd5a-6be8-487b-b07d-04b674f7e341","Lessons in Prevention and Cure: A User Study of Recovery from Flubot Smartphone Malware","Geers, Artur (Student TU Delft); Ding, Aaron Yi (TU Delft Information and Communication Technology); Hernandez Ganan, C. (TU Delft Organisation & Governance); Parkin, S.E. (TU Delft Organisation & Governance)","","2023","The smishing-based malware Flubot was taken down in mid-2022, yet there is little understanding of how it directly impacted smartphone users. We engage with customers of a partner Internet Service Provider (ISP), who have suffered a Flubot infection on their smartphones. We surveyed 87 ISP customers who had been notified of a Flubot infection, in the months around and preceding the take-down of Flubot. We found that slightly over half of respondents were unaware of the malware infection before being notified, though many others had suspicions. We also observe that just over half of respondents experienced non-technical harms from the malware, with many experiencing harms before notification and several experiencing unwanted or aggressive activity from users of other infected devices. Many respondents reported not having removed the malware, while some discarded the infected device or stopped using online services in their efforts to be more secure afterwards. We offer recommendations, including that clearer guidance be sought to help users identify a malware infection (and not a focus only on prevention), and support provided for recovery from personal harms caused by mobile malware, as the impacts are not only technical.","Flubot; smartphone malware; user notification study","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Information and Communication Technology","","",""
"uuid:2556e6cf-41a5-4449-9ecb-eadd6d46d168","http://resolver.tudelft.nl/uuid:2556e6cf-41a5-4449-9ecb-eadd6d46d168","NORMalize: The First Workshop on Normative Design and Evaluation of Recommender Systems","Vrijenhoek, Sanne (Universiteit van Amsterdam); Michiels, Lien (Universiteit Antwerpen); Kruse, Johannes (Ekstra Bladet); Starke, Alain (Universiteit van Amsterdam; University of Bergen); Viader Guerrero, J. (TU Delft Ethics & Philosophy of Technology); Tintarev, Nava (Universiteit Maastricht)","","2023","Recommender systems are among the most widely used applications of artificial intelligence. Since they are so widely used, it is important that we, as practitioners and researchers, think about the impact these systems may have on users, society, and other stakeholders. To that effect, the NORMalize workshop seeks to introduce normative thinking, to consider the norms and values that underpin recommender systems in the recommender systems community. The objective of NORMalize is to bring together a growing community of researchers and practitioners across disciplines who want to think about the norms and values that should be considered in the design and evaluation of recommender systems; and further educate them on how to reflect on, prioritise, and operationalise such norms and values. NORMalize offers a comprehensive program designed to cater to both the norm-curious and the norm-active. The morning session is on-site and features a lecture on normative thinking and an interactive workshop. The afternoon is a hybrid program focused on the dissemination of results. NORMalize publishes proceedings, as well as a technical report that summarises the outcomes of the interactive morning session.","normative design; normative thinking; norms; recommender systems; value-sensitive design; values","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:60cc5ad3-b8c2-4cbf-8d37-4501ba9baefa","http://resolver.tudelft.nl/uuid:60cc5ad3-b8c2-4cbf-8d37-4501ba9baefa","Bin there, target that: Analyzing the target selection of IoT vulnerabilities in malware binaries","Al Alsadi, Arwa (TU Delft Organisation & Governance); Sameshima, Kaichi (Yokohama National University); Yoshioka, Katsunari (Yokohama National University); van Eeten, M.J.G. (TU Delft Organisation & Governance); Hernandez Ganan, C. (TU Delft Organisation & Governance)","","2023","For years, attackers have exploited vulnerabilities in Internet of Things (IoT) devices. Previous research has examined target selection in cybercrime, but there has been little investigation into the factors that influence target selection in attacks on IoT. This study aims to better understand how attackers choose their targets by analyzing the frequency of specific exploits in 11,893 IoT malware binaries that were distributed between 2018-2021. Our findings indicate that 78% of these binary files did not specifically target IoT vulnerabilities but rather scanned the Internet for devices with weak authentication. To understand the usage of exploits in the remaining 2,629 binaries, we develop a theoretical model from relevant literature to examine the impact of four latent variables, i.e. exposure, vulnerability, exploitability, and patchability. We collect indicators to measure these variables and find that they can explain to a significant extent (?2=0.38) why some vulnerabilities are more frequently exploited than others. The severity of vulnerabilities does not significantly increase the frequency with which they are targeted, while the presence of Proof-of-Concept exploit code does increase it. We also observe that the availability of a patch reduces the frequency of being targeted, yet that more complex patches are associated with higher frequency. In terms of exposure, more widespread device models are more likely to be targeted by exploits. We end with recommendations to disincentivize attackers from targeting vulnerabilities.","Dynamic Analysis; Exploits; Exposure; IoT malware; Vulnerabilities","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Organisation & Governance","","",""
"uuid:2244d288-b123-4124-8b42-5698e783ef4d","http://resolver.tudelft.nl/uuid:2244d288-b123-4124-8b42-5698e783ef4d","Shrink-Perturb Improves Architecture Mixing During Population Based Training for Neural Architecture Search","Chebykin, Alexander (Centrum Wiskunde & Informatica (CWI)); Dushatskiy, A. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI)); Alderliesten, T. (TU Delft Algorithmics; Leiden University Medical Center); Bosman, P.A.N. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","Gal, Kobi (editor); Gal, Kobi (editor); Nowe, Ann (editor); Nalepa, Grzegorz J. (editor); Fairstein, Roy (editor); Radulescu, Roxana (editor)","2023","In this work, we show that simultaneously training and mixing neural networks is a promising way to conduct Neural Architecture Search (NAS). For hyperparameter optimization, reusing the partially trained weights allows for efficient search, as was previously demonstrated by the Population Based Training (PBT) algorithm. We propose PBT-NAS, an adaptation of PBT to NAS where architectures are improved during training by replacing poorly-performing networks in a population with the result of mixing well-performing ones and inheriting the weights using the shrink-perturb technique. After PBT-NAS terminates, the created networks can be directly used without retraining. PBT-NAS is highly parallelizable and effective: on challenging tasks (image generation and reinforcement learning) PBT-NAS achieves superior performance compared to baselines (random search and mutation-based PBT).","","en","conference paper","IOS Press","","","","","","","","","","Algorithmics","","",""
"uuid:39ac382a-824f-4cd1-9913-5c0fe3529503","http://resolver.tudelft.nl/uuid:39ac382a-824f-4cd1-9913-5c0fe3529503","Quadrature-Resolved Dissipative Optomechanical Measurement","Pinho, Pedro V. (University of Campinas); Primo, Andre G. (University of Campinas); Carvalho, Natalia C. (University of Calgary); Benevides, Rodrigo (ETH Zürich); Kersul, Caue M. (University of Campinas); Groeblacher, S. (TU Delft QN/Groeblacher Lab; Kavli institute of nanoscience Delft); Wiedehecker, Gustavo S. (University of Campinas); Mayer Alegre, Thiago P. (University of Campinas)","","2023","We present a homodyne detection scheme to reliably measure the dissipative coupling in optomechanical systems. Our method is validated on silicon devices yielding GKe/GΩ= -0.007 ± 0.001.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-30","","","QN/Groeblacher Lab","","",""
"uuid:59d62d4f-ffed-4fb6-ba0f-f3862d450ee0","http://resolver.tudelft.nl/uuid:59d62d4f-ffed-4fb6-ba0f-f3862d450ee0","High-Frequency Dissipative Optomechanics","Primo, Andre G. (University of Campinas); Pinho, Pedro V. (University of Campinas); Benevides, Rodrigo (ETH Zürich); Groeblacher, S. (TU Delft QN/Groeblacher Lab; Kavli institute of nanoscience Delft); Wiederhecker, Gustavo S. (University of Campinas); Alegre, Thiago P.M. (University of Campinas)","","2023","We demonstrate dissipative optomechanical transduction and backaction in coupled nanobeams. Compared to previous demonstrations, our system corresponds to a hundredfold increase in mechanical frequency and displays a record-high dissipative optomechanical coupling.","","en","conference paper","Optica Publishing Group (formerly OSA)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-29","","","QN/Groeblacher Lab","","",""
"uuid:b635c4d1-aacc-4e1c-8dbd-4fff1fe7ee7f","http://resolver.tudelft.nl/uuid:b635c4d1-aacc-4e1c-8dbd-4fff1fe7ee7f","Machine learning-based identification of vulnerability factors for masonry buildings in aggregate: The historicalcentre of casentino hit by the 2009 l'aquila earthquake","Pinasco, Silvia (University of Genova); Lagomarsino, Sergio (University of Genova); Carocci, Caterina (University of Catania); Coraddu, A. (TU Delft Ship Design, Production and Operations); Oneto, Luca (University of Genova); Cattari, Serena (University of Genova)","Papadrakakis, M. (editor); Fragiadakis, M. (editor)","2023","Seismic events in Italy and worldwide have highlighted the high vulnerability of unreinforced masonry (URM) structures in small historical centres. A key feature of these settlements is to be mostly composed of buildings in aggregate, i.e., interconnected by a more or less structurally effective connection. The seismic assessment of such buildings is quite debated in the literature and no shared tools procedures are currently available. The difficulty of standardization derives from the fact that structural units can be characterized by multiple features and configurations that determine a vast number of vulnerability factors, whose interdependency is not straightforward to be identified. The paper addresses this issue by combining evidence-based damage data with the potential offered by Machine Learning (ML) technique. Real data are used in combination with state-of-the-art ML algorithms carefully tuned via an advanced statistical procedure for two main purposes. The first one will be able to predict possible URM damages based on the vulnerability factor in both interpolation and extrapolation scenarios. The second purpose of the ML-based techniques will be to predict the most important vulnerability factors in making these predictions, namely to make the ML-based model explainable and informative about the underlying phenomena and not just predictive. The small historic centre of Casentino, hit by the 2009 L'Aquila earthquake, is adopted in the paper as the first test case study. A large amount of data was collected after the earthquake through in-situ surveys made by the Universities of Genova, Catania and Rome. Data include both geometric and structural factors, i.e., the input data supplied to the ML algorithm, as well as the actual seismic damage mechanisms, i.e., the output data expected to be predicted by the ML algorithm. As first application, ML techniques are applied only to data acquired on out-of-plane mechanisms.","buildings in aggregate; machine learning; masonry; seismic vulnerability","en","conference paper","Eccomas Proceedia","","","","","The study presented in the paper was developed within the research activities carried out in the frame of 2022-2024 ReLUIS Project – WP10 Masonry Structures (Coordinator - Prof. Guido Magenes). This project has been funded by the Italian Department of Civil Protection. Note that the opinions and conclusions presented by the authors do not necessarily reflect those of the funding entity.","","","","","Ship Design, Production and Operations","","",""
"uuid:332c2f51-8859-4fc1-be1e-46977ac76626","http://resolver.tudelft.nl/uuid:332c2f51-8859-4fc1-be1e-46977ac76626","Identifying techno-economic improvements for a steam generating heat pump with exergy-based costs minimization","de Raad, B.W. (TU Delft Energie and Industrie; Rotterdam University of Applied Sciences); van Lieshout, Marit (Rotterdam University of Applied Sciences); Stougie, L. (TU Delft Energie and Industrie); Ramirez, Andrea (TU Delft ChemE/Chemical Engineering)","","2023","Steam generating heat pumps show great potential for reducing carbon emissions in the industrial sector. However, predicting their performance is challenging as the exergy destruction of e.g., compressors and expansion valves increases with the temperature lift and condenser temperature. With over seventy design improvements mentioned in the literature, selecting the most effective design improvements is crucial. In this study, energy and exergy-based methods were compared in their ability to identify design improvements for a single stage subcritical heat pump to produce steam from hot condensate. The energy-based method suggested the addition of a sequential compressor with an intermediate cooler; however, this design did not improve the heat pump's techno-economic performance. The suggestion of adding either an internal heat exchanger or a flash vessel by exergy-based methods did lead in both cases to improved techno-economic performance. The internal heat exchanger performed best and increased the coefficient of performance from 2.3 to 2.8 and reduced operational costs by 0.8 M€ after 5 years of operation. Additionally, the initial investment decreased by 135 k€, and the total costs of operation decreased from 10.3 M€ to 8.7 M€. These findings show that exergy-based methods are the way forward in identifying effective design improvements for steam generating heat pumps.","advanced heat pump configuration; Exergy-based costs minimization; High-temperature heat pump; Steam generation; Techno-economic analysis","en","conference paper","International Conference on Efficiency, Cost, Optimization, Simulation and Environmental Impact of Energy Systems","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-21","","ChemE/Chemical Engineering","Energie and Industrie","","",""
"uuid:27d7edf5-5bca-403e-897e-a8d292449f9e","http://resolver.tudelft.nl/uuid:27d7edf5-5bca-403e-897e-a8d292449f9e","Toward sovereign data exchange through a meta-platform for data marketplaces: A preliminary evaluation of the perceived efficacy of control mechanisms","Abbas, A.E. (TU Delft Information and Communication Technology); Agahari, W. (TU Delft Information and Communication Technology); Zuiderwijk-van Eijk, A.M.G. (TU Delft Information and Communication Technology); Ofe, H.A. (TU Delft Information and Communication Technology); de Reuver, Mark (TU Delft Information and Communication Technology)","Pucihar, Andreja (editor); Borstnar, Mirjana Kljajic (editor); Bons, Roger (editor); Bons, Roger (editor); Ongena, Guido (editor); Heikkila, Marikka (editor); Vidmar, Doroteja (editor)","2023","The landscape of platform ecosystems is becoming increasingly complex, with new types of platforms emerging that glue together otherwise fragmented ecosystems. One recent case is metaplatforms that can contribute to the European Data Economy by interconnecting data marketplaces; however, meta-platforms may intensify data sovereignty concerns: the inability of data providers to own and control the exchanged data. While smart contracts and certification can generally enhance data sovereignty, it is unknown whether data providers perceive these control mechanisms as valuable in the complex meta-platform setting. This study aims to evaluate the perceived efficacy of the control mechanisms to ensure data sovereignty in meta-platforms. The findings from a survey study (n=93) indicate that respondents perceive high data sovereignty. One potential explanation is that smart contracts can potentially enable providers to maintain ownership and control over their exchanged data; meanwhile, certification may signal metaplatforms’ responsibility to deliver secure data exchange infrastructure and assist providers in adhering to relevant regulations. This study contributes to advancing design knowledge for meta-platforms, showcasing that meta-platforms can be designed in a way to resolve fragmentation without neglecting data sovereignty principles.","business data exchange; data economy; data marketplaces; data sovereignty; meta-platforms","en","conference paper","University of Maribor Press","","","","","","","","","","Information and Communication Technology","","",""
"uuid:ac4eb69e-8c82-4ac8-af6e-7a02083f6a1c","http://resolver.tudelft.nl/uuid:ac4eb69e-8c82-4ac8-af6e-7a02083f6a1c","Neuromorphic Control using Input-Weighted Threshold Adaptation","Stroobants, S. (TU Delft Control & Simulation); de Wagter, C. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation)","","2023","Neuromorphic processing promises high energy efficiency and rapid response rates, making it an ideal candidate for achieving autonomous flight of resource-constrained robots. It can be especially beneficial for complex neural networks as are used for high-level visual perception. However, fully neuromorphic solutions also need to tackle low-level control tasks. Remarkably, it is currently still challenging to replicate even basic low-level controllers such as proportional-integral-derivative (PID) controllers. Specifically, it is difficult to incorporate the integral and derivative parts. To address this problem, we propose a neuromorphic controller that incorporates proportional, integral, and derivative pathways during learning. Our approach includes a novel input threshold adaptation mechanism for the integral pathway. This Input-Weighted Threshold Adaptation (IWTA) introduces an additional weight per synaptic connection, which is used to adapt the threshold of the post-synaptic neuron. We tackle the derivative term by employing neurons with different time constants. We first analyze the performance and limits of the proposed mechanisms and then put our controller to the test by implementing it on a microcontroller connected to the open-source tiny Crazyflie quadrotor, replacing the innermost rate controller. We demonstrate the stability of our bio-inspired algorithm with flights in the presence of disturbances. The current work represents a substantial step towards controlling highly dynamic systems with neuromorphic algorithms, thus advancing neuromorphic processing and robotics. In addition, integration is an important part of any temporal task, so the proposed Input-Weighted Threshold Adaptation (IWTA) mechanism may have implications well beyond control tasks.","micro-air-vehicles (MAVs); neuromorphic control; rate coding; spiking neural networks (SNNs); threshold adaptation","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Control & Simulation","","",""
"uuid:a5662ca4-853e-421e-bf12-41f7c9337fe8","http://resolver.tudelft.nl/uuid:a5662ca4-853e-421e-bf12-41f7c9337fe8","Eavesdropping Mobile App Activity via Radio-Frequency Energy Harvesting","Ni, Tao (City University of Hong Kong); Lan, G. (TU Delft Embedded Systems); Wang, Jia (Shenzhen University); Zhao, Qingchuan (City University of Hong Kong); Xu, Weitao (City University of Hong Kong)","","2023","Radio-frequency (RF) energy harvesting is a promising technology for Internet-of-Things (IoT) devices to power sensors and prolong battery life. In this paper, we present a novel side-channel attack that leverages RF energy harvesting signals to eavesdrop mobile app activities. To demonstrate this novel attack, we propose AppListener, an automated attack framework that recognizes fine-grained mobile app activities from harvested RF energy. The RF energy is harvested from a custom-built RF energy harvester which generates voltage signals from ambient Wi-Fi transmissions, and app activities are recognized from a three-tier classification algorithm. We evaluate AppListener with four mobile devices running 40 common mobile apps (e.g., YouTube, Facebook, and WhatsApp) belonging to five categories (i.e., video, music, social media, communication, and game); each category contains five application-specific activities. Experiment results show that AppListener achieves over 99% accuracy in differentiating four different mobile devices, over 98% accuracy in classifying 40 different apps, and 86.7% accuracy in recognizing five sets of application-specific activities. Moreover, a comprehensive study is conducted to show AppListener is robust to a number of impact factors, such as distance, environment, and non-target connected devices. Practices of integrating AppListener into commercial IoT devices also demonstrate that it is easy to deploy. Finally, countermeasures are presented as the first step to defend against this novel attack.","","en","conference paper","USENIX Association","","","","","Funding Information: We sincerely thank our shepherd and anonymous reviewers for their constructive comments. This research was substantially supported by NFSC (Project 62101471) and was partially supported by the Shenzhen Research Institute, City University of Hong Kong, the Research Grants Council of the Hong Kong SAR, China (ECS Project CityU 21201420 and GRF Project CityU 11201422), CityU APRC grant 9610563, CityU SRG-Fd grant 7005853, Shenzhen Science and Technology Funding Fundamental Research Program (Project No. 2021Szvup126), NSF of Shandong Province (Project No. ZR2021LZH010), and a grant from Chow Sang Sang Group Research Fund sponsored by Chow Sang Sang Holdings International Limited (Project No. 9229062). Any opinions, findings, and conclusions in this paper are those of the authors and do not necessarily of supported organizations.","","","","","Embedded Systems","","",""
"uuid:e0c78929-a927-4f0f-8d70-32a9dc84a123","http://resolver.tudelft.nl/uuid:e0c78929-a927-4f0f-8d70-32a9dc84a123","Making a Network Orchard by Adding Leaves","van Iersel, L.J.J. (TU Delft Discrete Mathematics and Optimization); Jones, M.E.L. (TU Delft Discrete Mathematics and Optimization); Julien, E.A.T. (TU Delft Discrete Mathematics and Optimization); Murakami, Yukihiro (TU Delft Discrete Mathematics and Optimization)","Belazzougui, Djamal (editor); Ouangraoua, A�da (editor)","2023","Phylogenetic networks are used to represent the evolutionary history of species. Recently, the new class of orchard networks was introduced, which were later shown to be interpretable as trees with additional horizontal arcs. This makes the network class ideal for capturing evolutionary histories that involve horizontal gene transfers. Here, we study the minimum number of additional leaves needed to make a network orchard. We demonstrate that computing this proximity measure for a given network is NP-hard and describe a tight upper bound. We also give an equivalent measure based on vertex labellings to construct a mixed integer linear programming formulation. Our experimental results, which include both real-world and synthetic data, illustrate the efficiency of our implementation.","Network; NP-hardness; Orchard Networks; Phylogenetics; Proximity Measures","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:b45eabb1-c894-448f-b698-17b0da35b89b","http://resolver.tudelft.nl/uuid:b45eabb1-c894-448f-b698-17b0da35b89b","Feasible Action-Space Reduction as a Metric of Causal Responsibility in Multi-Agent Spatial Interactions","George, A. (TU Delft Human-Robot Interaction); Cavalcante Siebert, L. (TU Delft Interactive Intelligence); Abbink, D.A. (TU Delft Human-Robot Interaction); Zgonnikov, A. (TU Delft Human-Robot Interaction)","Gal, Kobi (editor); Nowe, Ann (editor); Nalepa, Grzegorz J. (editor); Fairstein, Roy (editor); Radulescu, Roxana (editor)","2023","Modelling causal responsibility in multi-agent spatial interactions is crucial for safety and efficiency of interactions of humans with autonomous agents. However, current formal metrics and models of responsibility either lack grounding in ethical and philosophical concepts of responsibility, or cannot be applied to spatial interactions. In this work we propose a metric of causal responsibility which is tailored to multi-agent spatial interactions, for instance interactions in traffic. In such interactions, a given agent can, by reducing another agent's feasible action space, influence the latter. Therefore, we propose feasible action space reduction (FeAR) as a metric of causal responsibility among agents. Specifically, we look at ex-post causal responsibility for simultaneous actions. We propose the use of Moves de Rigueur (MdR) - a consistent set of prescribed actions for agents - to model the effect of norms on responsibility allocation. We apply the metric in a grid world simulation for spatial interactions and show how the actions, contexts, and norms affect the causal responsibility ascribed to agents. Finally, we demonstrate the application of this metric in complex multi-agent interactions. We argue that the FeAR metric is a step towards an interdisciplinary framework for quantifying responsibility that is needed to ensure safety and meaningful human control in human-AI systems.","","en","conference paper","IOS Press","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:a8fe51c1-cfe0-49c6-8dcb-ca8ff7d28ecf","http://resolver.tudelft.nl/uuid:a8fe51c1-cfe0-49c6-8dcb-ca8ff7d28ecf","Les of hydrogen-enriched methane flames in a lean-burn combustor with axial air injection","Ferrante, G. (TU Delft Flight Performance and Propulsion); Doodeman, Lennard (Student TU Delft); Gangoli Rao, A. (TU Delft Flight Performance and Propulsion); Langella, I. (TU Delft Flight Performance and Propulsion)","","2023","Large eddy simulation (LES) paradigms are employed to analyse the internal flow field of a lean premixed swirl-stabilized combustor with axial air injection at both non-reacting and reacting conditions, for a methane and a methane-hydrogen fuel mixture. The thickened flame combustion model (TFM) with detailed chemical kinetic mechanism is employed to simulate the flow. An adaptive mesh strategy is used to maximise the mesh resolution in the flame and boundary layer regions. The numerical results for the methane flame are firstly validated against experimental velocity measurements obtained via particle image velocimetry (PIV). Subsequently the LES is employed to simulate hydrogen-enriched methane flames by keeping the same output power in the combustor, in order to obtain insights on the flow behaviour when hydrogen is added, in terms of flame stability and emissions. A POD analysis reveals the presence of a precessing vortex core (PVC) in both reacting and non-reacting conditions, and how this PVC is affected by the reactants mixture is discussed in the paper. Moreover, the flame is observed to propagate upstream in the jet core despite the use of axial air injection, although flashback is not observed. In terms of emissions, significant reduction in CO and NOx is observed when adding the hydrogen to the reactants mixture despite the higher flame speed, the reason for are discussed in the paper.","","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-01","","","Flight Performance and Propulsion","","",""
"uuid:795f85a7-6160-4403-9c0f-8465942ec892","http://resolver.tudelft.nl/uuid:795f85a7-6160-4403-9c0f-8465942ec892","Physics Engine-Based Whole-Hand Haptic Rendering for Sensorimotor Neurorehabilitation","Ratz, Raphael (University of Bern); Marchal Crespo, L. (TU Delft Human-Robot Interaction; Erasmus MC; University of Bern)","","2023","Whole-hand haptic rendering could lead to more naturalistic and intuitive virtual hand-object interactions, which could be especially beneficial for applications such as sensorimotor robotic neurorehabilitation. However, the majority of previously proposed whole-hand haptic rendering algorithms rely on effortful custom implementations or are not suited for the grounded haptic devices often used in neurorehabilitation. Therefore, we suggest a framework for whole-hand haptic rendering based on a readily available physics engine. We employ a bilateral position-position teleoperation framework between a haptic rehabilitation device and a simulated hand avatar with added exercise-specific haptic rendering. Moreover, in consideration of the needs of neurological patients, we introduce an adaptive damping of the haptic device during hand-object interactions for increased stabilization of the patient's limb. We present the first results of the feasibility of the proposed framework in a haptic rehabilitation exercise. In an ongoing clinical study, the practical application of the presented framework is currently investigated.","grasping; hand; Haptics; neurorehabilitation; physics engine","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-25","","","Human-Robot Interaction","","",""
"uuid:a16a530f-fd91-4f09-b98b-a7b6beab4817","http://resolver.tudelft.nl/uuid:a16a530f-fd91-4f09-b98b-a7b6beab4817","ContextBot: Improving Response Consistency in Crowd-Powered Conversational Systems for Affective Support Tasks","Ma, Yao (Student TU Delft); Abbas, T. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems)","","2023","Crowd-powered conversational systems (CPCS) solicit the wisdom of crowds to quickly respond to on-demand users' needs. The very factors that make this a viable solution - -such as the availability of diverse crowd workers on-demand - - also lead to great challenges. The ever-changing pool of online workers powering conversations with individual users makes it particularly difficult to generate contextually consistent responses from a single user's standpoint. To tackle this, prior work has employed conversational facts extracted by workers to maintain a global memory, albeit with limited success. Through a controlled experiment, we explored if a conversational agent, dubbed ContextBot, can provide workers with the required context on the fly for successful completion of affective support tasks in CPCS, and explore the impact of ContextBot on the response quality of workers and their interaction experience. To this end, we recruited workers (N=351) from the Prolific crowd-sourcing platform and carried out a 3×3 factorial between-subjects study. Experimental conditions varied based on (i) whether or not context was elicited and informed by motivational interviewing techniques (MI-adherent guidance, general guidance, and no guidance), and (ii) different conversational entry points for workers to produce responses (early, middle, and late). Our findings show that: (a) workers who entered the conversation earliest were more likely to produce highly consistent responses after interacting with ContextBot; (b) showed better user experience after they interacted with ContextBot with a long chat history to surf; (c) produced more professional responses as endorsed by psychologists; (d) and that interacting with ContextBot through task completion did not negatively impact workers' cognitive load. Our findings shed light on the implications of building intelligent interfaces for scaffolding strategies to preserve consistency in dialogue in CPCS.","Chatbots; Crowd-powered Conversational Systems; Dialogue Context; Motivational Interviewing; Real-time Crowdsourcing","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:32cfea91-781e-48f0-90fa-c57c6777c441","http://resolver.tudelft.nl/uuid:32cfea91-781e-48f0-90fa-c57c6777c441","BNN-DP: Robustness Certification of Bayesian Neural Networks via Dynamic Programming","Adams, S.J.L. (TU Delft Team Luca Laurenti); Patanè, Andrea (Trinity College Dublin); Lahijanian, Morteza (University of Colorado); Laurenti, L. (TU Delft Team Luca Laurenti)","Krause, Andreas (editor); Brunskill, Emma (editor); Cho, Kyunghyun (editor)","2023","In this paper, we introduce BNN-DP, an efficient algorithmic framework for analysis of adversarial robustness of Bayesian Neural Networks (BNNs). Given a compact set of input points T ⊂ Rn, BNN-DP computes lower and upper bounds on the BNN's predictions for all the points in T. The framework is based on an interpretation of BNNs as stochastic dynamical systems, which enables the use of Dynamic Programming (DP) algorithms to bound the prediction range along the layers of the network. Specifically, the method uses bound propagation techniques and convex relaxations to derive a backward recursion procedure to over-approximate the prediction range of the BNN with piecewise affine functions. The algorithm is general and can handle both regression and classification tasks. On a set of experiments on various regression and classification tasks and BNN architectures, we show that BNN-DP outperforms state-of-the-art methods by up to four orders of magnitude in both tightness of the bounds and computational efficiency.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Team Luca Laurenti","","",""
"uuid:13e2456b-b643-4a1f-86d3-86fd47db701d","http://resolver.tudelft.nl/uuid:13e2456b-b643-4a1f-86d3-86fd47db701d","Augmenting Ridership Data with Social Media Data to Analyse the Long-term Effect of COVID-19 on Public Transport","Xu, Y. (TU Delft Transport and Planning); Krishnakumari, P.K. (TU Delft Transport and Planning); Yorke-Smith, N. (TU Delft Algorithmics); Hoogendoorn, S.P. (TU Delft Transport and Planning)","","2023","COVID-19 significantly influenced travel behaviours and public attitudes towards public transport. Various studies have illustrated complicated factors related to long-term travel behaviour, indicating difficulty in understanding and predicting post-pandemic long-term travel behaviour via traditional methods. In these complex circumstances, it is valuable to take advantage of social media data to obtain real-time public opinions to understand dynamic travel behaviour changes from the passenger perspective. The present study provides a means - leveraging Twitter data and state-of-art Natural Language Processing (NLP) technologies - to interpret the underlying associations among public attitude, COVID-19 trends and public travel behaviour. Concretely, New York City has been selected due to its dependence on public transit for daily commuting. More than 500K tweets have been collected from January 2019 to June 2022. Automated text mining, topic modelling, and sentiment analysis have been implemented in these contexts to identify dynamic public reactions. A consistently negative attitude to public transit is detected and five main topics, including derivative topics from COVID-19, are discovered within the COVID-19 duration. Policy makers and transit managers can use these topics to take onboard the public's concerns. The paper thus exemplifies how social media data and NLP technologies can support policy-making progress and can benefit other tasks in the transportation domain.","COVID-19; natural language processing; public transport travel behaviour; sentiment analysis; social media; topic modelling","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-16","","Transport and Planning","Transport and Planning","","",""
"uuid:3a51189f-1ccf-4557-8a86-3b207ba79c38","http://resolver.tudelft.nl/uuid:3a51189f-1ccf-4557-8a86-3b207ba79c38","Spatial Temperature and Water Molar Concentration Measurements Using Thermal and Electrostrictive Ligs During Operation of a Swirl Burner at Pressure","Weller, Lee (University of Cambridge); Shah, Priyav (University of Oxford); Giles, Anthony (Cardiff University); De Domenico, F. (TU Delft Flight Performance and Propulsion); Morris, Steve (Cardiff University); Williams, Benjamin A.O. (University of Oxford); Hochgreb, Simone (University of Cambridge)","","2023","Laser-Induced Grating Spectroscopy (LIGS) was applied in a high-pressure combustion facility. Instantaneous (sub-μs), spatially resolved (within 5 mm) measurements of temperature and molar fractions of water were obtained using thermal and electrostrictive LIGS signals. Temperatures up to 1800 K and water molar fractions between 0.01 and 0.12 were measured. A new analytic approach was developed to extract temperature from the frequencies of the measured signal within the flame brush region, where mixtures contain both burnt and unburnt gases. Mean product temperatures are shown to be 8% lower than the adiabatic temperatures for the nominal equivalence ratio, and 14% higher than measurements made with a thermocouple, uncorrected for radiation losses. This work represents the first application of LIGS to a high-pressure, turbulent swirling flame, opening up the potential for future uses in other real world applications. Challenges associated with the deployment of the technique are described, as are potential measures to overcome these difficulties.","Electrostrictive; High-pressure combustion; LIGS; Spatial; Temperature; Thermal; Water","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-01","","","Flight Performance and Propulsion","","",""
"uuid:99fc43b8-d91c-40c7-966d-6ef7ee61ece7","http://resolver.tudelft.nl/uuid:99fc43b8-d91c-40c7-966d-6ef7ee61ece7","Priming culture differences in a creative design course: The influence of digital stimuli","Gong, Z. (TU Delft Methodologie en Organisatie van Design; University of Oulu); Gonçalves, M. (TU Delft Creative Processes); Latif, Ummi (University of Oulu); Georgiev, Georgi V. (University of Oulu)","Ordonez Pizarro, Isabel (editor); Sustersic, Paolo (editor); Buck, Lyndon (editor); Grierson, Hilary (editor); Bohemia, Erik (editor)","2023","Extensive research has focused on the influence of culture on individuals’ performance in design, with either positive or negative effects. Moreover, studies have shown that it is possible to prime individuals’ cultural values to influence their behaviours in design. However, to the best of our knowledge, no study has explored priming culture in design, especially with digital stimuli. Therefore, we conducted a pilot study to explore the influence of priming culture by digital stimuli in design. First, we created video-based digital stimuli to prime individuals’ individualism versus collectivism (IC) cultural values. We tested the digital stimuli in an ideation exercise during a creative design course that aimed to explore and implement essential creative problem-solving and design thinking methodologies in practice for students. The results showed that the collectivism digital stimulus increased the participants’ IC value. However, in the other two conditions, the participants’ IC values also increased, which was unexpected. Therefore, we discussed the role that enjoyable group ideation plays in participants’ IC values. Furthermore, we found that their design aim changes by priming IC values. These findings can support the development of educational practices aimed at encouraging design novices in teams independently of their culture and inspire researchers to further explore the influence of priming culture in design.","collectivism; creative design; Digital stimulus; individualism; priming cultural differences","en","conference paper","The Design Society","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-01","","","Methodologie en Organisatie van Design","","",""
"uuid:559137f1-36dc-4650-97ce-1d0853f18b66","http://resolver.tudelft.nl/uuid:559137f1-36dc-4650-97ce-1d0853f18b66","Educating resilient and well designers","Price, R.A. (TU Delft Creative Processes); van der Bijl-Brouwer, M. (TU Delft Methodologie en Organisatie van Design)","Ordonez Pizarro, Isabel (editor); Sustersic, Paolo (editor); Buck, Lyndon (editor); Grierson, Hilary (editor); Bohemia, Erik (editor)","2023","In this paper, we explore how resilience and wellbeing can integrate into, and improve design pedagogy. We establish 10 principles for designer resilience from workshops with students, educators and design practitioners. Each principle offers a platform to develop subsequent learning activities that remedy hollow didactic statements observed in education and research discourse (embrace complexity, navigate uncertainty and ambiguity). Future research will report on the results of integrating these principles and subsequent learning activities into a revised Master of Science design curriculum.","Design; didactics; education; pedagogy; resilience; wellbeing","en","conference paper","The Design Society","","","","","","","2024-08-01","","","Creative Processes","","",""
"uuid:8e47c1ef-6249-45a5-9730-52bd49df5d67","http://resolver.tudelft.nl/uuid:8e47c1ef-6249-45a5-9730-52bd49df5d67","Longitudinal Analysis of Inter-City Network Delays","Ozcan, Selim (SimulaMet; Universitetet i Oslo); Livadariu, Ioana (SimulaMet); Smaragdakis, G. (TU Delft Cyber Security); Griwodz, Carsten (Universitetet i Oslo)","","2023","During the last decades, public and private investments contributed to building the Internet infrastructure, including undersea cables, long-distance fiber links, broadband networks, and satellite constellations to reduce end-to-end delay. In this study, we measure the inter-city delays over the last six years, considering 17 major metropolitan areas around the globe. Our analysis shows that the delay for 88% of city pairs end-to-end delay has decreased. Moreover, we study delay changes for regional and long-haul (intercontinental) pairs. Our analysis shows that end-to-end delay has decreased for 80% and 55% of city pairs in Europe and North America, respectively. Our study also shows that despite the overall decrease in intercity delays, global phenomena, e.g., the COVID-19 pandemic, profoundly impact many inter-city connections simultaneously while not affecting others.","Internet measurement; RTT delay; longitudinal analysis; big network data analysis","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-07","","","Cyber Security","","",""
"uuid:c5e54d3c-aee6-494f-8a71-b1044512d415","http://resolver.tudelft.nl/uuid:c5e54d3c-aee6-494f-8a71-b1044512d415","Direct Learning for Parameter-Varying Feedforward Control: A Neural-Network Approach","Kon, Johan (Eindhoven University of Technology); Van De Wijdeven, Jeroen (ASML); Bruijnen, Dennis (Philips Research); Tóth, Roland (Eindhoven University of Technology; Institute for Computer Science and Control (SZTAKI)); Heertjes, Marcel (Eindhoven University of Technology; ASML); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2023","The performance of a feedforward controller is primarily determined by the extent to which it can capture the relevant dynamics of a system. The aim of this paper is to develop an input-output linear parameter-varying (LPV) feedforward parameterization and a corresponding data-driven estimation method in which the dependency of the coefficients on the scheduling signal are learned by a neural network. The use of a neural network enables the parameterization to compensate a wide class of constant relative degree LPV systems. Efficient optimization of the neural-network-based controller is achieved through a Levenberg-Marquardt approach with analytic gradients and a pseudolinear approach generalizing Sanathanan-Koerner to the LPV case. The performance of the developed feedforward learning method is validated in a simulation study of an LPV system showing excellent performance.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Jan-Willem van Wingerden","","",""
"uuid:acb306fc-6d29-4d18-8435-755677f51bc6","http://resolver.tudelft.nl/uuid:acb306fc-6d29-4d18-8435-755677f51bc6","Application of shearography with thermal loading for structural inspection of Rembrandt’s Night Watch","Tao, N. (TU Delft Structural Integrity & Composites); Anisimov, A. (TU Delft Structural Integrity & Composites); van Duijn, Esther (Rijksmuseum); Vos, Lisette (Rijksmuseum); Steeman, Ilse (Rijksmuseum); Keune, Katrien (Rijksmuseum; Science Park 904); Noble, Petria (Rijksmuseum); Groves, R.M. (TU Delft Structural Integrity & Composites)","Liang, Haida (editor); Groves, Roger (editor)","2023","The assessment of the structural condition of cultural heritage objects is important for conservation interventions and their long-term preservation. This investigation concerns The Night Watch (1642), a large-format 17th-century canvas painting by Rembrandt van Rijn that is on display in the Rijksmuseum, Amsterdam. This painting, which has a complex treatment history, has various damaged areas and has undergone three wax-resin relinings. In 1975 the canvas was slashed twelve times with a serrated dinner knife, including several long slashes in the area of Captain Frans Banninck Cocq’s breeches. In 2021, prior to a proposed new structural intervention involving retensioning of the canvas, it was important to evaluate the structural condition of the repaired slashes and of another repair, specifically an old canvas insert in the drum. For this, an in-situ inspection was carried out in the Rijksmuseum as a part of Operation Nightwatch. 3D shearography instrument with thermal loading was used to inspect these two areas of interest on the reverse of The Night Watch. The results showed that the out-of-plane strain in the breeches does not show any large deviations, which alleviated conservators’ concerns about the adhesion of the lining canvas and stability of previous repairs in this region. The patch in the drum showed higher out-of-plane strain variations. This was explained by the lower quality of the patched canvas compared to the repaired slashes in the breeches of Banninck Cocq. Overall, 3D shearography provided valuable inspection results for assurances regarding the structural integrity of the 1975 repairs and the wax-resin lining in The Night Watch, reducing the risks and providing the confidence to proceed with the planned retensioning of the canvas.","3D shearography; in-situ inspection; NDT; structural integrity; The Night Watch; thermal loading","en","conference paper","SPIE","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:936dd01b-1798-4d73-8c5b-4ab01bddedfb","http://resolver.tudelft.nl/uuid:936dd01b-1798-4d73-8c5b-4ab01bddedfb","Beyond SCR in Weak Grids: Analytical Evaluation of Voltage Stability and Excess System Strength","Boricic, Aleksandar (TU Delft Intelligent Electrical Power Grids); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2023","Due to the continuous increase (decrease) in the number of inverter-based (synchronous) generators in modern electrical power systems, the theoretical foundations behind widely used system strength and voltage stability assessment methods require thorough revision. The existing evaluation methods such as the Short-Circuit Ratio (SCR) are often based on simplifications which may produce inaccuracies, particularly when studying weak systems. As a result, a misleading estimation of voltage stability can occur, exposing systems to unnecessary renewables curtailment or other inappropriate remedial actions that may cause partial disruptions or potential instability. This paper provides a rigorous analytical revision of voltage stability assessment to confidently evaluate the maximum power transfer under various operating conditions. Subsequently, the proposed approach is applied as an enhanced method of system strength evaluation. The method is extensively tested on a single-machine-infinite-bus test system. Numerical results show a notably more accurate assessment relative to the common alternative methods.","System Strength; Voltage Stability; Weak Grids; Voltage Collapse; Inverter-based Resources","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-22","","","Intelligent Electrical Power Grids","","",""
"uuid:40e371cd-d9bf-48e3-8b50-c87fdab546f9","http://resolver.tudelft.nl/uuid:40e371cd-d9bf-48e3-8b50-c87fdab546f9","Near-Optimal Feedback Guidance for Low-Thrust Earth Orbit Transfers","Atmaca, D. (TU Delft Control & Simulation); Pontani, Mauro (Sapienza University of Rome)","","2023","This research proposes a near-optimal feedback guidance based on nonlinear control for low-thrust Earth orbit transfers. For the numerical simulations, two flight conditions are defined: (i) nominal conditions and (ii) nonnominal conditions that account for the orbit injection errors and the stochastic failures of the propulsion system. Condition (ii) is studied through an extensive Monte Carlo Analysis, to demonstrate the nonlinear feedback guidance’s numerical stability andconvergence properties. To illustrate the performance under both conditions, an orbit transfer from low Earth orbit to geostationary orbit is considered. Near-optimality of the feedback guidance comes from carefully selecting the nonlinear control gains. Comparison of the transfer with an existing study that uses optimal control reveals that orbit transfers based on feedback orbit control are very close to the optimal solution.","Earth Orbit Transfers; Low-Thrust Spacecraft; Feedback Guidance and Control","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:223db2c3-485e-4eff-8a38-d97ab41fc3ff","http://resolver.tudelft.nl/uuid:223db2c3-485e-4eff-8a38-d97ab41fc3ff","Differentiable Transportation Pruning","Li, Yunqiang (Axelera AI); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics); Hoefler, Torsten (ETH Zürich); Moons, Bert (Axelera AI); Eleftheriou, Evangelos (Axelera AI); Verhoef, Bram-Ernst (Axelera AI)","Ceballos, Cristina (editor)","2023","Deep learning algorithms are increasingly employed at the edge. However, edge devices are resource constrained and thus require efficient deployment of deep neural networks. Pruning methods are a key tool for edge deployment as they can improve storage, compute, memory bandwidth, and energy usage. In this paper we propose a novel accurate pruning technique that allows precise control over the output network size. Our method uses an efficient optimal transportation scheme which we make end-to-end differentiable and which automatically tunes the exploration-exploitation behavior of the algorithm to find accurate sparse sub-networks. We show that our method achieves state-of-the-art performance compared to previous pruning methods on 3 different datasets, using 5 different models, across a wide range of pruning ratios, and with two types of sparsity budgets and pruning granularities.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Pattern Recognition and Bioinformatics","","",""
"uuid:380cfc42-b50d-4f5c-a5ad-76f31978096f","http://resolver.tudelft.nl/uuid:380cfc42-b50d-4f5c-a5ad-76f31978096f","A step towards understanding why classification helps regression","Pintea, S. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Lin, Y. (TU Delft Intelligent Vehicles); Dijkstra, Jouke (Leiden University Medical Center); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","Ceballos, Cristina (editor)","2023","A number of computer vision deep regression approaches report improved results when adding a classification loss to the regression loss. Here, we explore why this is useful in practice and when it is beneficial. To do so, we start from precisely controlled dataset variations and data samplings and find that the effect of adding a classification loss is the most pronounced for regression with imbalanced data. We explain these empirical findings by formalizing the relation between the balanced and imbalanced regression losses. Finally, we show that our findings hold on two real imbalanced image datasets for depth estimation (NYUD2-DIR), and age estimation (IMDB-WIKI-DIR), and on the problem of imbalanced video progress prediction (Breakfast). Our main takeaway is: for a regression task, if the data sampling is imbalanced, then add a classification loss.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Pattern Recognition and Bioinformatics","","",""
"uuid:c782751d-b1b3-4141-8cef-03b13fdcf2a1","http://resolver.tudelft.nl/uuid:c782751d-b1b3-4141-8cef-03b13fdcf2a1","PMU-Based Backup Protection in the Presence of Inverter-Based Resources","Jegarluei, Mohammad Rezaei (University of Leeds); Dobakhshari, Ahmad Salehi (University of Guilan); Popov, M. (TU Delft Intelligent Electrical Power Grids); Terzija, Vladimir (Shandong University); Azizi, Sadegh (University of Leeds)","","2023","Increasing penetration of inverter-based resources (IBRs) undermines the performance of conventional protection systems since IBRs’ fault characteristics are fundamentally different from those of synchronous generators. In this paper, a PMU-based backup protection method is proposed for power transmission systems with high penetrations of IBRs. The method involves replacing all IBRs and the line suspected to be faulty by proper nodal current sources. For accurately detecting the faulted line from the set of candidates, a residual-based index is proposed, utilizing the concept of superimposed circuits and the weighted least-squares method. The method's robustness in the face of influential factors, including input errors, settings, numbers, and locations of IBRs is investigated through extensive simulations on the IEEE 39-bus test system.","Inverter-based resource (IBR); synchrophasor; superimposed circuits; wide-area backup protection","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-02","","","Intelligent Electrical Power Grids","","",""
"uuid:93e92c11-bbcd-4e83-989e-51cb5ff73679","http://resolver.tudelft.nl/uuid:93e92c11-bbcd-4e83-989e-51cb5ff73679","Distributed Situational Awareness for Maritime Autonomous Surface Ships in Mixed Waterborne Transport: An Ontology-based Framework","Song, R. (TU Delft Safety and Security Science); Papadimitriou, E. (TU Delft Safety and Security Science); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2023","The safety of maritime autonomous surface ships (MASS) in mixed waterborne transport system (MWTS) depends on effective situational awareness (SA) distribution among MASS, manned ships, and various stakeholders, such as Vessel Traffic Service (VTS), Remote Control Center (RCC) and Fairway Shipping Agency. This paper focuses on the research question: How can situational awareness be effectively distributed among these entities in mixed waterborne transport? The research objective is to develop a distributed situational awareness framework that unifies SA among these stakeholders, ensuring safe navigation and compatibility with users of different roles. To achieve this objective, the proposed framework incorporates three key concepts: individual SA, authority-based SA, and distributed SA. Individual SA, previously introduced in our study, is responsible for each ship's SA, while authority-based SA accounts for the SA of human operators supervising the waterborne transport system, such as VTS operators and fairway agency personnel. Distributed SA generates guiding messages for ships based on the situational awareness from both individual SA and authority-based SA, thereby enabling regulation-based and traffic control-based recommendations for waterborne transport (e.g., ship speed and course adjustments). The research methodology employs ontology-based modelling to implement the framework, constructing a domain knowledge network. A case study is conducted as an essential part of the research methodology, presenting how the framework perform the situational awareness from different aspects and inconsistency detection among manned ships, MASS, VTS operators, and so on. Semantic Web Rule Language (SWRL) is utilized to detect inconsistencies and generate guidance messages for ships. Through these cases, we demonstrate how the proposed Ontology-based framework can reconcile inconsistencies between individual and authority-based SA, leading to a safer and more effective waterborne transport.","Distributed situational awareness; mixed waterborne transport system; ontology; situation reconciling","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-15","","","Safety and Security Science","","",""
"uuid:49afef90-c5a0-4be5-ab5e-b0de0770755b","http://resolver.tudelft.nl/uuid:49afef90-c5a0-4be5-ab5e-b0de0770755b","MNEMOSENE++: Scalable Multi-Tile Design with Enhanced Buffering and VGSOT-MRAM based Compute-in-Memory Crossbar Array","Escuin, Carlos (IMEC; Universidad de Zaragoza); García-Redondo, Fernando (IMEC); Zahedi, M.Z. (TU Delft Computer Engineering); Ibáñez, Pablo (Universidad de Zaragoza); Monreal, Teresa (Universitat Politecnica de Catalunya); Viñals, Victor (Universidad de Zaragoza); Llabería, José María (Universitat Politecnica de Catalunya); Myers, James (IMEC); Ryckaert, Julien (IMEC); Biswas, Dwaipayan (IMEC); Catthoor, Francky (IMEC)","","2023","This paper optimizes the MNEMOSENE architecture, a compute-in-memory (CiM) tile design integrating computation and storage for increased efficiency. We identify and address bottlenecks in the Row Data (RD) buffer that cause losses in performance. Our proposed approach includes mitigating these buffering bottlenecks and extending MNEMOSENE’s single-tile design to a multi-tile configuration for improved parallel processing. The proposal is validated through comprehensive analyses exploring the mapping of diverse neural networks evaluated on CiM crossbar arrays based on NVM technologies. These proposed enhancements lead up to 55% reduction in execution time compared to the original single-tile architecture for any general matrix multiplication (GEMM) operation. Our evaluation shows that while ReRAM and PCM offer notable energy advantages, their integration with scaled CMOS is limited, which leads to VGSOT-MRAM emerging as a promising alternative due to its good balance between energy efficiency and superior integration capabilities. The VGSOT-MRAM crossbar arrays provide 12×,49×, and 346× more energy efficiency than PCM, ReRAM, and STT-MRAM ones, respectively. It translates, on average for the considered workload, in 1.5×,3×, and 14.5× better energy efficiency of the entire system.","Compute in Memory; NVM; Memristor; MRAM; Convolutional Neural Networks; Machine Learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-10","","","Computer Engineering","","",""
"uuid:c09593a7-d138-416a-bb43-0dde3c1fb273","http://resolver.tudelft.nl/uuid:c09593a7-d138-416a-bb43-0dde3c1fb273","Energy-efficient Computation-In-Memory Architecture using Emerging Technologies","Bishnoi, R.K. (TU Delft Computer Engineering); Diware, S.S. (TU Delft Computer Engineering); Gebregiorgis, A.B. (TU Delft Computer Engineering); Thomann, Simon (Technische Universität München); Mannaa, Sara (Lyon Institute of Nanotechnology); Deveautour, Bastien (Lyon Institute of Nanotechnology); Marchand, Cedric (Lyon Institute of Nanotechnology); Bosio, Alberto (Lyon Institute of Nanotechnology); Deleruyelle, Damien (Lyon Institute of Nanotechnology); O'Connor, Ian (Lyon Institute of Nanotechnology); Amrouch, Hussam (Technische Universität München); Hamdioui, S. (TU Delft Computer Engineering)","","2023","Deep Learning (DL) has recently led to remark-able advancements, however, it faces severe computation related challenges. Existing Von-Neumann-based solutions are dealing with issues such as memory bandwidth limitations and energy inefficiency. Computation-In-Memory (CIM) has the potential to address this problem by integrating processing elements directly into the memory architecture, reducing data movement and enhancing the overall efficiency of the system. In this work, we propose CIM architecture using three distinct emerging technologies. Firstly, a CIM architecture utilizing Ferroelectric Field-Effect Transistors (FeFET) is shown and the resulting errors from the analog compute scheme are injected into the emerging algorithm of Hyperdimensional Computing. Subsequently, we explore Vertical Nanowire Field-Effect Transistors (VNWFETs) based CIM within a 3D computing architecture, demonstrating improved energy efficiency and reconfigurability for CIM. Additionally, we improve the accuracy of the Resistive Random Access Memories (RRAM) based CIM architecture using two mapping-based solutions. These three technologies exhibit non-volatile characteristics, and when integrated into the CIM architecture, they yield significant advantages, including enhanced energy efficiency, reliability, and accuracy in computing processes.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-05","","","Computer Engineering","","",""
"uuid:159c99ea-b65c-4638-b189-cde385e71e12","http://resolver.tudelft.nl/uuid:159c99ea-b65c-4638-b189-cde385e71e12","Magnetic Coupling Based Test Development for Contact and Interconnect Defects in STT-MRAMs","Yuan, S. (TU Delft Team Bart De Schutter; IMEC-Solliance); Zhang, Z. (TU Delft Industrial Design Engineering); Fieback, M. (TU Delft Computer Engineering); Xun, H. (TU Delft Computer Engineering); Marinissen, E. J. (IMEC-Solliance); Kar, G. S. (IMEC-Solliance); Rao, S. (TU Delft Education and Student Affairs; IMEC-Solliance); Couet, S. (IMEC-Solliance); Taouil, M. (TU Delft Computer Engineering; CognitiveIC); Hamdioui, S. (TU Delft Computer Engineering; CognitiveIC)","","2023","The development of Spin-Transfer Torque Magnetic RAMs (STT-MRAMs) mass production requires high-quality test solutions. Accurate and appropriate fault modeling is crucial for the realization of such solutions. This paper targets fault modeling and test generation for all interconnect and contact defects in STT-MRAMs and shows that using the defect injection and circuit simulation for fault modeling without incorporating the impact of magnetic coupling will result in an incomplete set of fault models; hence, not obtaining accurate fault models. Magnetic coupling introduced by the stray field is an inherent property of STT-MRAMs and may foster the occurrence of additional memory faults. Not considering the magnetic coupling clearly will give rise to test escapes. The paper introduces a compact model for STT-MRAM that incorporates the intra- and inter-cell stray field, uses this model to derive the full set of fault models for interconnect and contact defects, and finally proposes an efficient test solution.","fault modeling; magnetic coupling; stray field; STT-MRAM; test development","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","Industrial Design Engineering","","Team Bart De Schutter","","",""
"uuid:25ae0645-ad2d-4d14-91c4-e76ee74647d7","http://resolver.tudelft.nl/uuid:25ae0645-ad2d-4d14-91c4-e76ee74647d7","MuM'23 Workshop on Interruptions and Attention Management","Lingler, Alexander (University of Applied Sciences Upper Austria, School of Informatics, Communications and Media); Talypova, Dinara (University of Applied Sciences Upper Austria, School of Informatics, Communications and Media); Draxler, Fiona (Ludwig Maximilians University); Schneegass, C. (TU Delft Human Information Communication Design); Dingler, Tilman (TU Delft Human-Centred Artificial Intelligence); Wintersberger, Philipp (University of Applied Sciences Upper Austria, School of Informatics, Communications and Media; Technische Universität Wien)","Michahelles, Florian (editor); Knierim, Pascal (editor); Hakkila, Jonna (editor)","2023","Attention management systems seek to minimize disruption by intelligently timing interruptions and helping users navigate multiple tasks and activities. While there is a solid theoretical basis and rich history in HCI research for attention management, little progress has been made regarding their practical implementation and deployment. Building sophisticated attention management systems requires a great variety of sensors, task- and user models, and multiple devices while considering the complexity of user context and human behavior. Novel AI technologies, such as generative systems, reinforcement learning, and large language models, open new possibilities to create intelligent, practical, and user-centered attention management systems. This proposed workshop aims to bring together researchers and practitioners from diverse backgrounds to discuss and formulate a research agenda to advance attention management systems using novel AI tools to manage and mitigate interruptions from computing systems effectively.","attention management; attentive user interfaces; cognitive load; Human-computer interaction; interruptions; notifications; ubiquitous computing; workload","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","2024-06-03","","","Human Information Communication Design","","",""
"uuid:6bd4f7ca-f0c4-4712-a337-14052eaa018c","http://resolver.tudelft.nl/uuid:6bd4f7ca-f0c4-4712-a337-14052eaa018c","MULTITTRUST: 2nd Workshop on Multidisciplinary Perspectives on Human-AI Team Trust","Brandizzi, Nicolo' (Sapienza University of Rome); Centeio Jorge, C. (TU Delft Interactive Intelligence); Cipollone, Roberto (Sapienza University of Rome); Frattolillo, Francesco (Sapienza University of Rome); Iocchi, Luca (Sapienza University of Rome); Ulfert-Blank, Anna Sophie (Eindhoven University of Technology)","","2023","","Computational Trust Estimation; Human-AI Team Trust; Human-Robot Interaction; Multidisciplinary Perspectives","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:5acdcee7-d41b-4469-b445-0ab3688ff266","http://resolver.tudelft.nl/uuid:5acdcee7-d41b-4469-b445-0ab3688ff266","On the Complexity of Finding a Sparse Connected Spanning Subgraph in a Non-Uniform Failure Model","Bentert, Matthias (University of Bergen and Bjerknes Centre for Climate Research); Schestag, J. (TU Delft Discrete Mathematics and Optimization; Friedrich Schiller University Jena); Sommer, Frank (Friedrich Schiller University Jena)","Misra, Neeldhara (editor); Wahlstrom, Magnus (editor)","2023","We study a generalization of the classic Spanning Tree problem that allows for a non-uniform failure model. More precisely, edges are either safe or unsafe and we assume that failures only affect unsafe edges. In Unweighted Flexible Graph Connectivity we are given an undirected graph G = (V,E) in which the edge set E is partitioned into a set S of safe edges and a set U of unsafe edges and the task is to find a set T of at most k edges such that T -{u} is connected and spans V for any unsafe edge u ∈ T. Unweighted Flexible Graph Connectivity generalizes both Spanning Tree and Hamiltonian Cycle. We study Unweighted Flexible Graph Connectivity in terms of fixed-parameter tractability (FPT). We show an almost complete dichotomy on which parameters lead to fixed-parameter tractability and which lead to hardness. To this end, we obtain FPT-time algorithms with respect to the vertex deletion distance to cluster graphs and with respect to the treewidth. By exploiting the close relationship to Hamiltonian Cycle, we show that FPT-time algorithms for many smaller parameters are unlikely under standard parameterized complexity assumptions. Regarding problem-specific parameters, we observe that Unweighted Flexible Graph Connectivity admits an FPT-time algorithm when parameterized by the number of unsafe edges. Furthermore, we investigate a below-upper-bound parameter for the number of edges of a solution. We show that this parameter also leads to an FPT-time algorithm.","below-guarantee parameterization; Flexible graph connectivity; NP-hard problem; parameterized complexity; treewidth","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:89ed7db5-7b92-4407-858c-5883215148b6","http://resolver.tudelft.nl/uuid:89ed7db5-7b92-4407-858c-5883215148b6","How Can We Maximize Phylogenetic Diversity? Parameterized Approaches for Networks","Jones, M.E.L. (TU Delft Discrete Mathematics and Optimization); Schestag, J. (TU Delft Discrete Mathematics and Optimization; Friedrich Schiller University Jena)","Misra, Neeldhara (editor); Wahlstrom, Magnus (editor)","2023","Phylogenetic Diversity (PD) is a measure of the overall biodiversity of a set of present-day species (taxa) within a phylogenetic tree. We consider an extension of PD to phylogenetic networks. Given a phylogenetic network with weighted edges and a subset S of leaves, the all-paths phylogenetic diversity of S is the summed weight of all edges on a path from the root to some leaf in S. The problem of finding a bounded-size set S that maximizes this measure is polynomial-time solvable on trees, but NP-hard on networks. We study the latter from a parameterized perspective. While this problem is W[2]-hard with respect to the size of S (and W[1]-hard with respect to the size of the complement of S), we show that it is FPT with respect to several other parameters, including the phylogenetic diversity of S, the acceptable loss of phylogenetic diversity, the number of reticulations in the network, and the treewidth of the underlying graph.","FPT algorithms; Parameterized Complexity; Phylogenetic Diversity; Phylogenetic Networks; W-hierarchy","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:f1949590-d022-4a7f-ab94-eeb312d9abd8","http://resolver.tudelft.nl/uuid:f1949590-d022-4a7f-ab94-eeb312d9abd8","Finding Degree-Constrained Acyclic Orientations","Garvardt, Jaroslav (Philipps-University Marburg; Friedrich Schiller University Jena); Renken, Malte (Technical University of Berlin); Schestag, J. (TU Delft Discrete Mathematics and Optimization; Friedrich Schiller University Jena; Philipps-University Marburg); Weller, Mathias (Technical University of Berlin)","Misra, Neeldhara (editor); Wahlstrom, Magnus (editor)","2023","We consider the problem of orienting a given, undirected graph into a (directed) acyclic graph such that the in-degree of each vertex ν is in a prescribed list λ(ν). Variants of this problem have been studied for a long time and with various applications, but mostly without the requirement for acyclicity. Without this requirement, the problem is closely related to the classical General Factor problem, which is known to be NP-hard in general, but polynomial-time solvable if no list λ(ν) contains large ""gaps"" [Cornuejols, J. Comb. Theory B, 1988]. In contrast, we show that deciding if an acyclic orientation exists is NP-hard even in the absence of such ""gaps"". On the positive side, we design parameterized algorithms for various, natural parameterizations of the acyclic orientation problem. A special case of the orientation problem with degree constraints recently came up in the context of reconstructing evolutionary histories (that is, phylogenetic networks). This phylogenetic setting imposes additional structure onto the problem that can be exploited algorithmically, allowing us to show fixed-parameter tractability when parameterized by either the treewidth of G (a smaller parameter than the frequently employed ""level""), by the number of vertices ν for which |λ(ν)| ≥ 2, by the number of vertices ν for which the highest value in λ(ν) is at least 2. While the latter result can be extended to the general degree-constraint acyclic orientation problem, we show that the former cannot unless FPT=W[1].","General Factor; Graph Orientation; NP-hardness; Parameterized Algorithms; Phylogenetic Networks; Treewidth","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:79949f50-7d90-48a5-8784-372a6ef0310f","http://resolver.tudelft.nl/uuid:79949f50-7d90-48a5-8784-372a6ef0310f","Exploring the Impact Of Problem-Based Learning on Student Learning Outcomes: Findings From the PBL South Asia Project","Jurelionis, A. (Kaunas University of Technology); Stankevičiūtė, G. (Kaunas University of Technology); Dhital, A. (Aalto University); van Andel, E. (TU Delft Delft Centre for Entrepreneurship); Sundman, J. (Aalto University); Stasiulienė, L. (Kaunas University of Technology); Acharya, S. (Indian Institute of Technology Guwahati); Subra, R. (Aalto University)","Reilly, Ger (editor); Murphy, Mike (editor); Nagy, Balazs Vince (editor); Jarvinen, Hannu-Matti (editor)","2023","This paper presents the results of surveys conducted among students and teachers / mentors in Nepal, Bhutan, and India, regarding the impact of implementing Problembased learning (PBL) methodology in engineering and multidisciplinary projects. The surveys were carried out under the Erasmus+ funded project, ""Strengthening Problembased learning in South Asian Universities"" (PBL South Asia). The project aimed to address the issues of education quality, employability, and sustainable development in the region by enhancing students’ practical experience, communication skills, teamwork abilities, as well as academic knowledge through PBL-adapted courses. As a result, South Asian higher education institutions have implemented PBL courses in their curriculum.
The surveys were designed to evaluate how specific competences or learning outcomes were perceived by different stakeholder groups, e.g., which learning outcomes were expected to be achieved by the faculty, and whether they were achieved by students. Several methods were used for the assessment – open questions with tracking the keywords that the respondents use, as well as “EntreComp” framework which looks into how students assess their abilities to be curious and open, think sustainably, behave ethically, and cope with uncertainty and ambiguity.
Results of the survey showed that student participants have identified teamwork, communication and presentation skills as those most associated with PBL methodology. Among the self-assessed improvement in abilities, students have indicated their increased abilities to assess the needs of different stakeholders, combining different contexts, setting up strategies.","design for sustainability; impact of problem-based learning; learning outcomes; Problem-based learning","en","conference paper","European Society for Engineering Education (SEFI)","","","","","","","","","Delft Centre for Entrepreneurship","","","",""
"uuid:a6e34e6e-81c7-43fa-aecd-97a0f02c62ef","http://resolver.tudelft.nl/uuid:a6e34e6e-81c7-43fa-aecd-97a0f02c62ef","Tightly Integrated Motion Classification and State Estimation in Foot-Mounted Navigation Systems","Skog, Isaac (Uppsala University); Hendeby, Gustaf (Linköping University); Kok, M. (TU Delft Team Manon Kok)","","2023","A framework for tightly integrated motion mode classification and state estimation in motion-constrained inertial navigation systems is presented. The framework uses a jump Markov model to describe the navigation system's motion mode and navigation state dynamics with a single model. A bank of Kalman filters is then used for joint inference of the navigation state and the motion mode. A method for learning unknown parameters in the jump Markov model, such as the motion mode transition probabilities, is also presented. The application of the proposed framework is illustrated via two examples. The first example is a foot-mounted navigation system that adapts its behavior to different gait speeds. The second example is a foot-mounted navigation system that detects when the user walks on flat ground and locks the vertical position estimate accordingly. Both examples show that the proposed framework provides significantly better position accuracy than a standard zero-velocity aided inertial navigation system. More importantly, the examples show that the proposed framework provides a theoretically well-grounded approach for developing new motion-constrained inertial navigation systems that can learn different motion patterns.","Constant height detection; Filter bank; Inertial navigation; Motion-constraints; Zero-velocity detection","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public. Funding Information: This work has been partially funded by the Swedish Research Council project 2020-04253 Tensor-field based localization and the Dutch Research Council (NWO) research program Veni project 18213 Sensor Fusion For Indoor Localisation Using The Magnetic Field.","","2024-06-06","","","Team Manon Kok","","",""
"uuid:013bfdb5-c5e4-4281-94df-0260d345d09c","http://resolver.tudelft.nl/uuid:013bfdb5-c5e4-4281-94df-0260d345d09c","Optimal control of offshore wind farm collector systems during outages","Ubbens, Martiene (Vattenfall); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","Two optimization-based approaches are proposed to optimize the power routing and turbine setpoints of offshore wind farm (OWF) collector systems during cable outages. The open-loop control strategy assumes that the network can only be reconfigured at the beginning of the outage. In contrast, the receding horizon control strategy is deployed in real time, leveraging cable temperature measurements and power forecasts to derive optimal control actions dynamically. Simulation results concerning occurred outages at an existing OWF prove the practical applicability of the novel approaches and show that both strategies outperform existing approaches.","collector system outages; dynamic thermal rating; offshore wind farm operation; optimization-based control","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-06","","Delft Center for Systems and Control","","","",""
"uuid:753e81de-d1cc-4c05-9c0a-1fe0a796a583","http://resolver.tudelft.nl/uuid:753e81de-d1cc-4c05-9c0a-1fe0a796a583","Robust Learning via Golden Symmetric Loss of (un)Trusted Labels","Ghiassi, S. (TU Delft Data-Intensive Systems); Birke, Robert (University of Turin); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2023","Learning robust deep models against noisy labels becomes ever critical when today's data is commonly collected from open platforms and subject to adversarial corruption. The information on the label corruption process, i.e., corruption matrix, can greatly enhance the robustness of deep models but still fall behind in combating hard classes. In this paper, we propose to construct a golden symmetric loss (GSL) based on the estimated corruption matrix as to avoid overfitting to noisy labels and learn effectively from hard classes. GSL is the weighted sum of the corrected regular cross entropy and reverse cross entropy. By leveraging a small fraction of trusted clean data, we estimate the corruption matrix and use it to correct the loss as well as to determine the weights of GSL. We theoretically prove the robustness of the proposed loss function in the presence of dirty labels. We provide a heuristics to adaptively tune the loss weights of GSL according to the noise rate and diversity measured from the dataset. We evaluate our proposed golden symmetric loss on both vision and natural language deep models subject to different types of label noise patterns. Empirical results show that GSL can significantly outperform the existing robust training methods on different noise patterns, showing accuracy improvement up to 18% on CIFAR-100 and 1% on real world noisy dataset of Clothing1M.","Deep learning models; Noisy labels; Robust training; Symmetric loss function","en","conference paper","Society for Industrial and Applied Mathematics","","","","","","","2024-01-11","","","Data-Intensive Systems","","",""
"uuid:87905f6e-2d35-460c-8f95-1f585f3ff97c","http://resolver.tudelft.nl/uuid:87905f6e-2d35-460c-8f95-1f585f3ff97c","Fast Loss of Effectiveness Detection on a Quadrotor using Onboard Sensors and a Kalman Estimation Approach","Van Schijndel, B. A.Strack (Student TU Delft); Sun, S. (TU Delft Control & Simulation); de Visser, C.C. (TU Delft Control & Simulation)","","2023","This paper presents a novel method for fast and robust detection of actuator failures on quadrotors. The proposed algorithm has very little model dependency. A Kalman estimator estimates a stochastic effectiveness factor for every actuator, using only onboard RPM, gyro and accelerometer measurements. Then, a hypothesis test identifies the failed actuator. This algorithm is validated online in real-time, also as part of an active fault tolerant control system. Loss of actuator effectiveness is induced by ejecting the propellers from the motors. The robustness of this algorithm is further investigated offline over a range of parameter settings by replaying real flight data containing 26 propeller ejections. The detection delays are found to be in the 30∼130 ms range, without missed detections or false alarms occurring.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Control & Simulation","","",""
"uuid:97f2a3cb-f727-4d61-9f73-d30187887f8c","http://resolver.tudelft.nl/uuid:97f2a3cb-f727-4d61-9f73-d30187887f8c","Fault intersection and induced seismicity: the effects on the induced stress field and the dynamic rupture, and their implications","Ruan, J. (TU Delft Applied Geophysics and Petrophysics); Ghose, R. (TU Delft Applied Geophysics and Petrophysics); Mulder, W.A. (TU Delft Applied Geophysics and Petrophysics)","","2023","Intersecting faults are often ignored in the geomechanical simulation of induced seismicity. To investigate the effects of fault intersection and the resulting reservoir geometry on induced seismicity, caused, for instance, by gas extraction, we have developed 3D geomechanical models considering two intersecting normal faults and the surrounding horst structure. We simulate the stress field and the dynamic fault reactivation in a uniformly depleted reservoir. We observe that a smaller intersection angle increases the incremental Coulomb stress at the lower reservoir juxtaposition, thus changing the temporal rupture pattern of the seismic event. In our dynamic simulation, the rupture propagates from the main fault to the secondary fault. We conclude that the fault intersection has important effects on the induced seismicity and should be taken into account when evaluating the seismicity risk in a specific region.","geomechanical modelling; fault intersection; induced seismicty; Groningen gas field; seismic source","en","conference paper","Society of Exploration Geophysicists","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:008d0b53-a30f-44e5-a3e8-e087a2180f76","http://resolver.tudelft.nl/uuid:008d0b53-a30f-44e5-a3e8-e087a2180f76","Device Aware Diagnosis for Unique Defects in STT-MRAMs","Aouichi, A. (TU Delft Electrical Engineering, Mathematics and Computer Science); Yuan, S. (TU Delft Computer Engineering; IMEC-Solliance); Fieback, M. (TU Delft Computer Engineering); Rao, Siddharth (IMEC-Solliance); Kim, Woojin (IMEC-Solliance); Marinissen, Erik Jan (IMEC-Solliance); Couet, Sebastien (IMEC-Solliance); Taouil, M. (TU Delft Computer Engineering; CognitiveIC); Hamdioui, S. (TU Delft Computer Engineering; CognitiveIC)","","2023","Spin-Transfer Torque Magnetic RAMs (STT-MRAMs) are on their way to commercialization. However, obtaining high-quality test and diagnosis solutions for STT-MRAMs is challenging due to the existence of unique defects in Magnetic Tunneling Junctions (MTJs). Recently, the Device-Aware Test (DA-Test) method has been put forward as an effective approach mainly for detecting unique defecting STT-MRAMs. In this study, we propose a further advancement based on the DA-Test framework, introducing the Device-Aware Diagnosis (DA-Diagnosis) method. This method comprises two steps: a) defining distinctive features of each unique defect by characterization and physical analysis of defective MTJs, and b) utilizing march algorithms to extract distinctive features. The effectiveness of the proposed approach is validated in an industrial setting with real devices and data measurement.","device-aware method; diagnosis; STT-MRAM; test; unique defect","en","conference paper","IEEE","","","","","","","2024-06-03","Electrical Engineering, Mathematics and Computer Science","","Computer Engineering","","",""
"uuid:95564db5-237c-402e-a791-a70b8e0e8972","http://resolver.tudelft.nl/uuid:95564db5-237c-402e-a791-a70b8e0e8972","Rolling-Horizon Simulation Optimization For A Multi-Objective Biomanufacturing Scheduling Problem","van den Houten, K.C. (TU Delft Algorithmics); de Weerdt, M.M. (TU Delft Algorithmics); Tax, D.M.J. (TU Delft Pattern Recognition and Bioinformatics); Freydell, Esteban (DSM); Christoupoulou, Eva (Systems Navigator); Nati, Alessandro (Systems Navigator)","","2023","We study a highly complex scheduling problem that requires the generation and optimization of production schedules for a multi-product biomanufacturing system with continuous and batch processes. There are two main objectives here; makespan and lateness, which are combined into a cost function that is a weighted sum. An additional complexity comes from long horizons considered (up to a full year), yielding problem instances with more than 200 jobs, each consisting of multiple tasks that must be executed in the factory. We investigate whether a rolling-horizon principle is more efficient than a global strategy. We evaluate how cost function weights for makespan and lateness should be set in a rolling-horizon approach where deadlines are used for subproblem definition. We show that the rolling-horizon strategy outperforms a global search, evaluated on problem instances of a real biomanufacturing system, and we show that this result generalizes to problem instances of a synthetic factory.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-31","","","Algorithmics","","",""
"uuid:80eeeea5-14ba-46c8-aea9-a9a6d0b6fec2","http://resolver.tudelft.nl/uuid:80eeeea5-14ba-46c8-aea9-a9a6d0b6fec2","How Emoji and Explanations Influence Adherence to AI Recommendations","Kernan Freire, S. (TU Delft Internet of Things); Jung, Ji Youn (Student TU Delft); Wang, C.W. (TU Delft Human-Centred Artificial Intelligence); Niforatos, E. (TU Delft Internet of Things); Bozzon, A. (TU Delft Sustainable Design Engineering)","","2023","Emoji have become an essential part of modern communication, helping to convey emotions and tone quickly and concisely. Emoji used by humans and Intelligent Agents (IA) have been shown to affect people’s decision making intentions, suggesting they could be used to manipulate users to follow their advice. We present a mixed-methods crowdsourcing study (N = 194) that shows that adherence to an IA’s recommendation and user experience are not affected by emoji when used in a positive, collaborative way. However, we demonstrate that explanations provided by an IA do increase adherence to its recommendation.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-22","","Sustainable Design Engineering","Internet of Things","","",""
"uuid:04db64e6-35fb-4f2d-a27c-9ad5fe9fd9ba","http://resolver.tudelft.nl/uuid:04db64e6-35fb-4f2d-a27c-9ad5fe9fd9ba","Revealing the role of values in developing a garden data ecosystem through a reflective participatory design approach","Cazacu, Silvia (Katholieke Universiteit Leuven); Mulder, I. (TU Delft Design Conceptualization and Communication); Vande Moere, Andrew (Katholieke Universiteit Leuven); Steenberghen, Thérèse (Katholieke Universiteit Leuven)","","2023","Data-driven domains such as public administration, health or mobility have adopted a so-called 'data ecosystem' perspective to unify the socio-technical aspects fostering data-driven collaboration. While a data ecosystem is technically able to collect and merge their different datasets, it is yet relatively unable to facilitate meaningful forms of collaboration between actors. Based on previous research on value creation in data ecosystems, we hypothesize that this inability is mainly due to ecosystems not reflecting actor values, i.e. aspects which are important and imply a desirable behavior, often related to goals, objectives, motivations and decision making. This paper therefore proposes a reflective approach to reveal the values in data-driven collaboration by answering the following research questions: What role do values play in the process of developing a data ecosystem? And how can value-led participatory design support data-driven collaboration? We attempt to answer these questions through an exploratory study based on 5 interviews with consortium members of a garden data ecosystem currently in development around a citizen science initiative in Flanders, Belgium. We discovered that the explicit use of values and frictions has the potential to augment the collaboration between actors. This approach can thus be useful to future practitioners who aim to expand the societal impact of their work.","Citizen science; data ecosystem; data platform; data-driven collaboration; reflective participatory design; values","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:6e8a0d0f-893c-4c15-89a4-8050cd3bcf30","http://resolver.tudelft.nl/uuid:6e8a0d0f-893c-4c15-89a4-8050cd3bcf30","Punching shear in prestressed concrete deck slabs: a comprehensive study","Amir, Sana (University of Wollongong in Dubai); van der Veen, C. (TU Delft Concrete Structures); Walraven, J.C. (TU Delft Concrete Structures); de Boer, Ane (Ministry of Infrastructure and the Environment)","Genikomsou, Aikaterini (editor); Hrynyk, Trevor (editor); Lantsoght, Eva (editor)","2023","A large number of bridges in the Netherlands have transversely post tensioned deck slabs cast in-situ between flanges of precast girders and were found to be critical in shear when evaluated by Eurocode 2. To investigate the bearing (punching shear) capacity of such bridges, a 1:2 scale bridge model was constructed in the laboratory and static tests were performed by varying the transverse prestressing level (TPL). A 3D solid, 1:2 scale model of the real bridge, similar to the experimental model, was developed in the finite element software DIANA and several nonlinear analyses were carried out. It was observed that the experimental and numerical ultimate load carrying capacity was much higher than predicted by the governing codes due to lack of consideration of compressive membrane action (CMA). In order to incorporate CMA in the Model Code 2010 (fib 2012) punching shear provisions for prestressed slabs, numerical and theoretical approaches were combined. As a result, sufficient factor of safety was observed when the real bridge design capacity was compared with the design wheel load of Eurocode 1. It was concluded that the existing bridges still had sufficient residual bearing capacity with no problems of serviceability and structural safety.","Compressive Membrane Action; Concrete; Deck slab; Punching shear; Transverse prestressing; Wheel loads","en","conference paper","American Concrete Institute","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-04","","","Concrete Structures","","",""
"uuid:995a3f2a-ff8d-4692-936c-052815b52b3a","http://resolver.tudelft.nl/uuid:995a3f2a-ff8d-4692-936c-052815b52b3a","""Who are you?"": Identifying Young Users from a Single Search Query","Bettencourt, Benjamin (Boise State University); Manzi Muneza, Assoumer Redempta (Boise State University); Green, Michael (Boise State University); Anguiano, Samantha (Boise State University); Fails, Jerry Alan (Boise State University); Kennington, Casey (Boise State University); Wright, Katherine Landau (Boise State University); Pera, M.S. (TU Delft Web Information Systems)","","2023","As an initial step towards enabling the adaptation of (popular, and widely used) web search environments so that they can better serve children and ease their path towards information discovery, we introduce Recognizing Young Searchers (RYSe). RYSe leverages lexical, syntactical, spelling/punctuation, and vocabulary features that align with the Concrete Operational stage of development (originally identified by Jean Piaget) in an attempt to identify users that are in this stage. The concrete operational stage is commonly associated with children ages 7-11. Findings emerging from our initial empirical exploration using single queries formulated by children and sample queries from adults showcase the feasibility of relying on different cognitive traits inferred from the short text of a single query to distinguish those that are formulated by younger searchers.","adaptation; children; user modeling; web search","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Web Information Systems","","",""
"uuid:6d5d920d-48aa-42ac-933f-9810ad350106","http://resolver.tudelft.nl/uuid:6d5d920d-48aa-42ac-933f-9810ad350106","Digital Forgetting Using Key Decay","Darwish Khabbaz, M. (TU Delft Cyber Security); Zarras, Apostolis (University of Piraeus)","","2023","During the recent development of information technology and the prevalent breakthroughs of its services, more digital data tend to be readily stored online. Although the massive advantages, there is a pivotal necessity for curating digital data forgetting. Online content can pose perilous threats in terms of privacy and security that may hinder the right to be forgotten, encompassed by the GDPR act, since the released data can be archived and accessed retrospectively. Prior approaches focused on various access heuristics and elastic expiration times to make the data unreachable to some extent. However, there are still many pending issues related to the proposed studies, such as securing ephemeral key storage and co-ownership data deletion. In this paper, we attempt to tackle the problem of storing ephemeral keys during the estimated validity period. Hence, we devise a novel concept called key decay over time, which can achieve the ephemeral existence of the key. The decay idea entails the gradual, irreversible corruption of the key with time passing. In the current work, we combine the concept of gradual time elapsing and corruption into a single notion of the decay rate. Meanwhile, the irreversibility merit formed by randomness and various obfuscation strategies impedes retrospective attacks. Over time, the decay rate will give an estimated range for the key to be destroyed entirely. Finally, we implement and thoroughly assess a proof-of-concept regarding the key decay, including computational complexity and security analysis.","digital forgetting; key decay; retrospective privacy","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Cyber Security","","",""
"uuid:3faa5299-e921-4360-a0bc-aa9a8ec5fdd0","http://resolver.tudelft.nl/uuid:3faa5299-e921-4360-a0bc-aa9a8ec5fdd0","Device-Aware Test for Back-Hopping Defects in STT-MRAMs","Yuan, S. (TU Delft Computer Engineering; IMEC-Solliance); Taouil, M. (TU Delft Computer Engineering); Fieback, M. (TU Delft Computer Engineering); Xun, H. (TU Delft Computer Engineering); Marinissen, Erik Jan (IMEC-Solliance); Kar, Gouri Sankar (IMEC-Solliance); Rao, Sidharth (IMEC-Solliance); Couet, Sebastien (IMEC-Solliance); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","","2023","The development of Spin-transfer torque magnetic RAM (STT-MRAM) mass production requires high-quality dedicated test solutions, for which understanding and modeling of manufacturing defects of the magnetic tunnel junction (MTJ) is crucial. This paper introduces and characterizes a new defect called Back-Hopping (BH); it also provides its fault models and test solutions. The BH defect causes MTJ state to oscillate during write operations, leading to write failures. The characterization of the defect is carried out based on manufactured MTJ devices. Due to the observed non-linear characteristics, the BH defect cannot be modelled with a linear resistance. Hence, device-aware defect modeling is applied by considering the intrinsic physical mechanisms; the model is then calibrated based on measurement data. Thereafter, the fault modeling and analysis is performed based on circuit-level simulations; new fault primitives/models are derived. These accurately describe the way the STT-MRAM behaves in the presence of BH defect. Finally, dedicated march test and a Design-for-Test solutions are proposed.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-02","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:9c396965-7a3d-4cb8-9c3c-3ab1b60df04f","http://resolver.tudelft.nl/uuid:9c396965-7a3d-4cb8-9c3c-3ab1b60df04f","3D Integration for Modular Quantum Computer based on Diamond Spin Qubits","Ishihara, R. (TU Delft QID/Ishihara Lab; TU Delft Quantum Circuit Architectures and Technology; TU Delft QuTech Advanced Research Centre); Hermias, J. (Student TU Delft); Neji, S. (Student TU Delft); Yu, K.Y. (TU Delft QID/Ishihara Lab; TU Delft QuTech Advanced Research Centre); van der Maas, M.C. (TU Delft QID/Ishihara Lab; TU Delft QuTech Advanced Research Centre); Nur, S. (TU Delft QID/Ishihara Lab; TU Delft QuTech Advanced Research Centre); Iwai, T. (Fujitsu Limited); Miyatake, T. (Fujitsu Limited); Miyahara, S. (Fujitsu Limited)","","2023","Quantum computer chip based on spin qubits in diamond uses modules that are entangled with on-chip optical links. This enables an increased connectivity and a negligible crosstalk and error-rate when the number of qubits increases onchip. Here, 3D integration is the key enabling technology for a large-scale integration of the diamond spin qubits with photonic and electronic circuits for routing, control and readout of qubits. There are several engineering challenges to integrate the large number of spins in diamond with the on-chip circuits operating at a cryogenic temperature. In this paper we will address challenges, present recent results and discuss future outlook of the integration technology for realization of a scalable quantum computer based on diamond spin qubits.","3D integration; Flip-chip bonding; Photonic circuits; Quantum computer","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-22","","","QID/Ishihara Lab","","",""
"uuid:1c2f58d7-8f23-4a4e-9a94-40cbb980983a","http://resolver.tudelft.nl/uuid:1c2f58d7-8f23-4a4e-9a94-40cbb980983a","Shear and punching capacity predictions for slabs under concentrated loads aided by lefea","de Sousa, Alex M.D. (Universidade de São Paulo); Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Setiawan, Andri (EPFL Switzerland); El Debs, Mounir K. (Universidade de São Paulo)","Genikomsou, Aikaterini (editor); Hrynyk, Trevor (editor); Lantsoght, Eva (editor)","2023","One-way slabs under concentrated loads may fail by one-way shear, punching, flexure or a mixed-mode between them. This study examines the benefits of using Linear Elastic Finite Element Analyses (LEFEA) combined with analytical expressions to predict the shear and punching capacities of such slabs. Besides, the determination of the most critical shear failure mechanism is also addressed. A simplified approach is proposed to predict the shear and punching capacity without numerical models. Forty-eight tests of simply supported slabs under concentrated loads were evaluated. The LEFEA was conducted with ABAQUS. The analytical expressions are based on the Critical Shear Crack Theory (CSCT). The coupling of the CSCT-expressions with the LEFEA accurately predicts the governing shear failure mechanism and the shear capacity of most test results. In this study, it was also found that the punching capacity predictions may be improved by considering the influence of the slab width and load size on the governing failure mechanism. A similar level of precision was achieved using only analytical expressions when properly calibrated. Therefore, the CSCT expressions can be used at different stages of design and assessment of existing structures according to the Level of Approximation required.","critical shear crack theory; linear elastic finite element analyses; one-way shear; punching shear","en","conference paper","American Concrete Institute","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-04","","","Concrete Structures","","",""
"uuid:cf95382d-63c8-4005-9f7c-39150592d631","http://resolver.tudelft.nl/uuid:cf95382d-63c8-4005-9f7c-39150592d631","Who am I learning to become?: Integrating personal development in curriculum design","Bohm, N.L. (TU Delft Urban Development Management; 4TU.Centre for Engineering Education); Hoekstra, M.J. (TU Delft Urban Design); van den Burg, L.P.J. (TU Delft Urban Design); Reincke, M.M.O. (TU Delft Education and Student Affairs)","Lyng, Reidar (editor); Bennedsen, Jens (editor); Bettaieb, Lamjed (editor); Bodsberg, Nils Rune (editor); Edström, Kristina (editor); Guðjónsdóttir, María Sigríður (editor); Roslöf, Janne (editor); Solbjørg, Ole K. (editor); Øien, Geir (editor)","2023","In this case study, we answer the question: what are design characteristics for a personal development line integrated in undergraduate engineering curricula? We investigated the development of such a line in a Bachelor of Architecture, Urbanism and Building Sciences in The Netherlands. We documented and analysed the preparation of and discussions during three design sessions, where teachers and students collaboratively created the personal development line.
This personal development line has two main aims: to guide students in developing their personal and professional identities and promote self-directed learning in the curriculum. Reflective skills are playing a key role in this. Four levels on which students reflect in relation to personal development in the curriculum were identified: self, education, practice, and society. Each Personal Development Week in the design proposal touches upon one of these levels and makes use of three generic elements: inspiration, contemplation, and perspective. Three tensions in the curriculum arose during the design sessions. First, the question if it is necessary to give students direction by assignments or to trust they will reflect by themselves.Second, if that direction should be shaped by specific writing assignments or if students should be left to work with a free form. Finally, if the reflection should be connected to what students learn inside the university or rather to societal challenges that they perceive outside of their studies.
The personal development line in this research is one answer to the questions arising from these three tensions, yet it is not the only answer. Both the identified tensions and the designed reflection model can be a starting point for other curriculum designers to position personal development in their curriculum. Personal development can then become a key ingredient in the education of a diverse group of reflexive engineers at universities anywhere in the world.","curriculum development; personal development; self-directed learning; reflection; reflexivity; standards: 2, 3, 7, 9, 11","en","conference paper","NTNU","","","","","","","","","","Urban Development Management","","",""
"uuid:11934480-285c-4e98-8e6e-53db57a9d2a8","http://resolver.tudelft.nl/uuid:11934480-285c-4e98-8e6e-53db57a9d2a8","SUAVE: An Exemplar for Self-Adaptive Underwater Vehicles","Rezende Silva, G. (TU Delft Robot Dynamics); Pasler, Juliane (Universitetet i Oslo); Zwanepol, Jeroen (Student TU Delft); Alberts, E.G. (TU Delft Robot Dynamics; Vrije Universiteit Amsterdam); Lizeth Tapia Tarifa, S. (Universitetet i Oslo); Gerostathopoulos, Ilias (Vrije Universiteit Amsterdam); Johnsen, Einar Broch (Universitetet i Oslo); Hernández, Carlos (TU Delft Robot Dynamics)","","2023","Once deployed in the real world, autonomous underwater vehicles (AUVs) are out of reach for human supervision yet need to take decisions to adapt to unstable and unpredictable environments. To facilitate research on self-adaptive AUVs, this paper presents SUAVE, an exemplar for two-layered system-level adaptation of AUVs, which clearly separates the application and self-adaptation concerns. The exemplar focuses on a mission for underwater pipeline inspection by a single AUV, implemented as a ROS 2-based system. This mission must be completed while simultaneously accounting for uncertainties such as thruster failures and unfavorable environmental conditions. The paper discusses how SUAVE can be used with different self-adaptation frameworks, illustrated by an experiment using the Metacontrol framework to compare AUV behavior with and without self-adaptation. The experiment shows that the use of Metacontrol to adapt the AUV during its mission improves its performance when measured by the overall time taken to complete the mission or the length of the inspected pipeline.","exemplar; Metacontrol; robotics; self-adaptation; SUAVE; underwater robots","en","conference paper","IEEE","","","","","","","2024-01-11","","","Robot Dynamics","","",""
"uuid:f97e7b2e-108a-4f5e-8a78-68ef31d1375c","http://resolver.tudelft.nl/uuid:f97e7b2e-108a-4f5e-8a78-68ef31d1375c","Learning Control of Second-Order Systems via Nonlinearity Cancellation","Guo, M. (TU Delft Team Meichen Guo); De Persis, Claudio (Rijksuniversiteit Groningen); Tesi, Pietro (University of Florence)","","2023","A technique to design controllers for nonlinear systems from data consists of letting the controllers learn the nonlinearities, cancel them out and stabilize the closed-loop dynamics. When control and nonlinearities are unmatched, the technique leads to an approximate cancellation and local stability results are obtained. In this paper, we show that, if the system has some structure that the designer can exploit, an iterative use of the data leads to a globally stabilizing controller even when control and nonlinearities are unmatched.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Meichen Guo","","",""
"uuid:fbe87cba-3290-4c31-a66e-6cd5174ae249","http://resolver.tudelft.nl/uuid:fbe87cba-3290-4c31-a66e-6cd5174ae249","Practice of Planning Coordination for Crossborder Spatial Governance in the Yangtze River Delta Region: A Transformative Analysis in Meta-Governance","Su, D. (TU Delft Urban Development Management; Tsinghua University); Liu, Jian (Tsinghua University)","","2023","Nowadays, city clusters have become an important spatial form in the process of global urbanization, characterized by contiguous development across provincial, municipal, and county administrative boundaries, given all-around cross-border circulation of socio-economic factors at the regional scale has become the trend. In order to pursue their own interests, neighboring administrative regions are driven by localism and often deliberately ignore regional level neighborhood issues, especially ecological and environmental issues regarding “public goods”, coupled with weak cross-border spatial governance at the regional level, this has led to prominent negative externalities and constant conflicts in the development of cross-border areas, seriously affecting the developing quality of the region as a whole. This paper attempts to challenge the traditional technical concept of spatial planning, and introduce the meta-governance theory of public administration discipline. The study empirically demonstrates the Yangtze River Delta(YRD), the representative of China’s regional development and pioneer in cross-border ecological governance as an example, and identifies the evolution of the three-stage regional coordination model in the YDR region since the reform and opening up in China, and the successes and failures of planning meta-governance in the cross-border area under different goal-oriented approaches. This paper summarizes a regional planning meta-governance model with Chinese characteristics, to explore the mechanism of spatial planning, as a policy and technical tool for spatial governance, in responding to the ecological governance of crossborder space, and measures how it can effectively play a synergistic role in the regional scale.","Yangtze River Delta; cross-border; spatial governance; meta-governance; regional planning; spatial planning; coordination","en","conference paper","ENSAP Bordeaux","","","","","","","","","","Urban Development Management","","",""
"uuid:a2343b41-4cd9-4dd3-b921-ea2c03fe2985","http://resolver.tudelft.nl/uuid:a2343b41-4cd9-4dd3-b921-ea2c03fe2985","Dynamic Prediction of Delays in Software Projects using Delay Patterns and Bayesian Modeling","Kula, E. (TU Delft Software Engineering); Greuter, Eric; van Deursen, A. (TU Delft Software Engineering); Gousios, G. (TU Delft Software Technology)","","2023","Modern agile software projects are subject to constant change, making it essential to re-asses overall delay risk throughout the project life cycle. Existing effort estimation models are static and not able to incorporate changes occurring during project execution. In this paper, we propose a dynamic model for continuously predicting overall delay using delay patterns and Bayesian modeling. The model incorporates the context of the project phase and learns from changes in team performance over time. We apply the approach to real-world data from 4,040 epics and 270 teams at ING. An empirical evaluation of our approach and comparison to the state-of-the-art demonstrate significant improvements in predictive accuracy. The dynamic model consistently outperforms static approaches and the state-of-the-art, even during early project phases.","agile methods; delay prediction; delay patterns; bayesian modeling","en","conference paper","ACM DL","","","","","","","","","Software Technology","Software Engineering","","",""
"uuid:0bcaa237-1014-4390-a849-904a1d43e28f","http://resolver.tudelft.nl/uuid:0bcaa237-1014-4390-a849-904a1d43e28f","3D Land Administration: Current Status (2022) and Expectation for the Near Future (2026) – Initial Analysis","Kalogianni, E. (TU Delft Digital Technologies); van Oosterom, P.J.M. (TU Delft Digital Technologies); Lemmen, Christiaan (University of Twente); Ploeger, H.D. (TU Delft Urban Data Science); Thompson, Rodney; Karki, Sudarshan (Queensland Government); Shnaidman, Anna (The Survey of Israel); Abdul Rahman, Alias (Universiti Teknologi Malaysia)","","2023","This paper refers to the creation or update, organisation and initial analysis of the results from the 4th FIG 3D Land Administration Questionnaire, as an activity of the FIG Working Group 3D Land Administration 2022-2026.
The questionnaire on 3D Land Administration is conducted as a successor of the previous questionnaire on 3D Cadastres, which has been conducted three times till today, by the Working Group in 2010, 2014 and 2018. The first, documented the status in 2010 and expectations back then for 2014. This was followed by the second questionnaire (status 2014 and expectations 2018) and the third one (status of 2018 and plans for 2022).
All members/ countries the Working Group have been requested to provide information about the current the status of 3D Land Administration Systems/ Cadastres (at the end of 2022) and the expectations/plans for 2026. The purpose of the survey that is has been conducted and reported in this paper, is to make an inventory of the status of 3D Land Administration at the end of 2022 and the plans/ expectations for the near future (2026) from countries all over the world.
The completed questionnaires, per country are fully available via the participants’ page of the 3D Land Administration Working Group website. The responses have been analysed and reported in various publications (van Oosterom et al. 2011, van Oosterom et al. 2014 and Shnaidman et al., 2019). In total, thirty-seven (37) countries have completed the questionnaire and have been received by time of conducting the initial analysis as described in this paper. Similar to the previous questionnaires, it is likely that there will be some completed questionnaires that will be sent by the countries later.","land administration; 3D cadastres; LADM; worldwide survey","en","conference paper","","","","","","","","","","","Digital Technologies","","",""
"uuid:1eb5deea-9168-411c-8a18-644710d071a4","http://resolver.tudelft.nl/uuid:1eb5deea-9168-411c-8a18-644710d071a4","Are active soft particles suitable for particle jamming actuators?","Chen, Qianyi (TU Delft Transport Engineering and Logistics); Schott, D.L. (TU Delft Transport Engineering and Logistics); Jovanova, J. (TU Delft Transport Engineering and Logistics)","","2023","Soft grippers show adaptability and flexibility in grasping irregularly shaped and fragile objects. However, the soft grippers' low loading capacity and limited shaped fitting ability are the main limitations for developing large-scale applications, especially for heavy objects and objects with sharp edges. The particle jamming effect has emerged as an essential actuation method to adjust the stiffness of soft grippers and enhance the lifting force applied to heavy objects. However, in many large and more serious practical grasping applications, soft actuators are expected to show large scales and several-fold stiffness change, which is challenging to achieve the jamming effect in pneumatic or hydraulic systems. In this paper, a novel active particle jamming method is proposed for the design of a particle jamming-based soft gripper. The proposed method uses active hydrogel particles instead of vacuum pressure to achieve the jamming effect. Additionally, the bending behaviors are implemented based on the jamming effect and actuator design. The numerical model is carried out to explore the actuator behaviors, and a brief experiment case is conducted to verify the feasibility. The results indicated that the proposed actuator achieves the functionality of bending actions by swelling the hydrogel particles. The bending performance is enhanced by lowering the trigging temperature and increasing the thickness of the strain-limit layer. Additionally, there is a transition state from bending to curling when increasing the layer of particles.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-15","","","Transport Engineering and Logistics","","",""
"uuid:f1da7df8-f523-43ba-9524-d0c56b359d8b","http://resolver.tudelft.nl/uuid:f1da7df8-f523-43ba-9524-d0c56b359d8b","A Unified Approach to Optimally Solving Sensor Scheduling and Sensor Selection Problems in Kalman Filtering","Dutta, Shamak (University of Waterloo); Wilde, N. (TU Delft Learning & Autonomous Control); Smith, Stephen L. (University of Waterloo)","","2023","We consider a general form of the sensor scheduling problem for state estimation of linear dynamical systems, which involves selecting sensors that minimize the trace of the Kalman filter error covariance (weighted by a positive semidefinite matrix) subject to polyhedral constraints. This general form captures several well-studied problems including sensor placement, sensor scheduling with budget constraints, and Linear Quadratic Gaussian (LQG) control and sensing co-design. We present a mixed integer optimization approach that is derived by exploiting the optimality of the Kalman filter. While existing work has focused on approximate methods to specific problem variants, our work provides a unified approach to computing optimal solutions to the general version of sensor scheduling. In simulation, we show this approach finds optimal solutions for systems with 30 to 50 states in seconds.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Learning & Autonomous Control","","",""
"uuid:8e2ea5ab-9250-4ea6-863d-7f3e8a0a655f","http://resolver.tudelft.nl/uuid:8e2ea5ab-9250-4ea6-863d-7f3e8a0a655f","Design of a Demand Responsive Transport service using Distributed Constraint Optimization for airport access","Parmaksizoglou, I.A. (TU Delft Air Transport & Operations); Bombelli, A. (TU Delft Air Transport & Operations); Sharpanskykh, Alexei (TU Delft Air Transport & Operations)","","2023","Accessibility is one of the key performance indicators in the evaluation of a multimodal transport system and, as a result, transport planning has become increasingly more oriented towards it. Demand Responsive Transport (DRT) services have been proposed as a measure for increasing accessibility of a Public Transit (PT) network by servicing users in inaccessible areas. Through multimodal planning and coordination, a DRT service can be integrated within the extended PT network and supply the network optimally. In the context of PT users headed toward airports, an integrated DRT service is proposed for those with extended first-mile connections. This service makes use of taxis to transport users to transit points of a dedicated train line supplying a major European airport. Ride-sharing is considered, while optimal order of service and transit points for modal change are determined. To capture the decentralized nature of matching taxis to users, a multi-agent-based algorithm based on Distributed Constraint optimization Problems (DCOPs) is developed. Real-time information about routes and fixed schedules of the PT network are extracted via a dedicated routing Application Programming Interface (API). Experiments validate the applicability of the proposed solution by reporting a decrease in users’ first-mile travel time that is approximately analogous to the modal share the service captures.","Public Transit; Demand Responsive Transport; Distributed Constraint Optimization; First-mile ridesharing","en","conference paper","","","","","","","","2024-03-18","","","Air Transport & Operations","","",""
"uuid:442b79ff-b77a-44d9-bd92-482dab783d8b","http://resolver.tudelft.nl/uuid:442b79ff-b77a-44d9-bd92-482dab783d8b","Enhancing Explainability of Automated Vehicles with Culturally-Aware HMIs","Goodge, Thomas (University of Glasgow); Li, Jingyi (Ludwig Maximilians University); Patel, Shiv G. (University of British Columbia); Gravis, Victor (ENAC); Kim, S. (TU Delft Human Information Communication Design)","","2023","In the future, automated vehicles can allow much longer journeys to be undertaken without a driver. This could involve travel across countries with different road systems, traffic rules, and regulations. Disparate cultures also have different expectations of how vehicles should behave. These need to be taken into account when designing HMIs to convey vehicle behaviour and intent. In this work, we presented four scenarios where culturally-sensitive HMIs could benefit both drivers and other road users in a cross-cultural setting. This video will hopefully provoke further discussion and research interest in cross-culture human-vehicle interaction.","autonomous vehicles; cross-cultural;; eHMIs","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-18","","","Human Information Communication Design","","",""
"uuid:3f30a392-38f0-48d9-a90f-74d524d72431","http://resolver.tudelft.nl/uuid:3f30a392-38f0-48d9-a90f-74d524d72431","Capturing Chronology and Extreme Values of Representative Days for Planning of Transmission Lines and Long-Term Energy Storage Systems","Moradi Sepahvand, M. (TU Delft Intelligent Electrical Power Grids); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids)","","2023","The growing penetration of renewable energy sources (RESs) is inevitable to reach net zero emissions. In this regard, optimal planning and operation of power systems are becoming more critical due to the need for modeling the short-term variability of RES output power and load demand. Considering hourly time steps of one or more years to model the operational details in a long-term expansion planning scheme can lead to a practically unsolvable model. Therefore, a clustering-based hybrid time series aggregation algorithm is proposed in this paper to capture both extreme values and temporal dynamics of input data by some extracted representatives. The proposed method is examined in a complex co-planning model for transmission lines, wind power plants (WPPs), short-term battery and long-term pumped hydroelectric energy storage systems. The effectiveness of proposed mixed-integer linear programming (MILP) model is evaluated using a modified 6-bus Garver test system. The simulation results confirm the proposed model efficacy, especially in modeling long-term energy storage systems.","Energy Storage System; Representative Time Period; Transmission Expansion Planning; Time Series Aggregation; Wind Power Plant","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","","Intelligent Electrical Power Grids","","",""
"uuid:ec7f49c9-0955-46f4-96d8-f740e502d884","http://resolver.tudelft.nl/uuid:ec7f49c9-0955-46f4-96d8-f740e502d884","Residential Demand-Side Flexibility Provision Under a Multi-Level Segmented Tariff","Li, L. (TU Delft Intelligent Electrical Power Grids); Bruninx, K. (TU Delft Energie and Industrie); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids)","","2023","This paper proposes a multi-level segmented tariff to encourage consumers to provide demand response using a battery. The aim of the tariff is to (i) properly reflect consumers’ contribution to the distribution grid cost while ensuring cost recovery for the distribution network operator and (ii) to provide consumers with a financial incentive to flatten their load profile and avoid peak demand. An optimization problem is formulated to describe how consumers can provide demand response by managing their batteries. To evaluate the effectiveness of the proposed multi-level segmented tariff, four case studies were conducted. The results indicate that the multi-level segmented tariff is the most effective in reducing coincident peak demand, with a reduction of 22%. Policymakers and regulators are recommended to consider multi-level segmented distribution tariffs, as it provides an incentive to consumers to manage their assets to provide demand response.","Cost recovery; Cost reflectivity; Demand-side flexibility; Distribution network; Multi-level segmented tariff","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-30","","","Intelligent Electrical Power Grids","","",""
"uuid:c2e2a31e-e13a-4033-89f9-be3389efc23f","http://resolver.tudelft.nl/uuid:c2e2a31e-e13a-4033-89f9-be3389efc23f","Mean Field Game for Strategic Bidding of Energy Consumers in Congested Distribution Networks","Amirreza Silani, A. (TU Delft Intelligent Electrical Power Grids); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids)","","2023","The proliferation of batteries, photovoltaic cells and Electric Vehicles (EVs) in electric power networks can result in network congestion. A redispatch market that allows the Distribution System Operators (DSOs) to relieve congested networks by asking the energy consumers to adjust their scheduled consumption is an alternative to upgrading network capacity. However, energy consumers can strategically increase their bids on the day-ahead market in anticipation of payouts from the redispatch market. This behaviour, which is called increase-decrease gaming, can aggravate congestion and allow the energy consumers to extract windfall profits from the DSO. In this paper, we model the increase-decrease game for large populations of energy consumers in power networks using a mean field game approach. The agents (energy consumers) maximize their individual welfare on the day-ahead market with anticipation of the redispatch market, coupled via the electricity price. We show that there exists a Nash equilibrium for this game and use an algorithm that converges to the Nash equilibrium for the infinite population case.","Power systems; Game theory; Power generation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Intelligent Electrical Power Grids","","",""
"uuid:65bcb73a-e4f6-4e35-9ab5-975461fd2466","http://resolver.tudelft.nl/uuid:65bcb73a-e4f6-4e35-9ab5-975461fd2466","Reinforcement Learning by Guided Safe Exploration","Yang, Q. (TU Delft Algorithmics); Simão, T. D. (TU Delft Algorithmics); Jansen, Nils (Radboud Universiteit Nijmegen); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids); Spaan, M.T.J. (TU Delft Algorithmics)","Gal, Kobi (editor); Gal, Kobi (editor); Nowe, Ann (editor); Nalepa, Grzegorz J. (editor); Fairstein, Roy (editor); Radulescu, Roxana (editor)","2023","Safety is critical to broadening the application of reinforcement learning (RL). Often, we train RL agents in a controlled environment, such as a laboratory, before deploying them in the real world. However, the real-world target task might be unknown prior to deployment. Reward-free RL trains an agent without the reward to adapt quickly once the reward is revealed. We consider the constrained reward-free setting, where an agent (the guide) learns to explore safely without the reward signal. This agent is trained in a controlled environment, which allows unsafe interactions and still provides the safety signal. After the target task is revealed, safety violations are not allowed anymore. Thus, the guide is leveraged to compose a safe behaviour policy. Drawing from transfer learning, we also regularize a target policy (the student) towards the guide while the student is unreliable and gradually eliminate the influence of the guide as training progresses. The empirical analysis shows that this method can achieve safe transfer learning and helps the student solve the target task faster.","","en","conference paper","","","","","","","","","","","Algorithmics","","",""
"uuid:9a69967b-366e-4f6a-bdbc-9f7fc9f71c67","http://resolver.tudelft.nl/uuid:9a69967b-366e-4f6a-bdbc-9f7fc9f71c67","Towards establishing an automated selection framework for underwater image enhancement methods","Ilioudi, A. (TU Delft Team Bart De Schutter); Wolf, B.J. (University Medical Center Groningen); Dabiri, A. (TU Delft Team Azita Dabiri); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","The majority of computer vision architectures are developed based on the assumption of the availability of good quality data. However, this is a particularly hard requirement to achieve in underwater conditions. To address this limitation, plenty of underwater image enhancement methods have received considerable attention during the last decades, but due to the lack of a commonly accepted framework to systematically evaluate them and to determine the likely optimal one for a given image, their adoption in practice is hindered, since it is not clear which one can achieve the best results. In this paper, we propose a standardized selection framework to evaluate the quality of an underwater image and to estimate the most suitable image enhancement technique based on its impact on the image classification performance.","computer vision; image processing; underwater image enhancement","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-12","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:2a9beebc-2e13-4e17-b897-3af3e24ca72b","http://resolver.tudelft.nl/uuid:2a9beebc-2e13-4e17-b897-3af3e24ca72b","Comparative performance of high and medium resolution cameras for defect detection in carbon-fiber reinforced composites by digital shearography","Abedin, K.M. (TU Delft Structural Integrity & Composites; College of Science, Sultan Qaboos University); Tao, N. (TU Delft Structural Integrity & Composites); Anisimov, A. (TU Delft Structural Integrity & Composites); Groves, R.M. (TU Delft Structural Integrity & Composites)","Lehmann, Peter (editor)","2023","The performance of defect detection in composite materials using digital shearography is important for correct decision-making in non-destructive testing. In this work, we compared a high-resolution 24-megapixel digital still camera (DSLR) and a conventional medium-resolution 5-megapixel camera to determine the detectability of blind holes in an aerospace-graded carbon-fiber reinforced polymer (CFRP) sample. The hole diameters ranged from 0.2 to 3 mm with a material thickness of 4 mm and the test sample dimensions of 200×200 mm. The sample was heated and observed from the front (defect-free side) by three halogen lamps for 5 minutes in pulsed heating mode. Speckle interferograms were acquired during the heating and cooling phases from both cameras simultaneously using identical shearing interferometers and shearing distances. Phase maps were calculated using the 4+4 temporal phase step algorithm and then unwrapped. Further, defect-induced deformation (DID) phase maps were obtained by polynomial curve fitting. The DID phase maps obtained from the two cameras were compared. Blind holes with diameters up to 1 mm were detected, which are one of the smallest defects detected with shearography and reported in literature. In addition, the DLSR camera was able to detect holes of 0.8 mm in diameter. We observed that nearly comparable detection capabilities were obtained from both cameras, even though the spatial resolution of the second camera (DLSR) was 5 times higher. Possible reasons of this limitation include effects such as fiber-related deformation in CFRP and speckle noise.","carbon-fiber reinforced polymer; composites; digital shearography; high-resolution camera; nondestructive testing; thermal loading","en","conference paper","SPIE","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:b27dd57a-69cb-4bf8-adee-bde1fe254b67","http://resolver.tudelft.nl/uuid:b27dd57a-69cb-4bf8-adee-bde1fe254b67","BreatheWithMe: Exploring Visual and Vibrotactile Displays for Social Breath Awareness during Colocated, Collaborative Tasks","El Ali, Abdallah (Centrum Wiskunde & Informatica (CWI)); Stepanova, Ekaterina R. (Simon Fraser University); Palande, Shalvi (University of Twente); Mader, Angelika (University of Twente); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI)); Jansen, K.M.B. (TU Delft Emerging Materials)","","2023","Sharing breathing signals has the capacity to provide insights into hidden experiences and enhance interpersonal communication. However, it remains unclear how the modality of breath signals (visual, haptic) is socially interpreted during collaborative tasks. In this mixed-methods study, we design and evaluate BreatheWithMe, a prototype for real-time sharing and receiving of breathing signals through visual, vibrotactile, or visual-vibrotactile modalities. In a within-subjects study (15 pairs), we investigated the effects of modality on breathing synchrony, social presence, and overall user experience. Key findings showed: (a) there were no significant effects of visualization modality on breathing synchrony, only on deliberate music-driven synchronization; (b) visual modality was preferred over vibrotactile feedback, despite no differences across social presence dimensions; (c) BreatheWithMe was perceived to be an insightful window into others, however included data exposure and social acceptability concerns. We contribute insights into the design of multi-modal real-time breathing visualization systems for colocated, collaborative tasks.","awareness; Breathing; collaborative; dyadic; haptics; LED; mutltimodal; respiration; social interactions; visual","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","","Multimedia Computing","","",""
"uuid:f4cc736b-7a3e-4ffc-9cd7-bd7078c4253c","http://resolver.tudelft.nl/uuid:f4cc736b-7a3e-4ffc-9cd7-bd7078c4253c","FeelTheNews: Augmenting Affective Perceptions of News Videos with Thermal and Vibrotactile Stimulation","Ooms, Simone (Eindhoven University of Technology; Centrum Wiskunde & Informatica (CWI)); Lee, Minha (Eindhoven University of Technology; Centrum Wiskunde & Informatica (CWI)); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI)); El Ali, Abdallah (Centrum Wiskunde & Informatica (CWI))","","2023","Emotion plays a key role in the emerging wave of immersive, multi-sensory audience news engagement experiences. Since emotions can be triggered by somatosensory feedback, in this work we explore how augmenting news video watching with haptics can influence affective perceptions of news. Using a mixed-methods approach, we design and evaluate FeelTheNews, a prototype that combines vibrotactile and thermal stimulation (Matching, 70Hz/20° C, 200Hz/40° C) during news video watching. In a within-subjects study (N=20), we investigate the effects of haptic stimulation and video valence on perceived valence, emotion intensity, comfort, and overall haptic experiences. Findings showed: (a) news valence and emotion intensity ratings were not affected by haptics, (b) no stimulation was more comfortable than including stimulation, (c) attention and engagement with the news can override haptic sensations, and (d) users' perceived agency over their reactions is critical to avoid distrust. We contribute cautionary insights for haptic augmentation of the news watching experience.","emotion; haptics; journalism; news; thermal; vibrotactile","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","","Multimedia Computing","","",""
"uuid:bea3b756-0310-4a3e-aabd-cbb8a36a3e50","http://resolver.tudelft.nl/uuid:bea3b756-0310-4a3e-aabd-cbb8a36a3e50","Affective Driver-Pedestrian Interaction: Exploring Driver Affective Responses toward Pedestrian Crossing Actions using Camera and Physiological Sensors","Rao, Shruti (Universiteit van Amsterdam); Wirjopawiro, Sabrina (Centrum Wiskunde & Informatica (CWI)); Pons Rodriguez, Gerard (Centrum Wiskunde & Informatica (CWI)); Röggla, Thomas (Centrum Wiskunde & Informatica (CWI)); Cesar, Pablo (TU Delft Multimedia Computing); El Ali, Abdallah (Centrum Wiskunde & Informatica (CWI))","","2023","Eliciting and capturing drivers' affective responses in a realistic outdoor setting with pedestrians poses a challenge when designing in-vehicle, empathic interfaces. To address this, we designed a controlled, outdoor car driving circuit where drivers (N=27) drove and encountered pedestrian confederates who performed non-verbal positive or non-positive road crossing actions towards them. Our findings reveal that drivers reported higher valence upon observing positive, non-verbal crossing actions, and higher arousal upon observing non-positive crossing actions. Drivers' heart signals (BVP, IBI and BPM), skin conductance and facial expressions (brow lowering, eyelid tightening, nose wrinkling, and lip stretching) all varied significantly when observing positive and non-positive actions. Our car driving study, by drawing on realistic driving conditions, further contributes to the development of in-vehicle empathic interfaces that leverage behavioural and physiological sensing. Through automatic inference of driver affect resulting from pedestrian actions, our work can enable novel empathic interfaces for supporting driver emotion self-regulation.","driver emotion recognition; empathic cars; facial expression analysis; outdoor driving circuit; pedestrian non-verbal crossing actions; physiological sensing; thermal sensing","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Multimedia Computing","","",""
"uuid:8bc32aee-42f4-45fd-b5d0-7f84d4b8642c","http://resolver.tudelft.nl/uuid:8bc32aee-42f4-45fd-b5d0-7f84d4b8642c","Searching for the Whole Truth: Harnessing the Power of Intellectual Humility to Boost Better Search on Debated Topics","Rieger, A. (TU Delft Web Information Systems); Bredius, F. (TU Delft Electrical Engineering, Mathematics and Computer Science); Tintarev, N. (TU Delft Web Information Systems; Universiteit Maastricht); Pera, M.S. (TU Delft Web Information Systems)","","2023","We often use search engines when seeking information for opinion-forming and decision-making on debated topics. However, searching for resources on debated topics to gain well-rounded knowledge is cognitively demanding, leaving us vulnerable to cognitive biases, such as confirmation bias. This can impede well-informed decision-making, and on a societal level, snowball to compel extremism and polarization. Most existing approaches to support better search apply nudges that directly modify user behavior. Such interventions bear the risk of harming user autonomy. Here, we discuss the shift we envision towards autonomy-preserving interventions that boost users' metacognitive skills, specifically their intellectual humility (IH)-the ability to recognize the fallibility of one's beliefs and the limits of one's knowledge. While simple interventions to boost IH have shown promise, the effect on users' search behavior has yet to be investigated. We present critical research questions, challenges, and an initial research plan to advance knowledge in this area.","Boosting; Cognitive Bias Mitigation; Intellectual Humility; Opinion Formation; Web Search","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","Web Information Systems","","",""
"uuid:153b848d-2921-4528-aa66-13fd975fd181","http://resolver.tudelft.nl/uuid:153b848d-2921-4528-aa66-13fd975fd181","On the Globalization of the QAnon Conspiracy Theory Through Telegram","Hoseini, Mohamad (Max Planck Institut für Informatik); Melo, Philipe (Universidade Federal de Minas Gerais); Benevenuto, Fabricio (Universidade Federal de Minas Gerais); Feldmann, Anja (Max Planck Institut für Informatik); Zannettou, S. (TU Delft Organisation & Governance)","","2023","QAnon is a far-right conspiracy theory that has implications in the real world, with supporters of the theory participating in real-world violent acts like the US capitol attack in 2021. At the same time, the QAnon theory started evolving into a global phenomenon by attracting followers across the globe and, in particular, in Europe, hence it is imperative to understand how QAnon has become a worldwide phenomenon and how this dissemination has been happening in the online space. This paper performs a large-scale data analysis of QAnon through Telegram by collecting 4.4M messages posted in 161 QAnon groups/channels. Using Google's Perspective API, we analyze the toxicity of QAnon content across languages and over time. Also, using a BERT-based topic modeling approach, we analyze the QAnon discourse across multiple languages. Among other things, we find that the German language is prevalent in our QAnon dataset, even overshadowing English after 2020. Also, we find that content posted in German and Portuguese tends to be more toxic compared to English. Our topic modeling indicates that QAnon supporters discuss various topics of interest within far-right movements, including world politics, conspiracy theories, COVID-19, and the anti-vaccination movement. Taken all together, we perform the first multilingual study on QAnon through Telegram and paint a nuanced overview of the globalization of QAnon.","QAnon; social media; Telegram; topic modeling; toxicity analysis","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Organisation & Governance","","",""
"uuid:2a445dad-39b5-4fa1-b0b6-e2541e68aa70","http://resolver.tudelft.nl/uuid:2a445dad-39b5-4fa1-b0b6-e2541e68aa70","Faulty or Ready? Handling Failures in Deep-Learning Computer Vision Models until Deployment: A Study of Practices, Challenges, and Needs","Balayn, A.M.A. (TU Delft Web Information Systems); Rikalo, N. (TU Delft Human-Centred Artificial Intelligence); Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2023","Handling failures in computer vision systems that rely on deep learning models remains a challenge. While an increasing number of methods for bug identification and correction are proposed, little is known about how practitioners actually search for failures in these models. We perform an empirical study to understand the goals and needs of practitioners, the workflows and artifacts they use, and the challenges and limitations in their process. We interview 18 practitioners by probing them with a carefully crafted failure handling scenario. We observe that there is a great diversity of failure handling workflows in which cooperations are often necessary, that practitioners overlook certain types of failures and bugs, and that they generally do not rely on potentially relevant approaches and tools originally stemming from research. These insights allow to draw a list of research opportunities, such as creating a library of best practices and more representative formalisations of practitioners' goals, developing interfaces to exploit failure handling artifacts, as well as providing specialized training.","debugging; explainability; machine learning testing; practices","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:51f52d91-9f9d-4686-b2d7-ffaf5e076955","http://resolver.tudelft.nl/uuid:51f52d91-9f9d-4686-b2d7-ffaf5e076955","Disentangling Fairness Perceptions in Algorithmic Decision-Making: The Effects of Explanations, Human Oversight, and Contestability","Yurrita Semperena, M. (TU Delft Human Information Communication Design); Draws, T.A. (TU Delft Web Information Systems); Balayn, A.M.A. (TU Delft Organisation & Governance; TU Delft Web Information Systems); Murray-Rust, D.S. (TU Delft Human Information Communication Design); Tintarev, N. (Universiteit Maastricht); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2023","Recent research claims that information cues and system attributes of algorithmic decision-making processes affect decision subjects' fairness perceptions. However, little is still known about how these factors interact. This paper presents a user study (N = 267) investigating the individual and combined effects of explanations, human oversight, and contestability on informational and procedural fairness perceptions for high- and low-stakes decisions in a loan approval scenario. We find that explanations and contestability contribute to informational and procedural fairness perceptions, respectively, but we find no evidence for an effect of human oversight. Our results further show that both informational and procedural fairness perceptions contribute positively to overall fairness perceptions but we do not find an interaction effect between them. A qualitative analysis exposes tensions between information overload and understanding, human involvement and timely decision-making, and accounting for personal circumstances while maintaining procedural consistency. Our results have important design implications for algorithmic decision-making processes that meet decision subjects' standards of justice.","algorithmic decision-making; contestability; explanations; fairness perceptions; human oversight","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Human Information Communication Design","","",""
"uuid:04bdae10-d1b5-439e-a933-ae39abe27500","http://resolver.tudelft.nl/uuid:04bdae10-d1b5-439e-a933-ae39abe27500","Knowing About Knowing: An Illusion of Human Competence Can Hinder Appropriate Reliance on AI Systems","He, G. (TU Delft Web Information Systems); Kuiper, L.A. (TU Delft Externenregistratie); Gadiraju, Ujwal (TU Delft Web Information Systems)","","2023","The dazzling promises of AI systems to augment humans in various tasks hinge on whether humans can appropriately rely on them. Recent research has shown that appropriate reliance is the key to achieving complementary team performance in AI-assisted decision making. This paper addresses an under-explored problem of whether the Dunning-Kruger Effect (DKE) among people can hinder their appropriate reliance on AI systems. DKE is a metacognitive bias due to which less-competent individuals overestimate their own skill and performance. Through an empirical study (N = 249), we explored the impact of DKE on human reliance on an AI system, and whether such effects can be mitigated using a tutorial intervention that reveals the fallibility of AI advice, and exploiting logic units-based explanations to improve user understanding of AI advice. We found that participants who overestimate their performance tend to exhibit under-reliance on AI systems, which hinders optimal team performance. Logic units-based explanations did not help users in either improving the calibration of their competence or facilitating appropriate reliance. While the tutorial intervention was highly effective in helping users calibrate their self-assessment and facilitating appropriate reliance among participants with overestimated self-assessment, we found that it can potentially hurt the appropriate reliance of participants with underestimated self-assessment. Our work has broad implications on the design of methods to tackle user cognitive biases while facilitating appropriate reliance on AI systems. Our findings advance the current understanding of the role of self-assessment in shaping trust and reliance in human-AI decision making. This lays out promising future directions for relevant HCI research in this community.","Appropriate Reliance; Dunning-Kruger Effect; Human-AI Decision Making; XAI","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:a14cf8e2-e79e-492b-8ae0-4a0c9a2090b8","http://resolver.tudelft.nl/uuid:a14cf8e2-e79e-492b-8ae0-4a0c9a2090b8","Are Female Chatbots More Empathic? - Discussing Gendered Conversational Agent through Empathic Design","Jung, Ji-Youn (TU Delft Human-Centred Artificial Intelligence); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2023","Studies have shown that feminine chatbots are perceived as warmer (e.g., likable, friendly) and imbue more humanness to a machine than masculine or gender-androgynous chatbots. As chatbots are being widely deployed in various empathic contexts (e.g., revealing sensitive personal information or facilitating charity donations), how to design the gender of chatbots remains a critical question in the empathic design community. Should designers assign feminine identities to chatbots to improve empathic reactions? In this position paper, we explore the tension between designing empathic agents and the gender assignment of chatbots and how they can relate to the design of the metaphor of the chatbots. After analyzing the problem, we discuss the possible design strategy and their trade-offs. We conclude with possible future work directions that could inform CA gender design that elicits user empathy.","conversational agents; empathy-centric design; gender","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Human-Centred Artificial Intelligence","","",""
"uuid:32445aa1-c456-4024-82c4-efe4a9c00e41","http://resolver.tudelft.nl/uuid:32445aa1-c456-4024-82c4-efe4a9c00e41","ALAMBIC: Active Learning Automation with Methods to Battle Inefficient Curation","Nachtegael, Charlotte (Universite' Libre de Bruxelles (ULB); Vrije Universiteit Brussel); De Stefani, J. (TU Delft Information and Communication Technology); Lenaerts, Tom (Universite' Libre de Bruxelles (ULB); Vrije Universiteit Brussel)","","2023","In this paper, we present ALAMBIC, an open-source dockerized web-based platform for annotating text data through active learning for classification tasks. Active learning is known to reduce the need of labelling, a time-consuming task, by selecting the most informative instances among the unlabelled instances, reaching an optimal accuracy faster than by just randomly labelling data. ALAMBIC integrates all the steps from data import to customization of the (active) learning process and annotation of the data, with indications of the progress of the trained model that can be downloaded and used in downstream tasks. Its architecture also allows the easy integration of other types of models, features and active learning strategies. The code is available on https://trusted-ai-labs.github/ALAMBIC/ and a video demonstration is available on https://youtu.be/4oh8UADfEmY.","","en","conference paper","Association for Computational Linguistics (ACL)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-15","","","Information and Communication Technology","","",""
"uuid:5596fc65-4d1e-4f25-9c54-3ddeaac0c066","http://resolver.tudelft.nl/uuid:5596fc65-4d1e-4f25-9c54-3ddeaac0c066","A Hybrid Approach for Considering Topography in Graph-Based Optimization of Water Distribution Networks","Sitzenfrei, Robert (University of Innsbruck); Qiu, Mengning (Technion); Ostfeld, Avi (Technion); Savic, Dragan (University of Exeter; KWR Water Research Institute); Kapelan, Z. (TU Delft Sanitary Engineering)","Ahmad, Sajjad (editor); Murray, Regan (editor)","2023","Water distribution networks (WDNs) are a vital component of urban water infrastructure. They transport water from production sites (sources) to spatially distributed consumers (sinks). Multiobjective optimization procedures are often used to minimize construction costs and at the same time maximize the resilience of such systems, which is usually a very computationally expensive task. Recently, highly efficient approaches based on complex network analysis (CNA) have been developed to solve this task more computationally efficiently. With CNA, very large WDNs can be optimized, considering network topology and demand distribution (using, e.g., demand edge betweenness centrality). However, existing CNA approaches do not consider network topography (i.e., height differences between sources and sinks). Comparing design solutions based on CNA with those found by evolutionary algorithms shows that the least-cost CNA design cannot compete with the latter. In this work, a hybrid approach is developed, where low-cost design CNA solutions are evaluated with a hydraulic solver (Epanet2), and subsequently the demand edge betweenness centrality distribution is iteratively altered for nodes with pressure deficits. This enhanced CNA-based optimization is tested on two different large case studies from the literature and shows promising results (2% cost increase). These solutions were obtained using significantly less computational effort (at least factor 1,000 faster), enabling solving very large WDN optimization problems (>150,000 decision variables).","demand edge betweenness centrality; graph; least cost design; multi-objective optimization; resilience","en","conference paper","American Society of Civil Engineers (ASCE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-21","","","Sanitary Engineering","","",""
"uuid:d934d08a-d67b-44c6-a6f5-c4a140e06a37","http://resolver.tudelft.nl/uuid:d934d08a-d67b-44c6-a6f5-c4a140e06a37","Attribute Inference Attacks in Online Multiplayer Video Games: A Case Study on DOTA2","Tricomi, Pier Paolo (Università degli Studi di Padova); Facciolo, Lisa (Università degli Studi di Padova); Apruzzese, Giovanni (Universität Liechtenstein); Conti, M. (TU Delft Cyber Security)","","2023","Did you know that over 70 million of Dota2 players have their in-game data freely accessible? What if such data is used in malicious ways? This paper is the first to investigate such a problem. Motivated by the widespread popularity of video games, we propose the first threat model for Attribute Inference Attacks (AIA) in the Dota2 context. We explain how (and why) attackers can exploit the abundant public data in the Dota2 ecosystem to infer private information about its players. Due to lack of concrete evidence on the efficacy of our AIA, we empirically prove and assess their impact in reality. By conducting an extensive survey on 500 Dota2 players spanning over 26k matches, we verify whether a correlation exists between a player's Dota2 activity and their real-life. Then, after finding such a link (p < 0.01 and ρ > 0.3), we ethically perform diverse AIA. We leverage the capabilities of machine learning to infer real-life attributes of the respondents of our survey by using their publicly available in-game data. Our results show that, by applyingdomain expertise, some AIA can reach up to 98% precision and over 90% accuracy. This paper hence raises the alarm on a subtle, but concrete threat that can potentially affect the entire competitive gaming landscape. We alerted the developers of Dota2.","attribute inference attack; dota2; machine learning; video games","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-04","","","Cyber Security","","",""
"uuid:2746aaa3-a5c0-44ce-b221-f9aa2bfa9db8","http://resolver.tudelft.nl/uuid:2746aaa3-a5c0-44ce-b221-f9aa2bfa9db8","Conversation Starters: How Can We Misunderstand AI Better?","Nicenboim, I. (TU Delft Human Information Communication Design); Venkat, Shruthi (Student TU Delft); Rustad, Neva Linn (Student TU Delft); Vardanyan, D. (TU Delft Arts & Crafts); Giaccardi, Elisa (TU Delft Human Information Communication Design); Redström, Johan (Umeå University)","","2023","Conversation Starters is a series of interactive prototypes that probe how to design explainable interactions with AI in everyday life. Taking a more-than-human approach, we explore how 'failures' could be transformed into opportunities for situated understandings of AI. We describe the process of designing fictional artifacts and scenarios about conversational agents that can grow at home. While overall the project suggests that misunderstandings could help people develop sensitivities for knowing when to trust AI systems, the metaphor of 'growing an AI' (which positions training as a matter of care), highlights that practices of sharing and experimenting could be valuable starting points for designing explainable and trustworthy interactions with of AI.","Artificial Intelligence; Conversational Agents; Conversational User Interfaces; Explainability of AI; More-than-human Design","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","","Human Information Communication Design","","",""
"uuid:4d169bd4-c8f9-4fb3-8bd8-45b4a3a05494","http://resolver.tudelft.nl/uuid:4d169bd4-c8f9-4fb3-8bd8-45b4a3a05494","The Human Factors of AI-Empowered Knowledge Sharing","Kernan Freire, S. (TU Delft Internet of Things)","","2023","Many industries are facing the challenge of how to capture workers' knowledge such that it can be shared, in particular tacit knowledge. The operation of complex systems such as a manufacturing line is knowledge-intensive, especially if the operator must frequently reconfigure it for different products. Considering the breadth and dynamic nature of this knowledge, existing solutions for sharing knowledge (e.g., word-of-mouth, issue reports, document creation, and decision support systems) are inefficient and/or resource-intensive. Conversational user interfaces are an efficient way to convey information that mimics the way humans share knowledge; however, we know little about how to design them specifically for this purpose, especially regarding tacit knowledge. In this work, my main goal is to investigate how a cognitive assistant can be designed to facilitate (tacit) knowledge transfer between users of dynamic complex systems. I aim to achieve this by outlining the design requirements, challenges, and opportunities in factories; by collaboratively designing, implementing, and evaluating a cognitive assistant for sharing knowledge; studying the effects of design characteristics on aspects such as user experience; and finally, creating a set of design guidelines.","chatbots; cognitive assistant; human-centred AI; industry 5.0; knowledge sharing","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-31","","","Internet of Things","","",""
"uuid:3f440ee6-7e1e-421a-9536-64d6ffef4ab4","http://resolver.tudelft.nl/uuid:3f440ee6-7e1e-421a-9536-64d6ffef4ab4","HybridEval: A Human-AI Collaborative Approach for Evaluating Design Ideas at Scale","Mesbah, Sepideh (Booking, Amsterdam); Arous, Ines (University of Fribourg); Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2023","Evaluating design ideas is necessary to predict their success and assess their impact early on in the process. Existing methods rely either on metrics computed by systems that are effective but subject to errors and bias, or experts' ratings, which are accurate but expensive and long to collect. Crowdsourcing offers a compelling way to evaluate a large number of design ideas in a short amount of time while being cost-effective. Workers' evaluation is, however, less reliable and might substantially differ from experts' evaluation. In this work, we investigate workers' rating behavior and compare it with experts. First, we instrument a crowdsourcing study where we asked workers to evaluate design ideas from three innovation challenges. We show that workers share similar insights with experts but tend to rate more generously and weigh certain criteria more importantly. Next, we develop a hybrid human-AI approach that combines a machine learning model with crowdsourcing to evaluate ideas. Our approach models workers' reliability and bias while leveraging ideas' textual content to train a machine learning model. It is able to incorporate experts' ratings whenever available, to supervise the model training and infer worker performance. Results show that our framework outperforms baseline methods and requires significantly less training data from experts, thus providing a viable solution for evaluating ideas at scale.","crowdsourcing; human-AI collaboration; Idea evaluation; scalability","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:dc4a53b8-f25d-4d0a-b081-a7e91d794c17","http://resolver.tudelft.nl/uuid:dc4a53b8-f25d-4d0a-b081-a7e91d794c17","SpectraLux: Towards Exploiting the Full Spectrum with Passive VLC","Ghiasi, S.K. (TU Delft Networked Systems); Dsouza, V.K.P. (TU Delft Embedded Systems); Langendoen, K.G. (TU Delft Embedded Systems); Zuniga, Marco (TU Delft Networked Systems)","","2023","In recent years, the number of wireless applications has increased significantly, resulting in the radio bands becoming expensive and prone to interference. There is a new research area aiming at mitigating these issues by creating communication links using ambient light. This area, called passive-VLC, not only exploits the visible light frequencies, but does so with low-power transmitters. All the previous work in passive-VLC, however, forget about individual wavelength bands of light, and do not exploit its wide spectrum, reducing the potential channel capacity. In this paper, we propose a novel method to transmit and decode data, using liquid crystal cells that modulate and consider the full spectrum, and put it to the test by prototyping a multi-symbol communication link. The main contribution of our work is to show that passive-VLC can move from spectrum-agnostic to spectrum-aware modulation. We explore this new domain by making use of a novel type of receiver (i.e., a spectrometer) and uncovering the advantages and caveats of this spectrum-aware approach.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-09","","","Networked Systems","","",""
"uuid:814b2ec0-11a1-4080-a782-c43d100ab130","http://resolver.tudelft.nl/uuid:814b2ec0-11a1-4080-a782-c43d100ab130","Interval Markov Decision Processes with Continuous Action-Spaces","Delimpaltadakis, Giannis (Eindhoven University of Technology); Lahijanian, Morteza (University of Colorado); Mazo, M. (TU Delft Team Manuel Mazo Jr); Laurenti, L. (TU Delft Team Luca Laurenti)","","2023","Interval Markov Decision Processes (IMDPs) are finite-state uncertain Markov models, where the transition probabilities belong to intervals. Recently, there has been a surge of research on employing IMDPs as abstractions of stochastic systems for control synthesis. However, due to the absence of algorithms for synthesis over IMDPs with continuous action-spaces, the action-space is assumed discrete a-priori, which is a restrictive assumption for many applications. Motivated by this, we introduce continuous-action IMDPs (caIMDPs), where the bounds on transition probabilities are functions of the action variables, and study value iteration for maximizing expected cumulative rewards. Specifically, we decompose the max-min problem associated to value iteration to |Q| max problems, where |Q| is the number of states of the caIMDP. Then, exploiting the simple form of these max problems, we identify cases where value iteration over caIMDPs can be solved efficiently (e.g., with linear or convex programming). We also gain other interesting insights: e.g., in certain cases where the action set A is a polytope, synthesis over a discrete-action IMDP, where the actions are the vertices of A, is sufficient for optimality. We demonstrate our results on a numerical example. Finally, we include a short discussion on employing caIMDPs as abstractions for control synthesis.","bounded-parameter Markov decision processes; control synthesis; planning under uncertainty; uncertain Markov decision processes; value iteration","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Team Manuel Mazo Jr","","",""
"uuid:f7b79a56-783a-44db-9756-44d927abca22","http://resolver.tudelft.nl/uuid:f7b79a56-783a-44db-9756-44d927abca22","Tacit Knowledge Elicitation for Shop-floor Workers with an Intelligent Assistant","Kernan Freire, S. (TU Delft Internet of Things); Wang, C.W. (TU Delft Human-Centred Artificial Intelligence); Ruiz Arenas, S. (Universidad EAFIT); Niforatos, E. (TU Delft Internet of Things)","","2023","Many industries face the challenge of capturing workers' knowledge to share it, particularly tacit knowledge. The operation of complex systems such as a manufacturing line is knowledge-intensive. Considering this knowledge's breadth and dynamic nature, existing knowledge-sharing solutions are inefficient and resource intensive. Conversational user interfaces are an efficient way to convey information that mimics how humans share knowledge; however, we know little about how to design them specifically for knowledge sharing, especially regarding tacit knowledge. In this work, we present an intelligent assistant that we have developed to support the elicitation of tacit knowledge from workers through systematic reflection. The system can interact with workers by voice or text and generate visualizations of shop floor data to support reflective prompts.","chatbots; human-centered AI; industry 5.0; intelligent assistant; knowledge sharing; systematic reflection; tacit knowledge","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","2023-10-19","","","Internet of Things","","",""
"uuid:e60b7c5e-ce2e-4b52-a3b7-1178b54afe5e","http://resolver.tudelft.nl/uuid:e60b7c5e-ce2e-4b52-a3b7-1178b54afe5e","Analytical and numerical bounds on entanglement delivery waiting times","Brand, Sebastiaan (Universiteit Leiden); Coopmans, T.J. (Universiteit Leiden); Elkouss Coronas, D. (TU Delft Quantum Computer Science; TU Delft QuTech Advanced Research Centre; Okinawa Institute of Science and Technology Graduate University); Li, Boxi (Forschungszentrum Jülich GmbH)","Hemmer, Philip R. (editor); Migdall, Alan L. (editor)","2023","The vision of a global network that enables quantum communications between any point on Earth is known as the quantum internet. One crucial element of this network is the use of quantum repeater chains, which have the potential to overcome transmission losses and implement entanglement or quantum key distribution protocols over extended distances. There are various proposals for quantum repeaters, but they can generally be evaluated based on two main figures of merit: the average time for end-to-end entanglement delivery and the associated average fidelity. However, characterizing these quantities can be difficult due to factors such as feedback loops, decoherence, entanglement generation being a probabilistic process, and the potential failure of subprotocols. In this talk, I will discuss algorithmic and analytical methods for computing these quantities for relevant families of protocols.","Entanglement; Quantum Communication; Quantum Internet; Quantum Networks; Quantum Repeaters; Waiting Time","en","conference paper","SPIE","","","","","","","2023-09-08","","","Quantum Computer Science","","",""
"uuid:d4748324-fa80-4da6-ac52-55d1a11b5ca3","http://resolver.tudelft.nl/uuid:d4748324-fa80-4da6-ac52-55d1a11b5ca3","AI-based Simultaneous Audio Localization and Communication for Robots","Mjaid, Amjad Yousef (Martel-Innovate); Venkatesha Prasad, Ranga Rao (TU Delft Networked Systems); Jonker, Mees (Student TU Delft); Van Der Horst, Casper (Student TU Delft); De Groot, Lucan (Student TU Delft); Narayana, S. (TU Delft Networked Systems)","","2023","Introducing Chirpy, a hardware module designed for swarm robots that enables them to locate each other and communicate through audio. With the help of its deep learning module (AudioLocNet), Chirpy is capable of performing localization in challenging environments, such as those with non-line-of-sight and reverb. To support concurrent transmission, Chirpy uses orthogonal audio chirps and has an audio message frame design that balances localization accuracy and communication speed. As a result, a swarm of robots equipped with Chirpies can on-the-fly construct a path (or a potential field) to a location of interest without the need for a map, making them ideal for tasks such as search and rescue missions. Our experiments show that Chirpy can decode messages from four concurrent transmissions with a Bit Error Rate (BER) of at a distance of 250 cm, and it can communicate at Signal-to-Noise Ratios (SNRs) as low as -32 dB while maintaining ≈ 0 BER. Furthermore, AudioLocNet demonstrates high accuracy in classifying the location of a transmitter, even in adverse conditions such as non-line-of-sight and reverberant environments.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Networked Systems","","",""
"uuid:59197d24-8211-43da-b74b-37db18ad43d1","http://resolver.tudelft.nl/uuid:59197d24-8211-43da-b74b-37db18ad43d1","ConceptEVA: Concept-Based Interactive Exploration and Customization of Document Summaries","Zhang, Xiaoyu (University of California); Li, Jianping (University of California); Chi, Po Wei (None); Chandrasegaran, R.S.K. (TU Delft Methodologie en Organisatie van Design); Ma, Kwan Liu (University of California)","","2023","With the most advanced natural language processing and artificial intelligence approaches, effective summarization of long and multi-topic documents - such as academic papers - for readers from different domains still remains a challenge. To address this, we introduce ConceptEVA, a mixed-initiative approach to generate, evaluate, and customize summaries for long and multi-topic documents. ConceptEVA incorporates a custom multi-task longformer encoder decoder to summarize longer documents. Interactive visualizations of document concepts as a network reflecting both semantic relatedness and co-occurrence help users focus on concepts of interest. The user can select these concepts and automatically update the summary to emphasize them. We present two iterations of ConceptEVA evaluated through an expert review and a within-subjects study. We find that participants' satisfaction with customized summaries through ConceptEVA is higher than their own manually-generated summary, while incorporating critique into the summaries proved challenging. Based on our findings, we make recommendations for designing summarization systems incorporating mixed-initiative interactions.","Document Summarization; Interactive Visual Analytics; Knowledge Graph; Mixed-Initiative Interfaces","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Methodologie en Organisatie van Design","","",""
"uuid:3b17ffee-ec47-4e6a-9411-43ea2e0dc149","http://resolver.tudelft.nl/uuid:3b17ffee-ec47-4e6a-9411-43ea2e0dc149","Towards Efficient Personalized Driver Behavior Modeling with Machine Unlearning","Song, Q. (TU Delft Embedded Systems); Tan, Rui (Nanyang Technological University); Wang, J. (City University of Hong Kong)","","2023","Driver Behavior Modeling (DBM) aims to predict and model human driving behaviors, which is typically incorporated into the Advanced Driver Assistance System to enhance transportation safety and improve driving experience. Inverse reinforcement learning (IRL) is a prevailing DBM technique with the goal of modeling the driving policy by recovering an unknown internal reward function from human driver demonstrations. However, the latest IRL-based design is inefficient due to the laborious manual feature engineering processes. Besides, the reward function usually experiences increased prediction errors when deployed for unseen vehicles. In this paper, we propose a novel deep learning-based reward function for IRL-based DBM with efficient model personalization via machine unlearning. We evaluate our approach on a highway simulation constructed using the realistic human driving dataset NGSIM. We deploy our approach on both a server GPU and an embedded GPU. The evaluation results show that our approach achieves a higher prediction accuracy compared with the latest IRL-based DBM approach that uses a weighted sum of trajectory features as the reward function. Our model personalization method obtains the highest accuracy and lowest latency compared with the baselines.","Driver behavior modeling; inverse reinforcement learning; machine unlearning; model personalization; neural network","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Embedded Systems","","",""
"uuid:e50d3d9a-b0ef-44b1-8ca9-15b103f77083","http://resolver.tudelft.nl/uuid:e50d3d9a-b0ef-44b1-8ca9-15b103f77083","Distributionally Robust Strategy Synthesis for Switched Stochastic Systems","Gracia, Ibon (University of Colorado); Boskos, D. (TU Delft Team Dimitris Boskos); Laurenti, L. (TU Delft Team Luca Laurenti); Mazo, M. (TU Delft Team Manuel Mazo Jr)","","2023","We present a novel framework for formal control of uncertain discrete-time switched stochastic systems against probabilistic reach-avoid specifications. In particular, we consider stochastic systems with additive noise, whose distribution lies in an ambiguity set of distributions that are ε−close to a nominal one according to the Wasserstein distance. For this class of systems we derive control synthesis algorithms that are robust against all these distributions and maximize the probability of satisfying a reach-avoid specification, defined as the probability of reaching a goal region while being safe. The framework we present first learns an abstraction of a switched stochastic system as a robust Markov decision process (robust MDP) by accounting for both the stochasticity of the system and the uncertainty in the noise distribution. Then, it synthesizes a strategy on the resulting robust MDP that maximizes the probability of satisfying the property and is robust to all uncertainty in the system. This strategy is then refined into a switching strategy for the original stochastic system. By exploiting tools from optimal transport and stochastic programming, we show that synthesizing such a strategy reduces to solving a set of linear programs, thus guaranteeing efficiency. We experimentally validate the efficacy of our framework on various case studies, including both linear and non-linear switched stochastic systems. Our results represent the first formal approach for control synthesis of stochastic systems with uncertain noise distribution.","Formal synthesis; Safe autonomy; Switched stochastic systems; Uncertain Markov decision processes; Wasserstein distance","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Team Luca Laurenti","","",""
"uuid:3b0d493c-db36-42ce-be98-0f4d23c923a3","http://resolver.tudelft.nl/uuid:3b0d493c-db36-42ce-be98-0f4d23c923a3","Poster: Convex Scenario Optimisation for ReLU Networks","Peruffo, A. (TU Delft Team Manuel Mazo Jr); Mazo, M. (TU Delft Team Manuel Mazo Jr)","","2023","","","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-09","","","Team Manuel Mazo Jr","","",""
"uuid:405d1d52-f7d3-4462-bdff-d157da5f5609","http://resolver.tudelft.nl/uuid:405d1d52-f7d3-4462-bdff-d157da5f5609","Unlocking Energy Flexibility From Thermal Inertia of Buildings: A Robust Optimization Approach","Li, Y. (TU Delft Team Tamas Keviczky); Yorke-Smith, N. (TU Delft Algorithmics); Keviczky, T. (TU Delft Team Tamas Keviczky)","","2023","Towards integrating renewable electricity generation sources into the grid, an important facilitator is the energy flexibility provided by buildings' thermal inertia. Most of the existing research follows a single-step price- or incentive-based scheme for unlocking the flexibility potential of buildings. In contrast, this paper proposes a novel two-step design approach for better harnessing buildings' energy flexibility. In a first step, a robust optimization model is formulated for assessing the energy flexibility of buildings in the presence of uncertain predictions of external conditions, such as ambient temperature, solar irradiation, etc. In a second step, energy flexibility is activated in response to a feasible demand response (DR) request from grid operators without violating indoor temperature constraints, even in the presence of uncertain external conditions. The proposed approach is tested on a high-fidelity Modelica simulator to evaluate its effectiveness. Simulation results show that, compared with price-based demand-side management, the proposed approach achieves greater energy reduction during peak hours.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Tamas Keviczky","","",""
"uuid:140e236c-608d-48d9-830b-782312f2a6d5","http://resolver.tudelft.nl/uuid:140e236c-608d-48d9-830b-782312f2a6d5","Nonlinear Repetitive Control for Mitigating Noise Amplification","Aarnoudse, Leontine (Eindhoven University of Technology); Pavlov, Alexey (Norwegian University of Science and Technology (NTNU)); Kon, Johan (Eindhoven University of Technology); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2023","Repetitive control can lead to high performance by attenuating periodic disturbances completely, yet it may amplify non-periodic disturbances. The aim of this paper is to achieve both fast learning and low errors in repetitive control. To this end, a nonlinear learning filter is introduced that distinguishes between periodic and non-periodic errors based on their typical amplitude characteristics to adapt the extent to which they are included in the repetitive controller. Convergence conditions for the nonlinear repetitive control system are derived by casting the resulting closed-loop as a discrete-time convergent system. Simulation results of the proposed approach demonstrate fast learning and small errors.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Jan-Willem van Wingerden","","",""
"uuid:1a3e9d0a-52a3-4c23-b27f-338899f2c463","http://resolver.tudelft.nl/uuid:1a3e9d0a-52a3-4c23-b27f-338899f2c463","Inner approximations of stochastic programs for data-driven stochastic barrier function design","Mathiesen, Frederik Baymler (TU Delft Team Luca Laurenti); Romao, Licio (University of Oxford); Calvert, S.C. (TU Delft Transport and Planning); Abate, Alessandro (University of Oxford); Laurenti, L. (TU Delft Team Luca Laurenti)","","2023","This paper proposes a new framework to compute finite-horizon safety guarantees for discrete-time piece-wise affine systems with stochastic noise of unknown distributions. The approach is based on a novel approach to synthesise a stochastic barrier function (SBF) from noisy data and rely on the scenario optimization theory. In particular, we show that the stochastic program to synthesize a SBF can be relaxed into a chance-constrained optimisation problem on which scenario approach theory applies. We further show that the resulting program can be reduced to a linear programming problem, thus guaranteeing efficiency. In contrast to existing approaches, this method is data efficient as it only requires the number of data to be proportional to the logarithm in the negative inverse of the confidence level and is computationally efficient due to its reduction to linear programming. The efficacy of the method is empirically evaluated on various verification benchmarks. Experiments show a significant improvement with respect to state-of-the-art, obtaining tighter certificates with a confidence that is several orders of magnitude higher.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Luca Laurenti","","",""
"uuid:70de3f49-3010-4a22-a276-330c39ad4d26","http://resolver.tudelft.nl/uuid:70de3f49-3010-4a22-a276-330c39ad4d26","Lean Co-Acting With Circularity?: An Investigation in Product-Service Systems in Rental Housing","Parker, David (Student TU Delft); Jylhä, Tuuli E. (Aalto University); van Bortel, G.A. (TU Delft Real Estate Management); Schraven, D.F.J. (TU Delft Real Estate Management)","","2023","Circularity is positioned as an alternative model to achieve sustainable prosperity. Lean construction highlights not only building delivery with less but also contributing to sustainable development. However, lean is criticized for reducing waste only within organizational boundaries while neglecting the impact of waste beyond the boundaries. On the contrary, circularity originates to reduce waste in the system and is currently seeking approaches to implement waste reduction in circular production. To speed up the transition to sustainable resource consumption, the co-act between lean and circular construction seems evident. This research studies resource consumption in product-service systems (PSSs), which are acknowledged to reduce resource consumption. This research first assesses the ability of PSSs to slow and close the loops. After this, the research discusses the complementarities of circularity and lean to co-act toward the same goal. The multiple case studies demonstrate that PSSs have the potential to slow and close the loops. However, PSSs are not inherently circular, but each PSS needs to be designed to be circular system-by-system. Furthermore, the theoretical discussion encourages lean to co-act with circularity. The PSSs provide a system view to lean: to reduce current and future waste and to avoid value losses in multiple life cycles.","circularity; servitization; product-service system; building components","en","conference paper","IGLC (International Group for Lean Construction)","","","","","","","","","","Real Estate Management","","",""
"uuid:eed57f32-5772-4fe5-bf0a-f2185c70e000","http://resolver.tudelft.nl/uuid:eed57f32-5772-4fe5-bf0a-f2185c70e000","Standardisation landscape for 6G robotic services","Ghassemian, Mona (Huawei Technologies Duesseldorf); Vukobratovic, Dejan (University of Novi Sad); Papadopoulos, Christos (International Hellenic University); An, Xueli (Huawei Technologies Duesseldorf); Chatzimisios, Periklis (International Hellenic University; University of New Mexico); Aijaz, Adnan (Bristol Research & Innovation Laboratory); Li, Peizheng (Bristol Research & Innovation Laboratory); Valenzuela, Andres Meseguer (Instituto Tecnológico de Informática (ITI)); Venkatesha Prasad, Ranga Rao (TU Delft Networked Systems)","","2023","With the increasing usage of robots in numerous vertical industries, the need for standardised solutions has intensified. A number of research and industrial communities and other Standards Developing Organisations (SDOs) have been actively working to identify areas in the robotics and automation sector that are suitable for standardisation. On top of that, each generation of mobile communications brings in new features and a wealth of new standardisation possibilities. While the deployment of 5G New Radio (5G NR) networks is expanding, the race for 6G is already starting to take shape with a number of proposed enabling technologies such as the integrated communication and sensing (ISAC) which can revolutionize the robotic technology. Since the two sectors of robotic and communication technologies advancements have overlapping areas for research and development, the SDOs’ activities need to address the commonalities, to allow a harmonized and unified platform that formally adopts and confirms best practices in 6G and robotics as standards. In this work, we present relevant industry standardisation, associations, and fora for both mobile communication and robotic industries based on the ETSI Classification of SDOs. We present the standardisation landscape for 6G and robotics and highlight the gap in this multidisciplinary standardisation, sharing key recommendations.","6G architecture; 6G standardisation; robotic application requirements; standardisation landscape; tele-robotics","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-04","","","Networked Systems","","",""
"uuid:eade6327-fdca-45cd-9abf-f81a111e9bb1","http://resolver.tudelft.nl/uuid:eade6327-fdca-45cd-9abf-f81a111e9bb1","NLICE: Synthetic Medical Record Generation for Effective Primary Healthcare Differential Diagnosis","Al-Ars, Z. (TU Delft Computer Engineering); Agba, Obinna (Student TU Delft); Guo, Zhuoran (Student TU Delft); Boerkamp, C. (TU Delft Computer Engineering); Jaber, Ziyaad (Medvice Digital Health); Jaber, Tareq (Medvice Digital Health)","Ceballos, Cristina (editor)","2023","This paper offers a systematic method for creating medical knowledge-grounded patient records for use in activities involving differential diagnosis. Additionally, an assessment of machine learning models that can differentiate between various conditions based on given symptoms is also provided. We use a public disease-symptom data source called SymCat in combination with Synthea to construct the patients records. In order to increase the expressive nature of the synthetic data, we use a medically-standardized symptom modeling method called NLICE to augment the synthetic data with additional contextual information for each condition. In addition, Naive Bayes and Random Forest models are evaluated and compared on the synthetic data. The paper shows how to successfully construct SymCat-based and NLICE-based datasets. We also show results for the effectiveness of using the datasets to train predictive disease models. The SymCat-based dataset is able to train a Naive Bayes and Random Forest model yielding a 58.8% and 57.1% Top-1 accuracy score, respectively. In contrast, the NLICE-based dataset improves the results, with a Top-1 accuracy of 82.0% and Top-5 accuracy values of more than 90% for both models. Our proposed data generation approach solves a major barrier to the application of artificial intelligence methods in the healthcare domain. Our novel NLICE symptom modeling approach addresses the incomplete and insufficient information problem in the current binary symptom representation approach.","medical records; synthetic data; differential diagnosis; machine learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-19","","","Computer Engineering","","",""
"uuid:fb6b9ce4-9b73-417b-a05c-ac9c91736c5d","http://resolver.tudelft.nl/uuid:fb6b9ce4-9b73-417b-a05c-ac9c91736c5d","Scenario-Game ADMM: A Parallelized Scenario-Based Solver for Stochastic Noncooperative Games","Li, Jingqi (University of California); Chiu, Chih Yuan (University of California); Peters, L. (TU Delft Learning & Autonomous Control); Palafox, Fernando (University of California); Karabag, Mustafa (The University of Texas at Austin); Alonso-Mora, J. (TU Delft Learning & Autonomous Control); Sojoudi, Somayeh (University of California); Tomlin, Claire (University of California); Fridovich-Keil, David (The University of Texas at Austin)","","2023","Decision-making in multi-player games can be extremely challenging, particularly under uncertainty. In this work, we propose a new sample-based approximation to a class of stochastic, general-sum, pure Nash games, where each player has an expected-value objective and a set of chance constraints. This new approximation scheme inherits the accuracy of objective approximation from the established sample average approximation (SAA) method and enjoys a feasibility guarantee derived from the scenario optimization literature. We characterize the sample complexity of this new game-theoretic approximation scheme, and observe that high accuracy usually requires a large number of samples, which results in a large number of sampled constraints. To accommodate this, we decompose the approximated game into a set of smaller games with few constraints for each sampled scenario, and propose a decentralized, consensus-based ADMM algorithm to efficiently compute a generalized Nash equilibrium (GNE) of the approximated game. We prove the convergence of our algorithm to a GNE and empirically demonstrate superior performance relative to a recent baseline algorithm based on ADMM and interior point method.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Learning & Autonomous Control","","",""
"uuid:c6b5be2f-99c8-432c-b8a3-d50734de595b","http://resolver.tudelft.nl/uuid:c6b5be2f-99c8-432c-b8a3-d50734de595b","Nonlinear Bayesian Identification for Motor Commutation: Applied to Switched Reluctance Motors","Van Meer, Max (Eindhoven University of Technology); González, Rodrigo A. (Eindhoven University of Technology); Witvoet, Gert (Eindhoven University of Technology; TNO); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2023","Switched Reluctance Motors (SRMs) enable power-efficient actuation with mechanically simple designs. This paper aims to identify the nonlinear relationship between torque, rotor angle, and currents, to design commutation functions that minimize torque ripple in SRMs. This is achieved by conducting specific closed-loop experiments using purposely imperfect commutation functions and identifying the nonlinear dynamics via Bayesian estimation. A simulation example shows that the presented method is robust to position-dependent disturbances, and experiments suggest that the identification method enables the design of commutation functions that significantly increase performance. The developed approach enables accurate identification of the torque-current-angle relationship in SRMs, without the need for torque sensors, an accurate linear model, or an accurate model of position-dependent disturbances, making it easy to implement in production.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Jan-Willem van Wingerden","","",""
"uuid:d9fcd7fd-d435-4033-b789-8d2268b2040d","http://resolver.tudelft.nl/uuid:d9fcd7fd-d435-4033-b789-8d2268b2040d","Linear Convergence in Time-Varying Generalized Nash Equilibrium Problems","Bianchi, M. (TU Delft Team Sergio Grammatico); Benenati, E. (TU Delft Team Sergio Grammatico; ETH Zürich); Grammatico, S. (TU Delft Team Sergio Grammatico; TU Delft Team Bart De Schutter)","","2023","We study generalized games with full row rank equality coupling constraints and we provide a strikingly simple proof of strong monotonicity of the associated KKT operator. This allows us to show linear convergence to a variational equilibrium of the resulting primal-dual pseudo-gradient dynamics. Then, we propose a fully-distributed algorithm with linear convergence guarantee for aggregative games under partial-decision information. Based on these results, we establish stability properties for online GNE seeking in games with time-varying cost functions and constraints. Finally, we illustrate our findings numerically on an economic dispatch problem for peer-to-peer energy markets.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Sergio Grammatico","","",""
"uuid:3a052f43-75e6-48ea-a51f-b87cc70126af","http://resolver.tudelft.nl/uuid:3a052f43-75e6-48ea-a51f-b87cc70126af","Systematic Synthesis of Passive Fault-Tolerant Augmented Neural Lyapunov Control Laws for Nonlinear Systems","Grande, Davide (University College London (UCL); National Oceanography Center); Fenucci, Davide (National Oceanography Center); Peruffo, A. (TU Delft Team Manuel Mazo Jr); Anderlini, Enrico (University College London (UCL)); Phillips, Alexander B. (National Oceanography Center); Thomas, Giles (University College London (UCL)); Salavasidis, Georgios (National Oceanography Center)","","2023","Performance and closed-loop stability of control systems can be jeopardised by actuator faults. Actuator redundancy in combination with appropriate control laws can increase the resiliency of a system to both loss of efficiency or jamming. Passive Fault-Tolerant Control (FTC) systems aim at designing a unique control law with guaranteed stability in both nominal and faulty scenarios. In this work, a novel machine learning-based method is devised to systematically synthesise control laws for systems affected by actuator faults, whilst formally certifying the closed-loop stability. The learning architecture trains two Artificial Neural Networks, one representing the control law, and the other resembling a Control Lyapunov Function (CLF). In parallel, a Satisfiability Modulo Theory solver is employed to certify that the obtained CLF formally guarantees the Lyapunov conditions. The method is showcased for two scenarios, one encompassing the stabilisation of an inverted pendulum with redundant actuators, whilst the other covers the control of an Autonomous Underwater Vehicle. The framework is shown capable of synthesising both linear and nonlinear control laws with minimal hyperparameter tuning and within limited computational resources.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Manuel Mazo Jr","","",""
"uuid:a9cef77a-05c6-462b-897a-90bede5fd6ab","http://resolver.tudelft.nl/uuid:a9cef77a-05c6-462b-897a-90bede5fd6ab","Data-Driven Distributionally Robust Coverage Control by Mobile Robots","Boskos, D. (TU Delft Team Dimitris Boskos); Cortes, Jorge (University of California); Martínez, Sonia (University of California)","","2023","This paper provides a data-driven solution to the problem of coverage control by which a team of robots aims to optimally deploy in a spatial region where certain event of interest may occur. This event is random and described by a probability density function, which is unknown and can only be learned by collecting data. In this work, we hedge against this uncertainty by designing a distributionally robust algorithm that optimizes the locations of the robots against the worst-case probability density from an ambiguity set. This ambiguity set is constructed from data initially collected by the agents, and contains the true density function with prescribed confidence. However, the objective function that the robots seek to minimize is non-smooth. To address this issue, we employ the so-called gradient sampling algorithm, which approximates the Clarke generalized gradient by sampling the derivative of the objective function at nearby locations and stabilizes the choice of descent directions around points where the function may fail to be differentiable. This enables us to prove that the algorithm converges to a stationary point from any initial location of the robots, in analogy to the well-known Lloyd algorithm for differentiable costs when the spatial density is known.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Dimitris Boskos","","",""
"uuid:99f9d133-522e-46f3-b32f-feb800dac4ff","http://resolver.tudelft.nl/uuid:99f9d133-522e-46f3-b32f-feb800dac4ff","Safe Zeroth-Order Optimization Using Linear Programs","Guo, Baiwei (EPFL Switzerland); Wang, Y. (TU Delft Team Riccardo Ferrari); Kamgarpour, Maryam (EPFL Switzerland); Ferrari-Trecate, Giancarlo (EPFL Switzerland)","","2023","To solve unmodeled optimization problems with hard constraints, this paper proposes a novel zeroth-order approach called Safe Zeroth-order Optimization using Linear Programs (SZO-LP). The SZO-LP method solves a linear program in each iteration to find a descent direction, followed by a step length determination. We prove that, under mild conditions, the iterates of SZO- LP have an accumulation point that is also the primal of a KKT pair. We then apply SZO-LP to solve an Optimal Power Flow (OPF) problem on the IEEE 30-bus system. The results demonstrate that SZO-LP requires less computation time and samples compared to state-of-the-art approaches.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Riccardo Ferrari","","",""
"uuid:6c7cbc21-14fe-471c-8002-ac086af47f9d","http://resolver.tudelft.nl/uuid:6c7cbc21-14fe-471c-8002-ac086af47f9d","Uncertainties in the Synthetic Data Generation for the Creation of Bridge Digital Twins","Jiménez Rios, Alejandro (OsloMet – storbyuniversitetet); Plevris, Vagelis (Qatar University); Nogal Macho, M. (TU Delft Integral Design & Management)","Papadrakakis, M. (editor); Papadopoulos, V. (editor); Stefanou, G. (editor)","2023","Digital twins (DTs) are virtual replicas of physical assets that can be used to monitor and manage their performance. To date, the DT concept has been effectively implemented in various industries, including aeronautics, manufacturing, medicine, and more recently, in the architecture, engineering, and construction sector. In the latter, these assets can be related to buildings, bridges, or other important infrastructures of the built environment. Although the creation of synthetic benchmark datasets for the validation of novel damage detection approaches has been attempted in the past, such alternatives are not easily findable or accessible. Thus, a new synthetic data generation framework is proposed within the DT paradigm context, that can produce FAIR benchmark databases that are characterized by Findability, Accessibility, Interoperability, and Reuse. This paper aims at exploring the uncertainty types, sources, and quantification approaches involved in the synthetic data generation methodologies and tools of the intended framework which could be used as a faster and cheaper alternative to real monitoring, for the creation and development of DT prototypes of bridges for both industry and research-oriented purposes. This work also highlights the benefits and drawbacks of implementing synthetic data for these purposes and points out tentative future improvements in the field.","digital twins; bridges; synthetic FAIR data; prototyping; uncertainties","en","conference paper","NTUA","","","","","","","","","","Integral Design & Management","","",""
"uuid:0ce18f67-262f-4cc5-a917-ed09244f5c50","http://resolver.tudelft.nl/uuid:0ce18f67-262f-4cc5-a917-ed09244f5c50","Hybrid Design of Multiplicative Watermarking for Defense Against Malicious Parameter Identification","Zhang, J. (Student TU Delft); Gallo, A.J. (TU Delft Team Riccardo Ferrari); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari)","","2023","Multiplicative watermarking (MWM) is an active diagnosis technique for the detection of highly sophisticated attacks, but is vulnerable to malicious agents that use eaves-dropped data to identify and then remove or replicate the watermark. In this work, we propose a scheme to protect the parameters of MWM, by proposing a design strategy based on piecewise affine (PWA) hybrid dynamical systems, called hybrid multiplicative watermarking (HMWM). Due to the design decision to make certain states of the HMWM systems unobservable, we show that parameter reconstruction by an eavesdropper is infeasible, from both a computational and a system-theoretic perspective, while not altering the system's closed-loop performance.","Attack Detection; Cyber-Physical Security; Resilient Control Systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Riccardo Ferrari","","",""
"uuid:d7880aa7-63e5-454a-9808-f35c8b78b3c6","http://resolver.tudelft.nl/uuid:d7880aa7-63e5-454a-9808-f35c8b78b3c6","A Semi-Decentralized Tikhonov-Based Algorithm for Optimal Generalized Nash Equilibrium Selection","Benenati, E. (TU Delft Team Sergio Grammatico); Ananduta, W. (TU Delft Team Sergio Grammatico); Grammatico, S. (TU Delft Team Sergio Grammatico; TU Delft Team Bart De Schutter)","","2023","To optimally select a generalized Nash equilibrium, in this paper, we consider a semi-decentralized algorithm based on a double-layer Tikhonov regularization algorithm. Technically, we extend the Tikhonov method for equilibrium selection to generalized games. Next, we couple such an algorithm with the preconditioned forward-backward splitting, which guarantees linear convergence to a solution of the inner layer problem and allows for a semi-decentralized implementation. We then establish a conceptual connection and draw a comparison between the considered algorithm and the hybrid steepest descent method, the other known distributed approach for solving the equilibrium selection problem.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Sergio Grammatico","","",""
"uuid:d0ee22d5-2309-47f1-847a-27fa0b20f695","http://resolver.tudelft.nl/uuid:d0ee22d5-2309-47f1-847a-27fa0b20f695","Rational Basis Functions in Iterative Learning Control for Multivariable Systems","Poot, Maurice (Eindhoven University of Technology); Portegies, Jim (Eindhoven University of Technology); Kostić, Dragan (ASMPT Ltd.); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2023","Feedforward control with task flexibility for MIMO systems is essential to meet ever-increasing demands on throughput and accuracy. The aim of this paper is to develop a framework for data-driven tuning of rational feedforward controllers in iterative learning control (ILC) for noncommutative MIMO systems. A convex optimization problem in ILC is achieved by rewriting the nonlinear terms in the control scheme as a function of the previous feedforward parameters. A simulation study on an multivariable industrial printer shows that the developed framework converges and achieves significant better performance than direct application of the RBF algorithm using SK-iterations for SISO systems.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Jan-Willem van Wingerden","","",""
"uuid:80d7a1dc-914b-4407-8ab7-19bd9eeec25e","http://resolver.tudelft.nl/uuid:80d7a1dc-914b-4407-8ab7-19bd9eeec25e","Efficient MIMO Iterative Feedback Tuning via Randomization","Aarnoudse, Leontine (Eindhoven University of Technology); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2023","Iterative feedback tuning (IFT) enables the tuning of feedback controllers based on measured data without the need for a parametric model. The aim of this paper is to develop an efficient method for MIMO IFT that reduces the required number of experiments. Using a randomization technique, an unbiased gradient estimate is obtained from a single dedicated experiment, regardless of the size of the MIMO system. This gradient estimate is employed in a stochastic gradient descent algorithm. Simulation examples illustrate that the approach reduces the number of experiments required to converge.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Jan-Willem van Wingerden","","",""
"uuid:35742017-62ee-487f-af61-f741255e85a9","http://resolver.tudelft.nl/uuid:35742017-62ee-487f-af61-f741255e85a9","Fraud Detection and Deterrence in Electronic Voting Machines: A Game-Theoretic Approach","Vora, A. S. (TU Delft Team Peyman Mohajerin Esfahani); Kulkarni, Ankur A. (Indian Institute of Technology Bombay)","","2023","We study a setting where a detector wishes to detect and deter adversarial manipulation in an electronic voting machine. An adversary tries to win the election by tampering the votes while obfuscating its manipulation. We pose this problem as a game between the detector and the adversary and characterize the equilibrium payoffs for the players and the asymptotic nature of these payoffs. We find that if the detector is too cautious, then in equilibrium the adversary wins with a probability higher than its prior probability of winning. We derive an expression for the deterrence threshold, i.e., the minimum level of false-alarm that the detector should endure so that the adversary is not any better off by the manipulation. With this, asymptotically, the detector can ensure that the probability of missed-detection becomes zero by appropriately adjusting the rate of decay of probability of false-alarm. But if this rate of decay is too 'fast', then the adversary can get an arbitrarily high probability of winning in spite of having a vanishing prior probability of winning. We then extend the results to a setting where the detector has incomplete information about the adversary.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Peyman Mohajerin Esfahani","","",""
"uuid:2d6d5bc9-d6cb-42a8-a937-f686e1a57908","http://resolver.tudelft.nl/uuid:2d6d5bc9-d6cb-42a8-a937-f686e1a57908","A Case Study of Ecological Suitability of Mussel and Seaweed Cultivation using Bivariate Copula Functions","Santjer, R. (TU Delft Mathematical Physics; Deltares); Mares Nasarre, P. (TU Delft Hydraulic Structures and Flood Risk); El Serafy, G.Y.H. (TU Delft Mathematical Physics; Deltares); Morales Napoles, O. (TU Delft Hydraulic Structures and Flood Risk)","Brito, Mário P. (editor); Aven, Terje (editor); Baraldi, Piero (editor); Čepin, Marko (editor); Zio, Enrico (editor)","2023","Aquaculture is gaining importance in the current context of continuous growth population as a source of (local) food resources and its potential of being combined with other uses at sea (e.g.: offshore energy production or tourism). Consequently, within the European Horizon 2020 project UNITED, the combination of mussel and seaweed cultivation together with wind energy production in the German North Sea is investigated. Here, the feasibility of the mussel Mytilus edulis and seaweed Saccharina latissima based on their ecological needs is analysed. Ecological data from a three-dimensional hydrodynamic and ecological model covering the northwest European continental shelf is used. For each of the two species, three variables are selected as relevant, including in both of them the water temperature. In addition, chlorophyll-a and dissolved oxygen are considered for mussels, and dissolved inorganic nitrogen and phosphorus are selected for seaweed. Temperature is selected as dominant variable so its daily maxima for the growing months are selected together with the concomitants of the other variables. Gaussian Mixture distributions (see McLachlan and Peel (2000)) and truncated Gaussian kernel distributions (see Bowman and Azzalini (1997)) are used to model the marginal distributions of the random variables. Bivariate copulas are fitted for each pair of variables to describe their dependence structure. Finally, probabilities of being within the optimal ranges of the relevant variables are calculated. Chlorophyll-a concentration and temperature are the most limiting variables for mussels and seaweed, respectively. Relatively low probabilities are obtained, since ranges for optimal growth are considered. Generally, it is feasible to cultivate mussels and seaweed at this location based on the selected ecological variables, as the probability of variables reaching values outside growth limits for the species is low.","aquaculture; Mussels; Seaweed; Feasibility; Multi-use; Marginal distribution; Copulas; Probability","en","conference paper","Research Publishing","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-08","","","Mathematical Physics","","",""
"uuid:2d65a73b-45d1-4ff3-a832-2b3ae465e036","http://resolver.tudelft.nl/uuid:2d65a73b-45d1-4ff3-a832-2b3ae465e036","Schematizing Rainfall Events with Multivariate Depth-Duration Dependence","Rongen, G.W.F. (TU Delft Hydraulic Structures and Flood Risk); Throssell, Ben (Pattle Delamore Partners Limited)","Brito, Mário P. (editor); Aven, Terje (editor); Baraldi, Piero (editor); Čepin, Marko (editor); Zio, Enrico (editor)","2023","Accurately modelling rainfall events is crucial for flood risk assessment and stormwater infrastructure design. However, transforming statistical characteristics of events into relevant rainfall patterns is challenging due to the natural variability of rainfall. Two commonly used methods to schematize rainfall events have limitations: the nested storm profile overestimates the resulting flow by assuming complete dependence between different durations, while determining the critical event duration by simulating each duration separately assumes independence and underestimates the flow. To overcome these limitations, this study presents a method that models the dependence between different rainfall durations using a Gaussian copula and combines this with marginal rain statistics to create a probabilistic model for the rain event. The SCS Curve Number approach is used to model the resulting flow, and a first-order reliability method (FORM) is applied to determine the critical combination of durations within an event. The findings of this study show that the rainfall events generated using the proposed method result in comparable flows to those produced by conventional design events. While this may not make the model a preferred choice for standard applications, it can still be valuable for flood risk assessments as it provides a probabilistic model that better captures critical rainfall patterns.","Reliability analysis; Rainfall-runoff modelling; Multivariate dependence; Gaussian copula","en","conference paper","Research Publishing","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-08","","","Hydraulic Structures and Flood Risk","","",""
"uuid:251fdc1c-ae77-4c95-86b1-f73b8332da9b","http://resolver.tudelft.nl/uuid:251fdc1c-ae77-4c95-86b1-f73b8332da9b","A Pitch-Matched Transceiver ASIC for 3D Ultrasonography with Micro-Beamforming ADCs based on Passive Boxcar Integration and a Multi-Level Datalink","Guo, P. (TU Delft Bio-Electronics); Chang, Z.Y. (TU Delft Electronic Instrumentation); Noothout, E.C. (TU Delft ImPhys/Verweij group); Vos, H.J. (TU Delft ImPhys/Verweij group; Erasmus MC); Bosch, J. G. (Erasmus MC); de Jong, N. (TU Delft ImPhys/De Jong group; Erasmus MC); Verweij, M.D. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group; Erasmus MC); Pertijs, M.A.P. (TU Delft Electronic Instrumentation)","","2023","This paper presents a pitch-matched transceiver ASIC integrated with a 2-D transducer array for a wearable ultrasound device for transfontanelle ultrasonography. The ASIC combines 8-fold multiplexing, 4-channel micro-beamforming (μ BF) and sub-array-level digitization to achieve a 128-fold channel-count reduction. The μ BF is based on passive boxcar integration and interfaces with a 10-bit 40 MS/s SAR ADC in the charge domain, thus obviating the need for explicit anti-alias filtering and power-hungry ADC drivers. A compact and low-power reference generator employs an area-efficient MOS capacitor as a reservoir to quickly set a reference for the ADC in the charge domain. A low-power multi-level data link concatenates outputs of four ADCs, leading to an aggregate 3.84 Gb/s data rate. Per channel, the RX circuit consumes 2.06 mW and occupies 0.05 mm2.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-29","","","Bio-Electronics","","",""
"uuid:5ea608fd-5428-4093-b2e4-c8ff0ab6c574","http://resolver.tudelft.nl/uuid:5ea608fd-5428-4093-b2e4-c8ff0ab6c574","New Guidelines for the Quality Control of Risk Analyses of Critical Hydraulic Structures","Bakker, A.M.R. (TU Delft Hydraulic Structures and Flood Risk; Ministry of Infrastructure and Water Management); Busnach, Tycho (Ministry of Infrastructure and Water Management); Mooyaart, L.F. (TU Delft Hydraulic Structures and Flood Risk); Van Gijzen, L. (TU Delft Hydraulic Structures and Flood Risk; Ministry of Infrastructure and Water Management)","Brito, Mario P. (editor); Aven, Terje (editor); Baraldi, Piero (editor); Cepin, Marko (editor); Zio, Enrico (editor)","2023","The efficacy of risk models and risk analyses critically hinges on sufficient model evaluation. Nevertheless, the usefulness for the intended purpose is rarely systematically assessed. Poor or even lacking model evaluation of the applied risk models and analyses also troubles the asset management of storm surge barriers in the Netherlands. In practice, obvious flaws, missing failure modes and use that deviates from the original purpose regularly lead to unpleasant surprises, unnecessary costs and avoidable risks.
Here, we introduce new guidelines for the quality control during the development, testing, maintenance and usage of risk analyses of critical hydraulic structures.
First responses among stakeholders are rather positive since the guidelines help modelers and analysts to better understand critics and independent reviewers to structure their comments. However, the efficacy of the guidelines itself also need rigorous evaluation in the coming years. This may prove challenging as the application of the guidelines may also reveal that the organizations that operation the storm surge barriers are currently insufficiently equipped for the rigorous quality control of risk models and risk analyses.","risk analysis; model quality assessment; model validation; model evaluation; storm surge barriers; hydraulic structures","en","conference paper","Research Publishing","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-08","","","Hydraulic Structures and Flood Risk","","",""
"uuid:70d0949f-97a0-4e62-8fb0-2620761f1878","http://resolver.tudelft.nl/uuid:70d0949f-97a0-4e62-8fb0-2620761f1878","Reliability Analysis for Overturning and Sliding of Lacustrine Dikes: The Nezahualcoyotl's Dike Case","Torres Alves, G.A. (TU Delft Hydraulic Structures and Flood Risk); Morales Napoles, O. (TU Delft Hydraulic Structures and Flood Risk); Mares Nasarre, P. (TU Delft Hydraulic Structures and Flood Risk)","Brito, Mário P. (editor); Aven, Terje (editor); Baraldi, Piero (editor); Čepin, Marko (editor); Zio, Enrico (editor)","2023","Before the year 1519, the Valley of Mexico was a closed basin and at the bottom of the valley, an extensive system of shallow lakes was formed. Within this lacustrine system, the capital of the Aztec empire, Tenochtitlan, was built. The Aztecs were known for their impressive constructions and complex hydraulic structures, of which the most impressive structure was the Nezahualcoyotl dike. This structure was constructed across Lake Texcoco. Its principal function was to protect the city of Tenochtitlan from high water levels at the lake. However, there is not enough information about the reliability of this dike. Mainly due to two reasons, today there are no remains left of the dike and most of the lacustrine system is drained. In this paper, we present a method to study the reliability of the Nezahualcoyotl dike under two failure modes, overturning and sliding. This is done by following up on the work presented by Torres-Alves & Morales-Nápoles (2020) where they developed a hydrological characterization of the lacustrine system and studied the dike under one failure mode, overflow. The proposed analysis aims to provide a more realistic assessment of the reliability of the dike as a flood defense mechanism.","Nezahualcoyotl; Texcoco; Dike; reliability; overturning; sliding","en","conference paper","Research Publishing","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-08","","","Hydraulic Structures and Flood Risk","","",""
"uuid:1f945eaf-7b02-4375-a715-a9bb05e2da41","http://resolver.tudelft.nl/uuid:1f945eaf-7b02-4375-a715-a9bb05e2da41","A Copula-Based Bayesian Network to Model Wave Climate Multivariate Uncertainty in the Alboran Sea","Mares Nasarre, P. (TU Delft Hydraulic Structures and Flood Risk); García-Maribona, Julio (DHI Group); Mendoza Lugo, M.A. (TU Delft Hydraulic Structures and Flood Risk); Morales Napoles, O. (TU Delft Hydraulic Structures and Flood Risk)","P. Brito, Mário (editor); Aven, Terje (editor); Baraldi, Piero (editor); Čepin, Marko (editor); Zio, Enrico (editor)","2023","An accurate estimation of wind and wave variables is key for coastal and offshore applications. Recently, copulas have gained popularity for modelling wind and waves multivariate dependence, since accounting for the hydrodynamic relationships between them is needed to ensure reliable estimations of the required design values. In this study, copula-based Bayesian networks (BNs) are explored as a tool to model extreme values of significant wave height (Hs), wave period, wave direction, wind speed and wind direction. The model is applied to a case study located in the Alboran sea, close to the Spanish coast, using ERA5 database. Extreme values of Hs are sampled using Yearly Maxima and concomitant values of the missing variables are used. K-means clustering algorithm is applied to separate the different wave components and a BN is built for each of them. The assumption of modelling the dependence between the variables using Gaussian copulas and the structure of BNs are supported with the d-calibratioson score. Fitted marginal distributions are introduced in the nodes of the BNs and their performance is assessed using in-sample data and the coefficient of determination. The BN models proposed present high performance with a low computational cost proving to be powerful tools for modelling the variables under investigation. Future research will include different locations and databases.","waves; wind; stochastic process; k-means; Bayesian networks; copulas","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-08","","","Hydraulic Structures and Flood Risk","","",""
"uuid:a3875112-e29b-4bb2-9639-bc9ac206d45b","http://resolver.tudelft.nl/uuid:a3875112-e29b-4bb2-9639-bc9ac206d45b","Reliability Analysis of the Ancient Nezahualcoyotl's Dike: Investigating Failure Due to Overflow Using an Improved Hydrological Model","Mendoza Lugo, M.A. (TU Delft Hydraulic Structures and Flood Risk); Torres Alves, G.A. (TU Delft Hydraulic Structures and Flood Risk); Morales Napoles, O. (TU Delft Hydraulic Structures and Flood Risk)","Brito, Mário P. (editor); Aven, Terje (editor); Baraldi, Piero (editor); Čepin, Marko (editor); Zio, Enrico (editor)","2023","Investigating the reliability of ancient hydraulic structures constructed without modern probabilistic criteria allows an understanding of why and how the structure fails. In this paper, we present an extended method, firstly introduced by Torres-Alves and Morales-Nápoles (2020), to perform the reliability analysis of the Nezahualcoyotl's dike that was designed (most likely) without probabilistic criteria. The dike was built around 1450 by the Aztec empire dividing Lake Texcoco from north to south (present-day Mexico City). We estimate the probability of failure due to overflow. By using a discrete time-state Markov chain and bi-variate copulas to generate large synthetic observations of the environmental variables precipitation and evaporation. In addition to the previous methodology, two sources of uncertainty were taken into account (i) the characterization of the environmental conditions during the dry season to estimate initial water levels on the lake and (ii) the influence of surface runoff and subsurface seepage losses on the water levels. The extended method allows for better characterization of the lacustrine system. Therefore an improved extent of the hydrology of the system and a more reliable estimation of the probability of failure of Nezahualcoyotl's dike are presented.","Dike; copulas; Markov chains; water budget","en","conference paper","Research Publishing","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-08","","","Hydraulic Structures and Flood Risk","","",""
"uuid:27505df8-b810-4e5e-b926-2a768aef51ed","http://resolver.tudelft.nl/uuid:27505df8-b810-4e5e-b926-2a768aef51ed","Human Well-Being and Traffic Management","Taale, Henk (TU Delft Transport and Planning; Rijkswaterstaat); Wilmink, Isabel (TNO); Vonk, Tanja (TNO)","","2023","Within the area of mobility much discussion is going on about human well-being and how mobility can contribute to that. Human well-being is about everything what influences the well-being of people, in the broadest sense. It is about welfare beyond the Gross Domestic Product (GDP). Mobility makes a valuable contribution to the welfare and well-being of people. It provides means for them so that they can reach important destinations and participate in activities, like jobs, all kinds of facilities (cultural, shopping, recreation, etc.) and social contacts. In this way mobility has a positive effect on physical and mental health. However, mobility can also decrease well-being, for example due to traffic unsafety, noise and environmental pollution and climate change. Also, the pros and cons of mobility could be unevenly distributed among certain groups of people, regions or even generations.
In this paper we look at the current contributions of traffic management to human well-being and we search for opportunities that could increase these contributions. The research consisted of a literature scan and two workshops. In the first workshop traffic management experts were connected with experts with a focus on human well-being. Together they discussed the possibilities of traffic management in relation to human well-being. In the second workshop the findings from literature and the first workshop were discussed with experts from the Dutch national road authority Rijkswaterstaat to test how these findings are aligned with practical experience.
The literature scan showed that not many studies make a clear link between traffic management and human well-being. The current focus of traffic management is mainly on accessibility (travel times and delays) and traffic safety. Widening this perspective to the dimensions of health and the living environment is desirable and advisable. There is also a gap between traffic management, that is mainly focussing on the short-term solution of specific problems, and the more umbrella approach of well-being. The opportunities of (operational) traffic management to directly contribute to human well-being are currently limited, due to the way that traffic management is organised. Policy should make clear choices and give directions with respect to the goals that should be achieved with traffic management. Then traffic management can apply those directions in practice, using a network perspective and the experience with multimodal approaches and distribution of the scarce capacity in all kinds of networks, to find the desired balance between the various societal goals.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-08","","","Transport and Planning","","",""
"uuid:8ebebeca-6d78-49df-bcb0-b30435838aae","http://resolver.tudelft.nl/uuid:8ebebeca-6d78-49df-bcb0-b30435838aae","The Impact of Demand Reduction on CO2 Emissions and Congestion","Taale, Henk (TU Delft Transport and Planning; Rijkswaterstaat); Hofman, Frank (Rijkswaterstaat); Schol, Erna (Rijkswaterstaat); Leijs, Régis (Rijkswaterstaat); Stemerding, Marc (Goudappel); Birnie, Job (Goudappel)","","2023","One of the options to reduce CO2 emissions as a result of transport, is to decrease the number of vehicle kilometres driven. Carpooling and teleworking are possibilities to obtain that goal. The paper will analyse their potential contribution.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-08","","","Transport and Planning","","",""
"uuid:04bd80f4-1f95-483a-8e78-d84fad722201","http://resolver.tudelft.nl/uuid:04bd80f4-1f95-483a-8e78-d84fad722201","A Systematic Approach to Improve Reliability of Storm Surge Barrier Closures","Mooyaart, L.F. (TU Delft Hydraulic Structures and Flood Risk); Bakker, A.M.R. (Rijkswaterstaat); van den Bogaard, J.A. (Rijkswaterstaat); Jonkman, Sebastiaan N. (TU Delft Hydraulic Structures and Flood Risk)","Brito, Mario P. (editor); Aven, Terje (editor); Baraldi, Piero (editor); Cepin, Marko (editor); Zio, Enrico (editor)","2023","Coastal defenses must be upgraded to combat increasing flood risk due to climate change and other factors. Storm surge barriers, large movable hydraulic structures that close temporarily during storm surges to prevent coastal floods, play a vital role in protecting estuaries. Due to the complexity of their risk analyses, important improvements are sometimes overseen. Our objective is to develop a systematic approach which is more likely to find these important improvements. We tested the method to three historic cases where important improvements were initially overlooked. We anticipate that our method can be applied to other safety systems with a large number of failure modes as well.","Climate change adaptation; risk reduction measures; coastal flood; safety system","en","conference paper","Research Publishing","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-08","","","Hydraulic Structures and Flood Risk","","",""
"uuid:cbd66bf2-ef0d-4911-b962-67d781ea895e","http://resolver.tudelft.nl/uuid:cbd66bf2-ef0d-4911-b962-67d781ea895e","Towards a Design (Research) Framework with Generative AI","van der Maden, W.L.A. (TU Delft Design Aesthetics); van Beek, E. (TU Delft Applied Ergonomics and Design); Nicenboim, I. (TU Delft Human Information Communication Design); van der Burg, V. (TU Delft Methodologie en Organisatie van Design); Kun, P. (University of Copenhagen); Lomas, J.D. (TU Delft Design Aesthetics); Kang, Eunsu (Carnegie Mellon University)","Byrne, Daragh (editor); Martelaro, Nikolas (editor); Boucher, Andy (editor); Chatting, David (editor); Fdili Alaoui, Sarah (editor); Fox, Sarah (editor); Nicenboim, Iohanna (editor); MacArthur, Cayley (editor)","2023","This one day workshop will explore the use of Generative Artificial Intelligence (GenAI) in design research and practice. Generative technologies are developing rapidly and many designers are using them. Yet, there remains little published work on the use of GenAI in design. Our goal is to not only showcase the potential of GenAI for design, but to engage in discussions of its shortcomings and opportunities as they have been already articulated by scholars. By synthesizing both published and unpublished works, we will develop best practices, ethical considerations, and future research directions for the use of GenAI in design. We will explore a range of topics and themes, including leveraging the characteristics of GenAI for design, mapping the diverse applications of GenAI in design, envisioning a framework for design, and guiding future work on GenAI in design research. Ultimately, we hope to provide a roadmap for the integration of GenAI into the design research process and to encourage designers and researchers to explore the potential of GenAI in a thoughtful and deliberate way.","computational creativity; creative practices; design research; generative artificial intelligence","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Design Aesthetics","","",""
"uuid:adeecd54-4e95-4308-87e6-d4e06e602c8e","http://resolver.tudelft.nl/uuid:adeecd54-4e95-4308-87e6-d4e06e602c8e","The Road Ahead: Advancing Interactions between Autonomous Vehicles, Pedestrians, and Other Road Users","Block, Avram (MassRobotics); Joshi, Swapna (Northeastern University); Tabone, W. (TU Delft Human-Robot Interaction); Pandya, Aryaman (Motional); Lee, Seonghee (Cornell University); Patil, Vaidehi (Carnegie Mellon University); Britten, Nicholas (Virginia Tech); Schmitt, Paul (MassRobotics)","","2023","While great strides have been taken in advancing the field of Human-Robot Interaction (HRI), challenges abound in understanding and improving how Autonomous Vehicles (AVs) will interact with and within society. Through this paper, the authors attempt to paint the picture of challenges unique to the study and advancement of interfaces between AVs and vulnerable road users (VRUs). In turn, these gaps in research highlight the opportunities for academia, industry, and public policy to collaborate and advance the state of the art of AV-VRU interaction, and the need for a dedicated forum for sharing insights across these various sectors.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-13","","","Human-Robot Interaction","","",""
"uuid:36ac128f-0b7a-4b0e-b8ad-674607507274","http://resolver.tudelft.nl/uuid:36ac128f-0b7a-4b0e-b8ad-674607507274","Ground motion reduction in vibratory pile driving via axial and torsional vibrations","Tsetas, A. (TU Delft Dynamics of Structures); Tsouvalas, A. (TU Delft Dynamics of Structures; TU Delft Offshore Engineering); Metrikine, A. (TU Delft Offshore Engineering; TU Delft Engineering Structures)","Carletti, Eleonora (editor)","2023","In this paper, the characteristics of the induced ground motion are studied for two pile installation methods. Specifically, the classical axial vibratory driving is compared with the Gentle Driving of Piles (GDP) method, to investigate the effect of high-frequency torsional excitation in the soil response. For that purpose, a non-linear 3-D axisymmetric pile-soil interaction model - benchmarked against field data for both methods - is used to perform the numerical study. The friction redirection mechanism, that is mobilized due to the torsional excitation in GDP, leads to a different wavefield in the soil medium compared to axial vibro-driving. In the latter only SV-P wave motions are elicited, whereas torsion introduces SH wave motions as well. For the numerical study, the model is comprised by a thin cylindrical shell coupled with a linear elastic layered half-space through a history-dependent frictional interface. The Thin-Layer Method (TLM) coupled with Perfectly Matched Layers (PMLs) is employed to accurately describe the wave motion in the soil medium. Comparisons in terms of the peak particle velocities (PPVs) and soil particle trajectories showcase significant motion reduction due to redirection of the soil friction forces, which elicits high-frequency SH waves and reduces the SV-P wave motion.","Green's functions; Harmonic Balance Method; pile driving; soil dynamics; vibrations of shells","en","conference paper","International Institute of Acoustics and Vibration, IIAV","","","","","","","","","Engineering Structures","Dynamics of Structures","","",""
"uuid:87f094d0-6ad8-4e4d-8252-0ef27d19a5a9","http://resolver.tudelft.nl/uuid:87f094d0-6ad8-4e4d-8252-0ef27d19a5a9","Value Inference in Sociotechnical Systems: Blue Sky Ideas Track","Liscio, E. (TU Delft Interactive Intelligence); Lera-Leri, Roger (Artificial Intelligence Research Institute, Spanish National Scientific Research Council); Bistaffa, Filippo (Artificial Intelligence Research Institute, Spanish National Scientific Research Council); Dobbe, R.I.J. (TU Delft Information and Communication Technology); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden); Lopez-Sanchez, Maite (University of Barcelona); Rodriguez-Aguilar, Juan A. (Artificial Intelligence Research Institute, Spanish National Scientific Research Council); Murukannaiah, P.K. (TU Delft Interactive Intelligence)","","2023","As artificial agents become increasingly embedded in our society, we must ensure that their behavior aligns with human values. Value alignment entails value inference, the process of identifying values and reasoning about how humans prioritize values. We introduce a holistic framework that connects the technical (AI) components necessary for value inference. Subsequently, we discuss how hybrid intelligence'the synergy of human and artificial intelligence'is instrumental to the success of value inference. Finally, we illustrate how value inference both poses significant challenges and provides novel opportunities for multiagent systems research.","Ethics; Hybrid Intelligence; Norms; Sociotechnical Systems; Values","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-30","","","Interactive Intelligence","","",""
"uuid:442e0267-4b34-416c-a1dd-26f7dbfc10ac","http://resolver.tudelft.nl/uuid:442e0267-4b34-416c-a1dd-26f7dbfc10ac","IEC 60270 Calibration Uncertainty in Gas-Insulated Substations","Mier Escurra, C. (TU Delft High Voltage Technology Group); Mor, A. R. (Universitat Politécnica de Valencia); Vaessen, P.T.M. (TU Delft High Voltage Technology Group)","","2023","Partial discharge (PD) measurements in gasinsulated substations (GIS) are tested according to the standard IEC 60270. This “conventional” PD test method applies to electrically small devices. The equipment size increases the resonance, and attenuation, contributing to the total uncertainty. Additionally, when an ultra-high frequency (UHF) sensor is used as a coupling capacitor, the calibrator and PD pulse duration difference increase the measurement uncertainty. In this paper, the IEC method, using an external capacitor coupler and a UHF sensor, is simulated and tested in a full-scale GIS. The results show the uncertainty dependency with the IEC 60270 filter bandwidth. With proper measures, the UHF sensor correlates with the external coupling capacitor, resulting in a reasonable charge estimation for a 25-meter-long GIS. Knowing the calibration limits is critical to estimate the PD charge uncertainty.","partial discharge; IEC 60270; calibration; uncertainty; UHF","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-14","","","High Voltage Technology Group","","",""
"uuid:1e960def-f997-488e-886f-4f4bc2d29ab3","http://resolver.tudelft.nl/uuid:1e960def-f997-488e-886f-4f4bc2d29ab3","An Empirical Performance Comparison between Matrix Multiplication Join and Hash Join on GPUs","Sun, W. (TU Delft Web Information Systems); Katsifodimos, A (TU Delft Web Information Systems); Hai, R. (TU Delft Web Information Systems)","","2023","Recent advances in Graphic Processing Units (GPUs) have facilitated a significant performance boost for database operators, in particular, joins. It has been intensively studied how conventional join implementations, such as hash joins, benefit from the massive parallelism of GPUs. With the proliferation of machine learning, more databases have started to provide native support for the basic building blocks of ML algorithms, i.e., linear algebra operators such as matrix multiplication (MM). Despite the recent increasing interest in processing relational joins using matrix multiplication (MM-join), two crucial questions still remain open: i) how efficient are current MM-join implementations compared to the GPU-based join algorithms; ii) how should practitioners choose among MM-join and conventional GPU-based joins given different data characteristics.In this paper, we compare the execution time, and memory I/O of MM-join against multiple GPU hash joins. An empirical analysis of our experimental results reveals that the state-of-the-art hash join implementation shows substantial scalability for various data characteristics. In contrast, MM-join outperforms the SOTA hash join in low join selectivity and low table cardinality but shows unsatisfactory scalability due to synchronous data movement and computation.","GPU; Hash Join; Matrix Multiplication Join","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-14","","","Web Information Systems","","",""
"uuid:c777dcac-fe53-463a-beed-25729ba27f8b","http://resolver.tudelft.nl/uuid:c777dcac-fe53-463a-beed-25729ba27f8b","Towards Evaluating Stream Processing Autoscalers","Siachamis, G. (TU Delft Web Information Systems); Kanis, Job (Student TU Delft); Koper, Wybe (Student TU Delft); Psarakis, K. (TU Delft Web Information Systems); Fragkoulis, M. (TU Delft Web Information Systems; Delivery Hero SE); van Deursen, A. (TU Delft Software Technology); Katsifodimos, A (TU Delft Web Information Systems)","","2023","In this work, we evaluate autoscaling solutions for stream processing engines. Although autoscaling has become a mainstream subject of research in the last decade, the database research community has yet to evaluate different autoscaling techniques under a proper benchmarking setting and evaluation framework. As a result, every newly proposed autoscaling solution only performs a shallow performance evaluation and comparison against existing solutions. In this paper, we evaluate autoscaling solutions by employing two streaming queries and a dynamic workload that follows a cosinus pattern. Our experiments reveal that current autoscaling techniques fail to account for generated lag due to rescaling or underprovisioning and cannot efficiently handle practical scenarios of intensely dynamic workloads.","autoscaling; stream processing","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-14","","Software Technology","Web Information Systems","","",""
"uuid:d2b8fd57-4911-4d06-b950-d080d259275d","http://resolver.tudelft.nl/uuid:d2b8fd57-4911-4d06-b950-d080d259275d","On the Evolution of (Hateful) Memes by Means of Multimodal Contrastive Learning","Qu, Yiting (CISPA Helmholtz Center for Information Security); He, Xinlei (CISPA Helmholtz Center for Information Security); Pierson, Shannon (London School of Economics and Political Science); Backes, Michael (CISPA Helmholtz Center for Information Security); Zhang, Y. (CISPA Helmholtz Center for Information Security); Zannettou, S. (TU Delft Organisation & Governance)","","2023","The dissemination of hateful memes online has adverse effects on social media platforms and the real world. Detecting hateful memes is challenging, one of the reasons being the evolutionary nature of memes; new hateful memes can emerge by fusing hateful connotations with other cultural ideas or symbols. In this paper, we propose a framework that leverages multimodal contrastive learning models, in particular OpenAI's CLIP, to identify targets of hateful content and systematically investigate the evolution of hateful memes. We find that semantic regularities exist in CLIP-generated embeddings that describe semantic relationships within the same modality (images) or across modalities (images and text). Leveraging this property, we study how hateful memes are created by combining visual elements from multiple images or fusing textual information with a hateful image. We demonstrate the capabilities of our framework for analyzing the evolution of hateful memes by focusing on antisemitic memes, particularly the Happy Merchant meme. Using our framework on a dataset extracted from 4chan, we find 3.3K variants of the Happy Merchant meme, with some linked to specific countries, persons, or organizations. We envision that our framework can be used to aid human moderators by flagging new variants of hateful memes so that moderators can manually verify them and mitigate the problem of hateful content online.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Organisation & Governance","","",""
"uuid:85165589-d66b-4e3d-9de2-a168a63646c5","http://resolver.tudelft.nl/uuid:85165589-d66b-4e3d-9de2-a168a63646c5","Formulating Open Data-Based Value Propositions: An Evaluation and Comparison of Two Canvas Tools","Clarinval, Antoine (University of Namur); Simonofski, Anthony (University of Namur); Castiaux, Annick (University of Namur); Gao, Yingying (Huazhong University of Science and Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","Cid, David Duenas (editor)","2023","The publication of Open Government Data (OGD) is expected to deliver economic value creation through innovation. In any OGD-based value creation, it is essential to formulate a value proposition (VP). However, previous literature has devoted little attention to the tools that support the formulation of OGD-based VP, and none has evaluated the performance of such tools with OGD infomediaries. In this article, we evaluate and compare the Business Model Canvas (BMC) and the Open Data Canvas (ODC) performance in supporting the formulation of VP. Questionnaire feedback was obtained from infomediaries who formulated OGD-based VP using the BMC and the ODC. The results show a superiority of either the BMC or the ODC in terms of perceived usefulness, depending on the focus of the feasibility evaluation of the VP. The ODC provides OGD-specific guidance on evaluating technical feasibility while the BMC covers economic feasibility more extensively. We recommend investigating the emerging field of OGD-based VP formulation tools by replicating our research with other types of infomediaries, in other settings, and completing it with qualitative insights.","Business Model Canvas; Feasibility evaluation; Open Data Canvas; Open Government Data; Value proposition","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-11","","Engineering, Systems and Services","","","",""
"uuid:d03b2d8d-0458-42ba-bc7b-b48dfefe3988","http://resolver.tudelft.nl/uuid:d03b2d8d-0458-42ba-bc7b-b48dfefe3988","Policy guidelines to facilitate collective action towards quantum-safety: Recommended policy guidelines to aid and facilitate collective action in migration towards quantum-safe public key infrastructure systems","Christiansen, L.V.C. (TU Delft Information and Communication Technology); Bharosa, Nitesh (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","Cid, David Duenas (editor)","2023","As the development of quantum computers advances, actors relying on public key infrastructures (PKI) for secure information exchange are becoming aware of the disruptive implications. Currently, governments and businesses employ PKI for many core processes that may become insecure or unavailable when quantum computers break the cryptographic algorithms foundational to PKI. While standardization institutes are currently testing quantum safe cryptographic algorithms, there are no globally agreed-upon cryptographic solutions available. Actors looking to prepare for the implementation of quantum safe cryptographic algorithms lack methods that allow for collective planning and action across organizations, sectors, and nations. The goal of this policy paper is to elicit requirements for a serious game on QS PKI, and derive policy guidelines that actors can use to prepare and formulate governance arrangements. We followed a two-step approach, drawing on technology threat avoidance theory and collective action theory, followed by empirical grounding through a focus group. The results from the literature confirm that a serious game could be a suitable governance mechanism for QS PKI. The focus group results discussed 12 requirements and the requirement's relation to the theoretical background. From this, the findings section arrived at four policy guidelines derived from the requirements that can function as focus areas for further requirement development and as input for policy makers. The policy guidelines concluded are (1) prioritize increasing collective awareness through emphasizing social networks, (2) acknowledge the interdependencies in migrating towards QS PKI, (3) create an understanding of the technical standards in the field and their issuers, and (4) being highly realistic with both negative and positive scenarios to center the players' understanding of real-world impact.","Collective action; Cybersecurity; Policy; Quantum-Safe PKI; Serious Games","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:65915c8f-45ef-43a8-ac1c-410ed529d1cf","http://resolver.tudelft.nl/uuid:65915c8f-45ef-43a8-ac1c-410ed529d1cf","Mapping quantum algorithms to multi-core quantum computing architectures","Ovide, Anabel (Universitat Politécnica de Valencia); Rodrigo, Santiago (Universitat Politecnica de Catalunya); Bandic, M. (TU Delft QCD/Feld Group; TU Delft QCD/Almudever Lab); van Someren, J. (TU Delft QCD/Feld Group); Feld, S. (TU Delft Quantum Circuit Architectures and Technology); Abadal, Sergi (Universitat Politecnica de Catalunya); Alarcon, Eduard (Universitat Politecnica de Catalunya); Almudever, Carmen G. (Universitat Politécnica de Valencia)","","2023","Current monolithic quantum computer architectures have limited scalability. One promising approach for scaling them up is to use a modular or multi-core architecture, in which different quantum processors (cores) are connected via quantum and classical links. This new architectural design poses new challenges such as the expensive inter-core communication. To reduce these movements when executing a quantum algorithm, an efficient mapping technique is required. In this paper, a detailed critical discussion of the quantum circuit mapping problem for multi-core quantum computing architectures is provided. In addition, we further explore the performance of a mapping method, which is formulated as a partitioning over time graph problem, by performing an architectural scalability analysis.","mapping of quantum algorithms; multi-core quantum computers; scalability quantum computing systems","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-21","","","QCD/Feld Group","","",""
"uuid:0b70a95c-ec5f-4e8e-8b95-6edcb983e715","http://resolver.tudelft.nl/uuid:0b70a95c-ec5f-4e8e-8b95-6edcb983e715","Exploring Homogeneity and Covariance Matrix Structure of Multistatic/Polarimetric Sea-Clutter Data","Carotenuto, V. (Università degli Studi di Napoli Federico II); Aubry, A. (Università degli Studi di Napoli Federico II); De Maio, A. (Università degli Studi di Napoli Federico II); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2023","The design of bespoke adaptive detection schemes relying on the joint use of multistatic/polarimetric measurements requires a preliminary statistical inference on the clutter interference environment. This is fundamental to develop an analytic model for the received signal samples, which is used to synthesize the radar detector. In this respect, the aim of this paper is the design of suitable learning tools to study some important statistical properties of the sea-clutter environment perceived at the nodes of a multistatic/polarimetric radar system. The study is complemented by the use of radar returns measured with the Netted RADar (NetRAD), which collects simultaneously monostatic and bistatic measurements. Precisely, the homogeneity properties of the data in the slow-time domain are first assessed resorting to Generalized Inner Product (GIP) based statistics. Then, the possible presence of structures in the clutter covariance matrices (both inter and intra channels) is investigated through ad-hoc statistical tools. The results show that the data, regardless the polarimetric/geometric configuration, can be modeled as drawn from a stationary process within the coherence time. Moreover, for both the monostatic and the bistatic returns the structure of the covariance matrix depends upon the polarimetric/geometric configuration of the sensing system.","covariance matrix structure; data homogeneity; Generalized Inner Product (GIP); Multistatic/polarimetric radar; sea-clutter; Spherically Invariant Random Process (SIRP)","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-27","","","Microwave Sensing, Signals & Systems","","",""
"uuid:8f1185e0-290f-4b6c-a9b7-b4cf6118ec14","http://resolver.tudelft.nl/uuid:8f1185e0-290f-4b6c-a9b7-b4cf6118ec14","Experimental Evaluation of Radar Waveforms for Spectral Coexistence using the PARSAX radar","Carotenuto, V. (Università degli Studi di Napoli Federico II); Aubry, A. (Università degli Studi di Napoli Federico II); De Maio, A. (Università degli Studi di Napoli Federico II); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Krasnov, O.A. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems); van der Zwan, W.F. (TU Delft Microwave Sensing, Signals & Systems)","","2023","This paper investigates the possibility of transmitting waveforms designed to enable spectral coexistence between radar and other Radio Frequency (RF) wireless systems via a Software Defined Radar (SDR). The design technique tested in this study nominally enables the placement of notches in the spectrum of the synthesized probing radar signal. Their widths and depths are set during the design stage so as to accounting for the interference into each shared frequency interval, allowing for spectral coexistence. At the assessment stage, the synthesized signal is used with the PARSAX radar system, an SDR capable of operating in the S frequency band. The analysis first focuses on studying the compliance of the signal generated by the PARSAX radar with its theoretical counterpart. Subsequently, open-air experiments are conducted in the presence of stationary and moving targets. The results show that the spectral characteristics of the probing radar signal adhere well to the theoretical spectral mask, and prove the system ability to detect both stationary and moving targets.","Cognitive Radar; Software Defined Radar; Spectral Coexistence; Wave-form Design","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-27","","","Microwave Sensing, Signals & Systems","","",""
"uuid:d7266807-beb0-433e-af92-94cc5f60d230","http://resolver.tudelft.nl/uuid:d7266807-beb0-433e-af92-94cc5f60d230","BARON: Base-Station Authentication Through Core Network for Mobility Management in 5G Networks","Lotto, Alessandro (Università degli Studi di Padova); Singh, Vaibhav (University of Washington); Ramasubramanian, Bhaskar (Western Washington University); Brighente, Alessandro (Università degli Studi di Padova); Conti, M. (TU Delft Cyber Security; Università degli Studi di Padova); Poovendran, Radha (University of Washington)","","2023","Fifth-generation (5G) cellular communication networks are being deployed on applications beyond mobile devices, including vehicular networks and industry automation. Despite their increasing popularity, 5G networks, as defined by the Third Generation Partnership Project (3GPP), have been shown to be vulnerable against fake base station (FBS) attacks. An adversary carrying out an FBS attack emulates a legitimate base station by setting up a rogue base station. This enables the adversary to control the connection of any user equipment that (inadvertently) connects with the rogue base station. Such an adversary can gather sensitive information belonging to the user. While there is a large body of work focused on the development of tools to detect FBSs, the user equipment will continue to remain vulnerable to an FBS attack. In this paper, we propose BARON, a defense methodology to enable user equipment to determine whether a target base station that it is connecting to is legitimate or rogue. BARON accomplishes this by ensuring that the user receives an authentication token from the target base station which can be computed only by a legitimate and trusted entity. As a consequence, receiving such an authentication token from a base station ensures legitimacy of the base station. We evaluate BARON through extensive experiments on the handover process between base stations in 5G networks. Our experimental results show that BARON introduces an overhead of less than 1% during handover completion, which is 10000× lower than the overhead reported by a state-of-the-art method. BARON is also effective in thwarting an FBS attack and quickly recovering connection to a legitimate base station.","5g networks; base station authentication; security","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","2024-01-01","","","Cyber Security","","",""
"uuid:367cb49a-c08f-439e-a51c-b859e9e5e0dd","http://resolver.tudelft.nl/uuid:367cb49a-c08f-439e-a51c-b859e9e5e0dd","Variables in Practice. An Observation of Teaching Variables in Introductory Programming MOOCs","van der Werf, V. (TU Delft Web Information Systems; Universiteit Leiden); Zhang, Min Yi (Universiteit Leiden); Aivaloglou, E.A. (TU Delft Web Information Systems); Hermans, Felienne (Vrije Universiteit Amsterdam); Specht, M.M. (TU Delft Web Information Systems)","","2023","Motivation. Many people interested in learning a programming language choose online courses to develop their skills. The concept of variables is one of the most foundational ones to learn, but can be hard to grasp for novices. Variables are researched, but to our knowledge, few empirical observations on how the concept is taught in practice exist. Objective. We investigate how the concept of variables, and the respective naming practices, are taught in introductory Massive Open Online Courses (MOOCs) teaching programming languages. Methods. We gathered qualitative data related to variables and their naming from 17 MOOCs. Collected data include connections to other programming concepts, formal definitions, used analogies, and presented names. Results. We found that variables are often taught in close connection to data types, expressions, and program execution and are often explained using the 'variable as a box' analogy. The latter finding represents a stronger focus on 'storing values', than on naming, memory, and flexibility. Furthermore, MOOCs are inconsistent when teaching naming practices. Conclusions. We recommend teachers and researchers to pay deliberate attention to the definitions and analogies used to explain the concept of variables as well as to naming practices, and in particular to variable name meaning.","analogies; naming practices; programming education; variables","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:d633d905-ba57-44fb-9a8b-650ab283a25b","http://resolver.tudelft.nl/uuid:d633d905-ba57-44fb-9a8b-650ab283a25b","Lambretta: Learning to Rank for Twitter Soft Moderation","Paudel, Pujan (Boston University); Blackburn, Jeremy (Binghamton University State University of New York); De Cristofaro, Emiliano (University College London (UCL)); Zannettou, S. (TU Delft Organisation & Governance); Stringhini, Gianluca (Boston University)","","2023","To curb the problem of false information, social media platforms like Twitter started adding warning labels to content discussing debunked narratives, with the goal of providing more context to their audiences. Unfortunately, these labels are not applied uniformly and leave large amounts of false content unmoderated. This paper presents LAMBRETTA, a system that automatically identifies tweets that are candidates for soft moderation using Learning To Rank (LTR). We run Lambretta on Twitter data to moderate false claims related to the 2020 US Election and find that it flags over 20 times more tweets than Twitter, with only 3.93% false positives and 18.81% false negatives, outperforming alternative state-of-the-art methods based on keyword extraction and semantic search. Overall, LAMBRETTA assists human moderators in identifying and flagging false information on social media.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-21","","","Organisation & Governance","","",""
"uuid:451c0573-a37d-477e-b97e-f9a6e329ea2c","http://resolver.tudelft.nl/uuid:451c0573-a37d-477e-b97e-f9a6e329ea2c","Online Spatio-Temporal Learning with Target Projection","Ortner, Thomas (Zurich Lab); Pes, Lorenzo (Zurich Lab); Gentinetta, Joris (Zurich Lab; ETH Zürich); Frenkel, C. (TU Delft Electronic Instrumentation); Pantazi, Angeliki (Zurich Lab)","","2023","Recurrent neural networks trained with the backpropagation through time (BPTT) algorithm have led to astounding successes in various temporal tasks. However, BPTT introduces severe limitations, such as the requirement to propagate information backwards through time, the weight symmetry requirement, as well as update-locking in space and time. These problems become roadblocks for AI systems where online training capabilities are vital. Recently, researchers have developed biologically-inspired training algorithms, addressing a subset of those problems. In this work, we propose a novel learning algorithm called online spatio-temporal learning with target projection (OSTTP) that resolves all aforementioned issues of BPTT. In particular, OSTTP equips a network with the capability to simultaneously process and learn from new incoming data, alleviating the weight symmetry and update-locking problems. We evaluate OSTTP on two temporal tasks, showcasing competitive performance compared to BPTT. Moreover, we present a proof-of-concept implementation of OSTTP on a memristive neuromorphic hardware system, demonstrating its versatility and applicability to resource-constrained AI devices.","bio-inspired training; neuromorphic hardware; Online learning; phase-change memory; update locking","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-08","","","Electronic Instrumentation","","",""
"uuid:579c9146-8dee-48e6-9af2-c0f795a71b8c","http://resolver.tudelft.nl/uuid:579c9146-8dee-48e6-9af2-c0f795a71b8c","Modelling and Optimal Control of MIMO System - France Macroeconomic Model Case","Zhao, Z. (TU Delft Data-Intensive Systems; Université Grenoble Alpes); Robu, Bogdan (Université Grenoble Alpes); Landau, Ioan (Université Grenoble Alpes); Dugard, Luc (Université Grenoble Alpes); Marchand, Nicolas (Université Grenoble Alpes); Job, Louis (Université Grenoble Alpes)","","2023","In this paper, we focus on the French Macro-economic model. We use real economic data, available as time series, starting from 1980s and openly provided by the INSEE. Variables such as Gross Domestic Production, Exportation, Importation, Household Consumption, Gross Fixed Capital Formation and Public expenditure are included in the analysis. Our objective is to maintain a constant economic growth rate according to the available resources. We implement an optimal control policy via LQR to achieve that. Since we aim to maintain a constant growth rate, the control system is modified for this purpose. We prove the efficiency with three experiments based on real data, and we test the method robustness with respect to: (1) variation of LQR parameters, (2) realistic constraints on inputs, and (3) perturbations on outputs. Results show that our designed control system can guide the output to the desired growth rate.MIMO model, LQR, Optimal control, Macroeconomic data.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","","","2024-01-22","","","Data-Intensive Systems","","",""
"uuid:35626924-a688-4084-883f-1a48734b8bca","http://resolver.tudelft.nl/uuid:35626924-a688-4084-883f-1a48734b8bca","Learning-enabled multi-modal motion prediction in urban environments","Trentin, Vinicius (Universidad Politécnica de Madrid); Ma, Chenxu (Student TU Delft); Villagra, Jorge (Universidad Politécnica de Madrid); Al-Ars, Z. (TU Delft Computer Engineering)","","2023","Motion prediction is a key factor towards the full deployment of autonomous vehicles. It is fundamental in order to assure safety while navigating through highly interactive complex scenarios. In this work, the framework IAMP (Interaction-Aware Motion Prediction), producing multi-modal probabilistic outputs from the integration of a Dynamic Bayesian Network and Markov Chains, is extended with a learning-based approach. The integration of a machine learning model tackles the limitations of the ruled-based mechanism since it can better adapt to different driving styles and driving situations. The method here introduced generates context-dependent acceleration distributions used in a Markov-chain-based motion prediction. This hybrid approach results in better evaluation metrics when compared with the baseline in the four highly-interactive scenarios obtained from publicly available datasets.","interaction-aware; learning-based; motion-prediction","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Computer Engineering","","",""
"uuid:1cf1c096-689b-441e-8b21-44e5d5c4467c","http://resolver.tudelft.nl/uuid:1cf1c096-689b-441e-8b21-44e5d5c4467c","Modeling Nonlinear Evoked Hemodynamic Responses in Functional Ultrasound","Kotti, Sofia Eirini (TU Delft Signal Processing Systems); Erol, A. (TU Delft Signal Processing Systems); Hunyadi, Borbala (TU Delft Signal Processing Systems)","","2023","Functional ultrasound (fUS) is a high-sensitivity neuroimaging technique that images cerebral blood volume changes, which reflect neuronal activity in the corresponding brain area. fUS measures hemodynamic changes which are typically modeled as the output of a linear time-invariant system, characterized by an impulse response known as the hemodynamic response function (HRF), and a binary representation of the stimulus signal as input. In this work, we quantify the difference between a linear and a nonlinear time-invariant HRF model in terms of data fitting and prediction performance. Our results on fUS data obtained from two mice reveal that: (a) including nonlinearities in the HRF achieves a significantly more precise modeling of the fUS signal compared to the linear assumption under certain stimulus conditions and (b) a second-order Volterra series approximation can be used to characterize the nonlinear model and predict responses to stimuli.","(nonlinear) hemodynamic response; Functional ultrasound; Volterra series","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Signal Processing Systems","","",""
"uuid:5a3c9819-9fb0-49c8-a572-56e9057089ce","http://resolver.tudelft.nl/uuid:5a3c9819-9fb0-49c8-a572-56e9057089ce","Multi-Criteria Strategy for Estimating GEDI Terrain Height","Narin, O.G. (Afyon Kocatepe University); Lindenbergh, R.C. (TU Delft Optical and Laser Remote Sensing); Abdikan, S. (Hacettepe University)","","2023","Global Ecosystem Dynamics Investigate (GEDI) is a spaceborne laser altimeter system used for earth observation in many areas such as forest canopy, water level and terrain height estimation. GEDI data is affected by atmospheric effects due to the sensor used while observing. In this study, we propose a 7-step, multi-variable strategy for determining the elevation of the terrain with GEDI. These steps involve both different geoid models, GEDI ancillary data, and topographic features. We evaluated the effect of each step using high quality DEM data obtained by Airborne LiDAR over the central part of Puerto Rico, where building areas and forests are dominant, while the terrain has an average slope of 24%. The GEDI data of the test area consists of 3 different orbits (O06225, O07933, O08061) with different solar elevation and cloudiness rates. While the raw data of orbit O06225, obtained during a solar elevation of 8.4 and cloudy conditions, has a Root Mean Square Error (RMSE) of 418.67 m., the RMSE is reduced to 4.59 m. after applying all seven filtering steps. The raw data of orbit O07933, obtained with a solar elevation of 50.5 during cloud free conditions, has a RMSE of 10.04 m., and is reduced to a similar value of 4.8 m. as a result of the filtering steps. On the other hand, orbit O08061 was obtained with little clouds during a near-dawn solar elevation of -0.7. Its raw RMSE of 50,34 m could only be reduced to 12.41 m. by the proposed filtering procedure. It is concluded that although there are many outliers in data acquired during cloudy conditions, the accuracy of the data remaining after applying our filtering strategy can be as high as the accuracy obtained during cloud free conditions. Better results than 5 m were obtained according to the RMSE in areas with low solar elevation. In addition, it is observed that accuracy decreases strongly when the solar elevation is close to 0. Overall, it is concluded that appropriate filtering is required when determining terrain height with GEDI data.","airborne LiDAR; digital elevation model (DEM); GEDI; spaceborne laser altimeter; terrain estimation","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-09","","","Optical and Laser Remote Sensing","","",""
"uuid:f53b6aea-4799-4404-9cbf-dcf6d5a85242","http://resolver.tudelft.nl/uuid:f53b6aea-4799-4404-9cbf-dcf6d5a85242","Adaptive Risk-Tendency: Nano Drone Navigation in Cluttered Environments with Distributional Reinforcement Learning","Liu, C. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation)","","2023","Enabling the capability of assessing risk and making risk-aware decisions is essential to applying reinforcement learning to safety-critical robots like drones. In this paper, we investigate a specific case where a nano quadcopter robot learns to navigate an apriori-unknown cluttered environment under partial observability. We present a distributional reinforcement learning framework to generate adaptive risk-tendency policies. Specifically, we propose to use lower tail conditional variance of the learnt return distribution as intrinsic uncertainty estimation, and use exponentially weighted average forecasting (EWAF) to adapt the risk-tendency in accordance with the estimated uncertainty. In simulation and real-world empirical results, we show that (1) the most effective risk-tendency varies across states, (2) the agent with adaptive risk-tendency achieves superior performance compared to risk-neutral policy or risk-averse policy baselines. Code and video can be found in this repository: https://github.com/tudelft/risk-sensitive-rl.git","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Control & Simulation","","",""
"uuid:4d1ef391-16a9-4b7b-93b6-e032b2aab656","http://resolver.tudelft.nl/uuid:4d1ef391-16a9-4b7b-93b6-e032b2aab656","Hey Teachers, Teach Those Kids Some Software Testing","Ardıç, B.A. (TU Delft Software Engineering); Zaidman, A.E. (TU Delft Software Engineering)","O'Conner, Lisa (editor)","2023","Software testing is generally acknowledged to be an important weapon in the arsenal of software engineers to produce correct and reliable software systems. However, given the importance of the topic, little is known about where software engineers get their testing knowledge and skills from. Is this through (higher) education, training programmes in the industry, or rather is it self-taught? In this paper, we investigate the curricula of 100 highly ranked universities and survey 51 software engineers to shed light on the state-of-the-practice in software testing education, in terms of both academic education and education of software engineers in the industry.","education; software engineering; software testing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-27","","","Software Engineering","","",""
"uuid:f37fa8db-4662-46ce-80c7-6469d81c9290","http://resolver.tudelft.nl/uuid:f37fa8db-4662-46ce-80c7-6469d81c9290","Electromigration-induced local dewetting in Cu films","Zhang, Y. (TU Delft Electronic Components, Technology and Materials); Mo, J. (TU Delft Electronic Components, Technology and Materials); Cui, Z. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","The continuous downscaling of microelectronics has introduced many reliability issues on interconnect. Electromigration and dewetting are major reliability concerns in high-temperature micro- and nanoscale devices. In this paper, the local dewetting of copper thin film during the electromigration test was first found and investigated. When the high current was applied, the dewetted copper forming around the edge was observed at the cathode of the conductor. Furthermore, the effect of temperature and conductor size on local dewetting was investigated. Our proposed mechanism for local dewetting is in good agreement with experimental findings.","Copper; Dewetting; Electromigration; Thin film","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-22","","","Electronic Components, Technology and Materials","","",""
"uuid:2d1e10b9-d435-4e4d-9867-de1ccd864d55","http://resolver.tudelft.nl/uuid:2d1e10b9-d435-4e4d-9867-de1ccd864d55","EValueAction: a proposal for policy evaluation in simulation to support interactive imitation learning","Sibona, F. (Politecnico di Torino); Luijkx, J.D. (TU Delft Learning & Autonomous Control); van der Heijden, D.S. (TU Delft Learning & Autonomous Control); Ferranti, L. (TU Delft Learning & Autonomous Control); Indri, Marina (Politecnico di Torino)","Dorksen, Helene (editor); Scanzio, Stefano (editor); Jasperneite, Jurgen (editor)","2023","The up-and-coming concept of Industry 5.0 fore-sees human-centric flexible production lines, where collaborative robots support human workforce. In order to allow a seamless collaboration between intelligent robots and human workers, designing solutions for non-expert users is crucial. Learning from demonstration emerged as the enabling approach to address such a problem. However, more focus should be put on finding safe solutions which optimize the cost associated with the demonstrations collection process. This paper introduces a preliminary outline of a system, namely EValueAction (EVA), designed to assist the human in the process of collecting interactive demonstrations taking advantage of simulation to safely avoid failures. A policy is pre-trained with human-demonstrations and, where needed, new informative data are interactively gathered and aggregated to iteratively improve the initial policy. A trial case study further reinforces the relevance of the work by demonstrating the crucial role of informative demonstrations for generalization.","Human-centered manufacturing; Interactive imitation learning; Learning from Demonstration; Simulation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-22","","","Learning & Autonomous Control","","",""
"uuid:0ae6c2d6-5d75-4c62-b87e-d533302f5ce9","http://resolver.tudelft.nl/uuid:0ae6c2d6-5d75-4c62-b87e-d533302f5ce9","Acquiring Semantic Knowledge for User Model Updates via Human-Agent Alignment Dialogues","Chen, P.Y. (TU Delft Interactive Intelligence); Tielman, M.L. (TU Delft Interactive Intelligence); Heylen, Dirk K.J. (University of Twente); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden); van Riemsdijk, M.B. (TU Delft Interactive Intelligence; University of Twente)","Lukowicz, Paul (editor); Mayer, Sven (editor); Koch, Janin (editor); Shawe-Taylor, John (editor); Tiddi, Ilaria (editor)","2023","For personal assistive technologies to effectively support users, they need a user model that records information about the user, such as their goals, values, and context. Knowledge-based techniques can model the relationships between these concepts, enabling the support agent to act in accordance with the user's values. However, user models require updating over time to accommodate changes and continuously align with what the user deems important. In our work, we propose and investigate the use of human-agent alignment dialogues for establishing whether user model updates are needed and acquiring the necessary information for these updates. In this paper, we perform an exploratory qualitative focus group study in which we investigate participants' opinions about written examples of alignment dialogues, as a foundation for their design. Transcripts were analyzed using thematic analysis. A main theme that emerged concerns the potential impact of agent utterances on the user's feelings about themselves and about the agent.","Behaviour support technology; Conversational agents; Dialogue; Human-agent alignment; User modelling; Values","en","conference paper","IOS Press","","","","","","","","","","Interactive Intelligence","","",""
"uuid:10bcf718-4433-4b85-801d-1ebdcda0434b","http://resolver.tudelft.nl/uuid:10bcf718-4433-4b85-801d-1ebdcda0434b","An Attacker's Dream? Exploring the Capabilities of ChatGPT for Developing Malware","Pa Pa, Yin Minn (Yokohama National University); Tanizaki, Shunsuke (Yokohama National University); Kou, Tetsui (Yokohama National University); van Eeten, M.J.G. (TU Delft Organisation & Governance; Yokohama National University); Yoshioka, Katsunari (Yokohama National University); Matsumoto, Tsutomu (Yokohama National University)","","2023","We investigate the potential for abuse of recent AI advances by developing seven malware programs and two attack tools using ChatGPT, OpenAI Playground's ""text-davinci-003""model, and Auto-GPT - an open-source AI agent capable of generating automated prompts to accomplish user-defined goals. We confirm that: 1) Under the safety and moderation control of recent AI systems, it is possible to generate the functional malware and attack tools (up to about 400 lines of code) within 90 minutes, including the debugging time. 2) Auto-GPT does not ease the hurdle of generating the right prompts for malware generation, but it evades the safety controls of OpenAI with its automatically generated prompts. When given goals with sufficient details, it writes the code in nine of nine malware and attack tools we tested. 3) There is still room to improve the moderation and safety controls of ChatGPT and text-davinci-003 model, especially for the growing jailbreak prompts. Overall, we find that recent AI advances, including ChatGPT, Auto-GPT, and text-davinci-003, demonstrate the potential for generating malware and attack tools under safety and moderation control, highlighting the need for improved safety measures and enhanced safety controls in AI systems.","AI generated malware; Auto-GPT abuses; ChatGPT abuses","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-21","","","Organisation & Governance","","",""
"uuid:42b3bab2-58e5-47a4-83fe-1a752008ec31","http://resolver.tudelft.nl/uuid:42b3bab2-58e5-47a4-83fe-1a752008ec31","Geometry-Aware Distributed Kalman Filtering for Affine Formation Control under Observation Losses","Li, Z. (TU Delft Signal Processing Systems); Rajan, R.T. (TU Delft Signal Processing Systems)","","2023","Affine formation control of multiagent systems has recently received increasing attention in various applications. The distributed control of these agents, under single integrator dynamics, relies on the observations of relative positions of the neighboring agents, which when unavailable is detrimental to the mission. In this paper, we propose an adaptive fusion estimator of the relative positions under intermittent and consecutive observation loss settings. A relative affine localization (RAL) solution is developed by exploiting the geometry of affine formation, which is then embedded into a distributed relative Kalman filtering (RKF) framework, leading to the geometry-aware relative Kalman filter (GA-RKF). We show through simulations that the GA-RKF exhibits enhanced robustness to both intermittent and consecutive observation losses, as compared to RAL and existing state-of-art methods.","distributed Kalman filter; formation control; multiagent systems; sensor fusion","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-26","","","Signal Processing Systems","","",""
"uuid:e8b19053-bdaf-45dd-9d4c-a45c56c87db1","http://resolver.tudelft.nl/uuid:e8b19053-bdaf-45dd-9d4c-a45c56c87db1","Value-Based Hybrid Intelligence","Sayin, Burcu (Università di Trento); Yang, J. (TU Delft Web Information Systems); Passerini, Andrea (Università di Trento); Casati, Fabio (ServiceNow)","Lukowicz, Paul (editor); Mayer, Sven (editor); Koch, Janin (editor); Shawe-Taylor, John (editor); Tiddi, Ilaria (editor)","2023","In this paper, we argue that the way we have been training and evaluating ML models has largely forgotten the fact that they are applied in an organization or societal context as they provide value to people. We show that with this perspective we fundamentally change how we evaluate and select machine learning models.","hybrid intelligence; machine learning; selective classification","en","conference paper","IOS Press","","","","","","","","","","Web Information Systems","","",""
"uuid:63f090d0-2ebb-4f35-8bea-3e4870001198","http://resolver.tudelft.nl/uuid:63f090d0-2ebb-4f35-8bea-3e4870001198","Value- Aware Active Learning","Sayin, Burcu (Università di Trento); Yang, J. (TU Delft Web Information Systems); Passerini, Andrea (Università di Trento); Casati, Fabio (Santa Clara)","Lukowicz, Paul (editor); Mayer, Sven (editor); Koch, Janin (editor); Shawe-Taylor, John (editor); Tiddi, Ilaria (editor)","2023","In many practical applications, machine learning models are embedded into a pipeline involving a human actor that decides whether to trust the machine prediction or take a default route (e.g., classify the example herself). Selective classifiers have the option to abstain from making a prediction on an example they do not feel confident about. Recently, the notion of the value of a machine learning model has been introduced as a way to jointly consider the benefit of a correct prediction, the cost of an error, and that of abstaining. In this paper, we study how active learning of selective classifiers is affected by the focus on value. We show that the performance of the state-of-the-art active learning strategies drops significantly when we evaluate them based on value rather than accuracy. Finally, we propose a novel value-aware active learning strategy that outperforms the state-of-the-art ones when the cost of incorrect predictions substantially outweighs that of abstaining.","active learning; cost-sensitive learning; selective classifier; value-based learning","en","conference paper","IOS Press","","","","","","","","","","Web Information Systems","","",""
"uuid:f33618c9-a551-4663-9cf5-9772e0d8f205","http://resolver.tudelft.nl/uuid:f33618c9-a551-4663-9cf5-9772e0d8f205","A 115.1 TOPS/W, 12.1 TOPS/mm2Computation-in-Memory using Ring-Oscillator based ADC for Edge AI","Singh, A. (TU Delft Computer Engineering); Bishnoi, R.K. (TU Delft Computer Engineering); Kaichouhi, A. (TU Delft Electrical Engineering, Mathematics and Computer Science); Diware, S.S. (TU Delft Computer Engineering); Joshi, R.V. (TU Delft Computer Engineering; IBM Thomas J. Watson Research Centre); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","","2023","Analog computation-in-memory (CIM) architecture alleviates massive data movement between the memory and the processor, thus promising great prospects to accelerate certain computational tasks in an energy-efficient manner. However, data converters involved in these architectures typically achieve the required computing accuracy at the expense of high area and energy footprint which can potentially determine CIM candidacy for low-power and compact edge-AI devices. In this work, we present a memory-periphery co-design to perform accurate A/D conversions of analog matrix-vector-multiplication (MVM) outputs. Here, we introduce a scheme where select-lines and bit-lines in the memory are virtually fixed to improve conversion accuracy and aid a ring-oscillator-based A/D conversion, equipped with component sharing and inter-matching of the reference blocks. In addition, we deploy a self-timed technique to further ensure high robustness addressing global design and cycle-to-cycle variations. Based on measurement results of a 4Kb CIM chip prototype equipped with TSMC 40nm, a relative accuracy of up to 99.71% is achieved with an energy efficiency of 115.1 TOPS/W and computational density of 12.1 TOPS/mm2 for the MNIST dataset. Thus, an improvement of up to 11.3X and 7.5X compared to the state-of-the-art, respectively.","analog computing; analog-to-digital converters; Computation-in-memory; ring-oscillator","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-08","Electrical Engineering, Mathematics and Computer Science","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:4848cd17-7e1f-4ea4-9dd9-9d35f8b58f57","http://resolver.tudelft.nl/uuid:4848cd17-7e1f-4ea4-9dd9-9d35f8b58f57","Mapping-aware Biased Training for Accurate Memristor-based Neural Networks","Diware, S.S. (TU Delft Computer Engineering); Gebregiorgis, A.B. (TU Delft Computer Engineering); Joshi, R.V. (TU Delft Computer Engineering; IBM Research); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Bishnoi, R.K. (TU Delft Computer Engineering)","","2023","Memristor-based computation-in-memory (CIM) can achieve high energy efficiency by processing the data within the memory, which makes it well-suited for applications like neural networks. However, memristors suffer from conductance variation problem where their programmed conductance values deviate from the desired values. Such variations lead to computational errors that result in degraded inference accuracy in CIM-based neural networks. In this paper, we present a mapping-aware biased training methodology to mitigate the impact of conductance variation on CIM-based neural networks. We first determine which conductance states of the memristor are inherently more immune to variation. The neural network is then trained under the constraint that important weights can only take numeric values which directly get mapped to such favorable states. Simulation results show that our proposed mapping-aware biased training achieves up to 2.4× hardware accuracy compared to the conventional training.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-08","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:0527a904-fd90-4532-8894-b219ec365fdd","http://resolver.tudelft.nl/uuid:0527a904-fd90-4532-8894-b219ec365fdd","Evaluation of point cloud features for no-reference visual quality assessment","Smitskamp, Gwennan (Centrum Wiskunde & Informatica (CWI); Student TU Delft); Viola, Irene (Centrum Wiskunde & Informatica (CWI)); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI))","","2023","The development and widespread adoption of immersive XR applications has led to a renewed interest in representations that are capable of reproducing real-world objects and scenes with high fidelity. Among such representations, point clouds have attracted the interest of industry and academia alike, and new compression solutions have been developed to facilitate their adoption in mainstream applications. To ensure the best quality of experience for the end-user in limited bandwidth scenarios, new full-reference objective quality metrics have been proposed, promoting features designed specifically for point cloud contents. However, the performance of such features to predict the quality of point cloud contents when the reference is not available is largely unexplored. In this paper, we evaluate the performance of features commonly used to model point cloud distortions in a no-reference framework. The obtained features are integrated into a quality value through a support vector regression model. Results demonstrate the potential of full-reference features for no-reference assessment.","3D model quality assessment; colored point cloud; no-reference quality assessment","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-18","","","Multimedia Computing","","",""
"uuid:b8e16a7e-2275-47d4-9c9d-f6ae16d0c977","http://resolver.tudelft.nl/uuid:b8e16a7e-2275-47d4-9c9d-f6ae16d0c977","Fiber-Bragg-Grating Coupled Magnetostrictive Sensors for Magnetic Tracking of Biomedical Implants","Baghini, Mahdieh Shojaei (University of Glasgow); Broekens, Kristiaan (TNO); Oderwald, Michiel (TNO); Breedveld, P. (TU Delft Medical Instruments & Bio-Inspired Technology); Heidari, Hadi (University of Glasgow); Van Der Heiden, Maurits (TNO)","","2023","Magnetostrictive strain sensors with high spinorbit coupling have been integrated with Fiber-Bragg-Grating sensors wherein the gap within the gratings varies with strain within the encapsulating magnetostrictive material. Terfenol-D has been chosen as the mm sized magnetostrictive material which exhibits the largest known bulk magnetostriction. The setup utilised consists of an optical to electrical transducer leading to lower noise in the system while carrying out sensing in the magneto-optic domain. Non-linear isotropic analytical modeling and linear anisotropic finite element modeling is carried out to gain further insight into the variation of material parameters with external magnetic field intensity. The operated magnetic fields lie within 100 µT with a sensor sensitivity of 0.6 kHz/ppm, thus reducing risks due to any prolonged or repeated exposure. This technology can be integrated with state-of-the-art sensors with high sensitivity to create smaller and safer tracking systems, particularly in-vivo.","Fiber Bragg Grating; implants; magnetic fields; magnetostriction; magnetostrictive sensors; multiphysics","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-07","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:c23d82b9-cba8-4852-979c-de16237aea4d","http://resolver.tudelft.nl/uuid:c23d82b9-cba8-4852-979c-de16237aea4d","Integrated Silicon Lens-Antenna based on a Top-Hat Leaky-Wave feed for Quasi-Optical Power Distribution at THz Frequencies","Alonso Del Pino, M. (TU Delft Tera-Hertz Sensing); Bosma, S. (TU Delft Tera-Hertz Sensing); Jung-Kubiak, C. (California Institute of Technology); Bueno, J.; Chattopadhyay, G. (California Institute of Technology); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2023","In this paper, we present a quasi-optical power distribution architecture based on the use of an integrated lens antenna that generates a uniform aperture field distribution. By using such distribution in combination with an integrated lens array, an efficient and scalable quasi-optical power distribution can be achieved at THz frequencies. The proposed architecture is based on a leaky-wave waveguide feed that illuminates an elliptical lens with a top-hat distribution. This method can distribute the power from one antenna to a 7-pixel lens array in a hexagonal configuration with a power coupling efficiency of nearly 60%. This scheme could be potentially used for the local oscillator power distribution in heterodyne THz arrays. A prototype at 450-615GHz has been developed and characterized, achieving an aperture efficiency higher than 80%.","multi-pixel heterodyne receiver; power distribution; terahertz antenna; terahertz quasi-optical system","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Tera-Hertz Sensing","","",""
"uuid:32d1d68a-57ad-4585-993c-0e9776b0ecdd","http://resolver.tudelft.nl/uuid:32d1d68a-57ad-4585-993c-0e9776b0ecdd","Impedance Standard Substrate Characterization and em model definition for Cryogenic and Quantum-Computing Applications","Shokrolahzade, E. (TU Delft Electronics); Sebastiano, F. (TU Delft Quantum Circuit Architectures and Technology); Mubarak, F.A. (TU Delft Electronics); Babaie, M. (TU Delft Electronics); Spirito, M. (TU Delft Electronics)","","2023","In this contribution, we describe the modeling approaches and the characterization procedures used to develop accurate standard models for cryogenic, probe-level, calibrations substrates.The key electrical and mechanical parameters of the impedance terminations and the lines used in commercially available impedance standard substrates are first characterized versus temperature. After, these component are simulated using 2.5D EM solvers including their mechanical variation when exposed to cryogenic temperatures, to extract their nominal response at 7 Kelvin. The quality of the resulting calibrations at cryogenic is evaluated first using independent CPW lines on the calibration substrates and then by measuring the response of a transformer-based resonator realized on a Si-based technology.Ambient temperature models are used as a comparison, to highlight the accuracy improvement that can be achieved employing optimized Cryo-EM based models.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Electronics","","",""
"uuid:e5431373-7f01-4029-a467-d27e69ace94f","http://resolver.tudelft.nl/uuid:e5431373-7f01-4029-a467-d27e69ace94f","Risk-averse Estimation of Electric Heat Pump Power Consumption","Damianakis, Nikolaos (TU Delft DC systems, Energy conversion & Storage); Chandra Mouli, G.R. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","An important aspect of the energy transition is the expected grid impact due to the abrupt increase of distributed electric generation and electric load demand. A part of this impact is going to be inflicted by the electrification of heating with heat pumps (HPs). Therefore, it is essential that the future power consumption of electric heating is estimated. This work develops a power estimation model without the use of heating demand data, needing only weather data and building heat pump specifications. Moreover, it is characterized as a risk-averse estimation since it uses no optimal control and utilizes the heat pump output capacity curves giving simultaneous priority to the customers' thermal comfort. Finally, it also estimates the power savings of electric heating due to future buildings' new insulation and energy label norms, revealing their importance.","air-sourced heat pumps; COP; floor-heating; insulation; power consumption","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","DC systems, Energy conversion & Storage","","",""
"uuid:f3cbda6f-08b8-4475-b1d8-6ddff0c4c921","http://resolver.tudelft.nl/uuid:f3cbda6f-08b8-4475-b1d8-6ddff0c4c921","Buck-Boost Flying Capacitor DC-DC Converter for Electric Vehicle Charging Stations","Pesantez, D. (Universidad Técnica Federico Santa María); Rodriguez, F. (Universidad Técnica Federico Santa María); Renaudineau, H. (Universidad Técnica Federico Santa María); Rivera, Sebastian (TU Delft DC systems, Energy conversion & Storage); Kouro, S. (Universidad Técnica Federico Santa María; University of Seville)","","2023","This paper proposes a new buck-boost flying-capacitor (FC) converter for the DC-DC stage of an Electric Vehicle (EV) fast charging station. The proposed converter is capable of delivering a wide output range of voltage to charge different battery configurations. The converter has two modes of operation, buck and boost. Thanks to this feature, the proposed converter allows higher efficiency and a wide operating range. The proposed converter is capable of supplying a voltage range from 200 V to 1000 V at its output, which shows the feasibility of occupying the converter inside a charging station that allows charging 400 V and 800 V battery systems. The average efficiency reported is over 97%. It is concluded that the proposed buck-boost FC converter is suitable for modern wide-output EV fast charging applications.","Electric Vehicles; Fast Charging; Flying-Capacitor","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","DC systems, Energy conversion & Storage","","",""
"uuid:6a656d81-94a6-4be7-b00d-9823750ec0b3","http://resolver.tudelft.nl/uuid:6a656d81-94a6-4be7-b00d-9823750ec0b3","Novelty seeking multiagent evolutionary reinforcement learning","Aydeniz, Ayhan Alp (Oregon State University); Loftin, R.T. (TU Delft Interactive Intelligence); Tumer, Kagan (Oregon State University)","","2023","Coevolving teams of agents promises effective solutions for many coordination tasks such as search and rescue missions or deep ocean exploration. Good team performance in such domains generally relies on agents discovering complex joint policies, which is particularly difficult when the fitness functions are sparse (where many joint policies return the same or even zero fitness values). In this paper, we introduce Novelty Seeking Multiagent Evolutionary Reinforcement Learning (NS-MERL), which enables agents to more efficiently explore their joint strategy space. The key insight of NS-MERL is to promote good exploratory behaviors for individual agents using a dense, novelty-based fitness function. Though the overall team-level performance is still evaluated via a sparse fitness function, agents using NS-MERL more efficiently explore their joint action space and more readily discover good joint policies. Our results in complex coordination tasks show that teams of agents trained with NS-MERL perform significantly better than agents trained solely with task-specific fitnesses.","evolutionary RL; exploration; fitness shaping; multiagent learning","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","2024-01-15","","","Interactive Intelligence","","",""
"uuid:b81873e4-3b75-4344-9a15-3ef1f60652f3","http://resolver.tudelft.nl/uuid:b81873e4-3b75-4344-9a15-3ef1f60652f3","Event-based Classification with Recurrent Spiking Neural Networks on Low-end Micro-Controller Units","Boretti, Chiara (Politecnico di Torino); Prono, Luciano (Politecnico di Torino); Frenkel, C. (TU Delft Electronic Instrumentation); Indiveri, Giacomo (University of Zürich); Pareschi, Fabio (Politecnico di Torino; University of Bologna); Mangia, Mauro (University of Bologna); Rovatti, Riccardo (University of Bologna); Setti, Gianluca (University of Bologna; King Abdullah University of Science and Technology)","","2023","Due to its intrinsic sparsity both in time and space, event-based data is optimally suited for edge-computing applications that require low power and low latency. Time varying signals encoded with this data representation are best processed with Spiking Neural Networks (SNN). In particular, recurrent SNNs (RSNNs) can solve temporal tasks using a relatively low number of parameters, and therefore support their hardware implementation in resource-constrained computing architectures. These premises propel the need of exploring the properties of these kinds of structures on low-power processing systems to test their limits both in terms of computational accuracy and resource consumption, without having to resort to full-custom implementations. In this work, we implemented an RSNN model on a low-end, resource-constrained ARM-Cortex-M4-based Micro Controller Unit (MCU). We trained it on a down-sampled version of the N-MNIST event-based dataset for digit recognition as an example to assess its performance in the inference phase. With an accuracy of 97.2%, the implementation has an average energy consumption as low as 4.1μJ and a worst-case computational time of 150.4μs per time-step with an operating frequency of 180 MHz, so the deployment of RSNNs on MCU devices is a feasible option for small image vision real-time tasks.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-22","","","Electronic Instrumentation","","",""
"uuid:580e5a49-5028-45fa-bcf6-0747836ecf40","http://resolver.tudelft.nl/uuid:580e5a49-5028-45fa-bcf6-0747836ecf40","An Area-Efficient Ultra-Low-Power Time-Domain Feature Extractor for Edge Keyword Spotting","Chen, Qinyu (University of Zürich); Chang, Yaoxing (University of Zürich); Kim, Kwantae (University of Zürich); Gao, C. (TU Delft Electronics); Liu, Shih Chii (University of Zürich)","","2023","Keyword spotting (KWS) is an important task on edge low-power audio devices. A typical edge KWS system consists of a front-end feature extractor which outputs mel-scale frequency cepstral coefficients (MFCC) features followed by a back-end neural network classifier. KWS edge designs aim for the best power-performance-area metrics. This work proposes an area-efficient ultra-low-power time-domain infinite impulse response (IIR) filter-based feature extractor for a KWS system. It uses a serial architecture, and the architecture is further optimized for a low-cost computing structure and mixed-precision bit selection of the IIR coefficients while maintaining good KWS accuracy. Using a 65 nm process technology and a back-end neural network classifier, this simulated feature extractor has an area of 0.02 mm2 and achieves 3.3 μW @ 1.2 V, and achieves 92.5% accuracy on a 10-keyword, 12-class KWS task using the GSCD dataset.","hardware acceleration; infinite impulse response (IIR); Keyword spotting (KWS); long short-term memory","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-22","","","Electronics","","",""
"uuid:ca97ff1a-d015-4965-88fa-fb57f3d1ad13","http://resolver.tudelft.nl/uuid:ca97ff1a-d015-4965-88fa-fb57f3d1ad13","Low Temperature Fine Pitch All-Copper Interconnects Combining Photopatternable Underfill Films","Ji, X. (TU Delft Microelectronics; TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Microelectronics; TU Delft Electronic Components, Technology and Materials); Jiao, Weiping (Student TU Delft); He, S. (TU Delft Materials and Environment); Du, L. (TU Delft Microelectronics; TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Microelectronics; TU Delft Electronic Components, Technology and Materials)","","2023","The trend to 3D and heterogeneous integration enable driving multi-functional blocks in one package. Flip-chip integration is currently playing an important role and is based on solder joints. To overcome the limitations of solder joints, all-copper interconnects have been investigated to meet electrical, thermal, and reliability demands in 3D integration. The underfill process is widely applied in flip-chip encapsulation technology. We propose a novel wafer-scale all-Cu interconnect method combining epoxy-based photo-patternable polymer as self-aligned underfill layer with the patterned copper nanoparticles interconnects. The resulting test wafers were able to pattern 20 µm pitch copper nanoparticle-paste interconnects on both substrates with and without photoimageable polymer. The Cu paste was applied to form the interconnects and was sintered after bonding process. Free-standing nanocopper is sintered to obtain mechanical properties with a Young's modulus of 112 GPa. All-Cu interconnects with diameter of 50 µm and 100 µm were measured to achieve the specific contact resistance, ranging from 1.4 × 10-5O· cm2 to 1.0 × 10-5O· cm2 at different sintering temperature when epoxy-based underfill existing. And its resistivity was 4.54× 10-4 O· cm, compared to 5.86× 10-4O· cn for the all-Cu interconnects without underfill.","all-Cu interconnects; copper nanoparticles; epoxy-based photoresist; flip chip; underfill","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:d5cafd9d-8aa5-41cd-9d34-0ed3386f9bb1","http://resolver.tudelft.nl/uuid:d5cafd9d-8aa5-41cd-9d34-0ed3386f9bb1","Enabling Large-Scale Probabilistic Seizure Detection with a Tensor-Network Kalman Filter for LS-SVM","de Rooij, S.J.S. (TU Delft Signal Processing Systems); Batselier, K. (TU Delft Team Kim Batselier); Hunyadi, Borbala (TU Delft Signal Processing Systems)","","2023","Recent advancements in wearable EEG devices have highlighted the importance of accurate seizure detection algorithms, yet the ever-increasing size of the generated datasets poses a significant challenge to existing seizure detection methods based on kernel machines. Typically, this problem is mitigated by significantly undersampling the majority class, but in practice, these methods tend to suffer from too many false alarms. Recent works have proposed tensor networks to enable large-scale classification with kernel machines. In this paper, we explore the use of a probabilistic tensor method, the tensor-network Kalman filter for LS-SVMs (TNKF-LSSVM), for seizure detection, as we hypothesize that using more data will improve the detection performance. We show that the TNKF-LSSVM performs comparably to a regular LSSVM in detecting seizures when both are trained on the same dataset. Additionally, the TNKF-LSSVM can provide meaningful uncertainty quantification, and it is able to handle large-scale datasets beyond the capabilities of the LS-SVM (i.e., $N \gt 10 ^{5})$. However, for the presented model configuration detection performance does not seem to improve with more input data.","epilepsy; Kalman filter; seizure detection; SVM; tensor network","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Signal Processing Systems","","",""
"uuid:58e36a5c-feaf-4098-abd5-028efdf97c02","http://resolver.tudelft.nl/uuid:58e36a5c-feaf-4098-abd5-028efdf97c02","High-temperature creep properties of a novel solder material and its thermal fatigue properties under potting material","Du, L. (TU Delft Electronic Components, Technology and Materials); Zhao, Xiujuan (Signify); Poelma, René H. (TU Delft Electronic Components, Technology and Materials; Nexperia B.V.); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","SnBiAgCu solder alloy is an attractive soldering material for temperature-sensitive electronic devices due to its excellent creep properties. This study firstly reports the creep properties of SnBiAgCu solder alloy under different temperatures. Results show that the addition of Bi resulted in better creep resistance compared with that of commercial SAC305 (Sn-3.0Ag-0.5Cu). Secondly, dynamic mechanical analyses were performed to get the storage modulus and glass transition temperature of potting compounds. Finally, a finite element modeling based analysis were used to figure out the different failure mechanism due to the presence of potting materials. The accurate simulation data offers an optimization reference for the selection of solder and potting materials.","creep; potting material; solder; thermal fatigue","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Electronic Components, Technology and Materials","","",""
"uuid:6a374133-c1fd-42b7-a63d-151ceaadd48a","http://resolver.tudelft.nl/uuid:6a374133-c1fd-42b7-a63d-151ceaadd48a","Impact of Temperature Cycling Conditions on Board Level Vibration for Automotive Applications","Thukral, V. (NXP Semiconductors); Bacquet, Irene (NXP Semiconductors); Van Soestbergen, Michiel (NXP Semiconductors); Zaal, Jeroen (NXP Semiconductors); Roucou, Romuald (NXP Semiconductors); Rongen, Rene (NXP Semiconductors); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Board level vibration testing is a commonly used method to predict the solder joint reliability of surface-mounted components seated onto printed circuit boards (PCB). Current board level vibration test methods are mainly developed from a solely mechanical stress application standpoint. This makes such stress tests one dimensional in nature and translation from experimentally obtained test results to the field life of components experiencing combined stress environments become ambiguous. This investigation provides insights to develop a highly accelerated vibration test approach to cover simultaneous vibration and temperature loading situations in the field. In this paper, test board layouts from the board level drop test method, JESD22-B111 (rectangular PCB), and JESD22-B111A (square PCB), prescribed by the Joint Electronic Device Engineering Council (JEDEC), are used to understand the combined stress applied to the solder interconnects. The evaluation process is carried out by means of simulations, supported by targeted experiments on ball grid array (BGA) packages with dimensions sizing from 12x12mm to 15x15mm. The results on rectangular test board assembly show reduced characteristic lifetime of solder joints when stressed under combined temperature-vibration test conditions. On the other hand, the square-shaped board type exhibits a different acceleration factor with a longer solder fatigue lifetime than that of the rectangular-shaped PCB type. Finite element simulation results complement well with this finding.","Ball grid Array package; Board Level Reliability; Highly Accelerated Lifetime Testing; PCB dynamic response; Temperature Coupled Vibration Test Method","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Electronic Components, Technology and Materials","","",""
"uuid:602dff4a-0aec-4ad0-9e59-82553541ef5f","http://resolver.tudelft.nl/uuid:602dff4a-0aec-4ad0-9e59-82553541ef5f","Harnessing Large Language Models for Cognitive Assistants in Factories","Kernan Freire, S. (TU Delft Internet of Things); Foosherian, Mina (University of Bremen); Wang, C.W. (TU Delft Human-Centred Artificial Intelligence); Niforatos, E. (TU Delft Internet of Things)","","2023","As agile manufacturing expands and workforce mobility increases, the importance of efficient knowledge transfer among factory workers grows. Cognitive Assistants (CAs) with Large Language Models (LLMs), like GPT-3.5, can bridge knowledge gaps and improve worker performance in manufacturing settings. This study investigates the opportunities, risks, and user acceptance of LLM-powered CAs in two factory contexts: textile and detergent production. Several opportunities and risks are identified through a literature review, proof-of-concept implementation, and focus group sessions. Factory representatives raise concerns regarding data security, privacy, and the reliability of LLMs in high-stake environments. By following design guidelines regarding persistent memory, real-time data integration, security, privacy, and ethical concerns, LLM-powered CAs can become valuable assets in manufacturing settings and other industries.","cognitive assistant; conversational user interfaces; human-centered AI; industry 5.0; knowledge management; knowledge sharing","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-19","","","Internet of Things","","",""
"uuid:36b73375-cd07-4da1-9065-7a681af7fe19","http://resolver.tudelft.nl/uuid:36b73375-cd07-4da1-9065-7a681af7fe19","New ways for monitoring the public workforce after the pandemic: The management and performance program in the Brazilian Federal Government","Kleiman, F. (TU Delft Information and Communication Technology); Rego, Roberto Seara Mac Hado Pojo (Universidade de Brasélia); Farias, Rogerio De Souza (Universidade de Brasélia); Nogueira, Nathália Junca (Universidade Federal Fluminense); Brito, Thais Barral De Oliveira (Universidade Federal da Bahia); Marasca Bertazzi, Danilo Marasca (Universidade de São Paulo); Barbosa, Marcelo Mendes (Universidade de Brasélia)","Cid, David Duenas (editor)","2023","The sanitary emergency of the COVID-19 pandemic resulted in a push for the adoption of teleworking in both public and private sector. In the Brazilian Federal Government, such effort was supported by a national program named Management and Performance Program - PGD (Programa de Gestão e Desempenho). The PGD enabled the country's federal civil servants from the Executive to work from home and was established with three main pillars. First, it supported new ways of contracting deliverables between management and teams. They allowed work to be monitored remotely instead of the old-fashioned procedure of controlling time sheets. Second, to unleash the possibilities of such contracting, governmental units were pushed to map their main service delivery processes and transform them into tasks that could be rationally distributed to teams. Third, new digital systems registered these agreements and monitored their execution monthly. Based on the case study of the PGD, this policy paper describes the development of the PGD within the Brazilian government and indicates challenges to progress with its implementation. We conclude that the PGD might have created the needed structure for the government to step towards new ways of managing the workforce. This policy paper presented the case study of the PGD, a transformation program within the Brazilian government that enabled remote work in public service in Brazil. The PGD study case was based on documents, websites, articles, and news from the Program's implementation process. Many of the presented discussions are ongoing and aim to support practical and academic discussions on the challenges of implementing government transformation. The PGD is the Brazilian national Program that comprises legislation, digital systems, and management practices improved during the pandemic to enable teleworking in the government. Besides remote work, it can be seen as a driver for setting new work planning and monitoring practices. The PGD demanded innovative digital systems and generated opportunities for strategic changes in the Brazilian public service.","Civil Servants; Digital Government; Digital Systems; Teleworking","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Information and Communication Technology","","",""
"uuid:359d9a1e-b953-439e-a85e-bf7bb9108e94","http://resolver.tudelft.nl/uuid:359d9a1e-b953-439e-a85e-bf7bb9108e94","Fourth Annual Workshop on A/B Testing and Platform-Enabled Learning Research","Ritter, Steve (Carnegie Learning); Heffernan, Neil (Worcester Polytechnic Institute); Williams, Joseph Jay (University of Toronto); Lomas, J.D. (TU Delft Design Aesthetics); Bicknell, Klinton (Duolingo); Roschelle, Jeremy (Digital Promise); Motz, Ben (Indiana University - Purdue University); McNamara, Danielle (Arizona State University); Baraniuk, Richard (Rice University)","","2023","Learning engineering adds tools and processes to learning platforms to support improvement research. One kind of tool is A/B testing-common in large software companies and also represented academically at conferences like the Annual Conference on Digital Experimentation (CODE). A number of A/B testing systems focused on educational apps have arisen recently, including UpGrade and E-TRIALS. A/B testing can help improve educational platforms, yet challenging issues in education go beyond the generic paradigm. In response, a number of of digital learning platforms is opening their systems to learning-improvement research by instructors and/or third-party researchers, with specific supports necessary for education-specific research designs. This workshop will explore how A/B testing in educational contexts is different, how learning platforms are opening up new possibilities, and how these empirical approaches can be used to drive powerful gains in student learning. It will also discuss forthcoming opportunities for funding to conduct platform-enabled learning research.","A/B Testing; Digital Experimentation; Educational Technology; Learning Engineering","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Design Aesthetics","","",""
"uuid:b0968469-7f34-4d3f-b719-822be467172a","http://resolver.tudelft.nl/uuid:b0968469-7f34-4d3f-b719-822be467172a","Orchestration Procedures for the Network Intelligence Stratum in 6G Networks","Chatzieleftheriou, Livia Elena (Carlos III University of Madrid); Gramaglia, Marco (UC3M); Camelo, Miguel (Universiteit Antwerpen); Garcia-Saavedra, Andres (NEC Laboratories Europe); Kosmatos, Evangelos (WINGS ICT Solutions); Gucciardo, Michele (IMDEA Networks Institute); Soto, Paola (Universiteit Antwerpen); Iosifidis, G. (TU Delft Networked Systems); Fuentes, Lidia (Universidad de Málaga)","","2023","The quest for autonomous mobile networks introdu-ces the need for fully native support for Network Intelligence (NI) algorithms, typically based on Artificial Intelligence tools like Machine Learning, which shall be gathered into a NI stratum. The NI stratum is responsible for the full automation of the NI operation in the network, including the management of the life-cycle of NI algorithms, in a way that is synergic with traditional network management and orchestration framework. In this regard, the NI stratum must accommodate the unique requirements of NI algorithms, which differ from the ones of, e.g., virtual network functions, and thus plays a critical role in the native integration of NI into current network architectures. In this paper, we leverage the recently proposed concept of Network Intelligence Orchestrator (NIO) to (i) define the specific requirements of NI algorithms, and (ii) discuss the procedures that shall be supported by an NIO sitting in the NI stratum to effectively manage NI algorithms. We then (iii) introduce a reference implementation of the NIO defined above using cloud-native open-source tools.","Intelligence Plane; Network Intelligence; Network Intelligence Or-chestration","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-26","","","Networked Systems","","",""
"uuid:9cf2e616-cd14-48cc-8d85-42fa5a018cf2","http://resolver.tudelft.nl/uuid:9cf2e616-cd14-48cc-8d85-42fa5a018cf2","Design and acoustic characterization of a psycho-acoustic listening facility","Merino Martinez, R. (TU Delft Aircraft Noise and Climate Effects); von den Hoff, B. (TU Delft Aircraft Noise and Climate Effects); Simons, D.G. (TU Delft Aircraft Noise and Climate Effects)","Carletti, Eleonora (editor)","2023","The design, development, and acoustic characterization of the Psychoacoustic Listening Laboratory (PALILA) recently established at Delft University of Technology are presented in this manuscript. This laboratory comprises a soundproof room with a modular design and specialized audio equipment. Its primary objective is to conduct experimental investigations into the human perception of aeroacoustic noise sources, such as aircraft, drones, or wind turbines. Furthermore, PALILA is certainly suited for studying other sound sources (e.g. household appliances, ground vehicles, etc.). The manuscript outlines the fundamental characteristics of the facility (i.e. dimensions and materials). A thorough acoustic characterization is provided, including assessments of the background noise levels, reverberation time, free-field sound propagation, and transmission losses of the walls (with respect to the exterior). Overall, PALILA is deemed to be a suitable quiet environment to conduct high-quality psychoacoustic listening experiments.","Acoustic characterization; Human perception; Listening experiments; Psychoacoustics","en","conference paper","Society of Acoustics","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:e5c28d60-e81c-465c-a28a-afb4ff8c3651","http://resolver.tudelft.nl/uuid:e5c28d60-e81c-465c-a28a-afb4ff8c3651","A Missing Piece in the Puzzle: Considering the Role of Task Complexity in Human-AI Decision Making","Salimzadeh, S. (TU Delft Web Information Systems); He, G. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems)","","2023","Recent advances in the performance of machine learning algorithms have led to the adoption of AI models in decision making contexts across various domains such as healthcare, finance, and education.Different research communities have attempted to optimize and evaluate human-AI team performance through empirical studies by increasing transparency of AI systems, or providing explanations to aid human understanding of such systems.However, the variety in decision making tasks considered and their operationalization in prior empirical work, has led to an opacity around how findings from one task or domain carry forward to another.The lack of a standardized means of considering task attributes prevents straightforward comparisons across decision tasks, thereby limiting the generalizability of findings.We argue that the lens of ‘task complexity’ can be used to tackle this problem of under-specification and facilitate comparison across empirical research in this area.To retrospectively explore how different HCI communities have considered the influence of task complexity in designing experiments in the realm of human-AI decision making, we survey literature and provide an overview of empirical studies on this topic.We found a serious dearth in the consideration of task complexity across various studies in this realm of research.Inspired by Robert Wood’s seminal work on the construct, we operationalized task complexity with respect to three dimensions (component, coordinative, and dynamic) and quantified the complexity of decision tasks in existing work accordingly.We then summarized current trends and proposed research directions for the future.Our study highlights the need to account for task complexity as an important design choice.This is a first step to help the scientific community in drawing meaningful comparisons across empirical studies in human-AI decision making and to provide opportunities to generalize findings across diverse domains and experimental settings.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:113da770-210f-4ee4-9862-3f64ceceb1c6","http://resolver.tudelft.nl/uuid:113da770-210f-4ee4-9862-3f64ceceb1c6","Extending 3-DoF Metrics to Model User Behaviour Similarity in 6-DoF Immersive Applications","Rossi, Silvia (Centrum Wiskunde & Informatica (CWI)); Viola, Irene (Centrum Wiskunde & Informatica (CWI)); Toni, Laura (University College London (UCL)); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI))","","2023","Immersive reality technologies, such as Virtual and Augmented Reality, have ushered a new era of user-centric systems, in which every aspect of the coding-delivery-rendering chain is tailored to the interaction of the users. Understanding the actual interactivity and behaviour of the users is still an open challenge and a key step to enabling such a user-centric system. Our main goal is to extend the applicability of existing behavioural methodologies for studying user navigation in the case of 6 Degree-of-Freedom (DoF). Specifically, we first compare the navigation in 6-DoF with its 3-DoF counterpart highlighting the main differences and novelties. Then, we define new metrics aimed at better modelling behavioural similarities between users in a 6-DoF system. We validate and test our solutions on real navigation paths of users interacting with dynamic volumetric media in 6-DoF Virtual Reality conditions. Our results show that metrics that consider both user position and viewing direction better perform in detecting user similarity while navigating in a 6-DoF system. Having easy-To-use but robust metrics that underpin multiple tools and answer the question ""how do we detect if two users look at the same content?""open the gate to new solutions for a user-centric system.","6-DoF; data clustering; immersive reality; point cloud; trajectory analysis; user behavioural analysis; virtual reality","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Multimedia Computing","","",""
"uuid:da76cba1-06a1-4297-a18e-212d08c00cab","http://resolver.tudelft.nl/uuid:da76cba1-06a1-4297-a18e-212d08c00cab","Explainability in AI Policies: A Critical Review of Communications, Reports, Regulations, and Standards in the EU, US, and UK","Nannini, Luca (Universidade de Santiago de Compostela); Balayn, A.M.A. (TU Delft Organisation & Governance; TU Delft Web Information Systems); Smith, Adam Leon (Dragonfly, Barcelona)","","2023","Public attention towards explainability of artificial intelligence (AI) systems has been rising in recent years to offer methodologies for human oversight. This has translated into the proliferation of research outputs, such as from Explainable AI, to enhance transparency and control for system debugging and monitoring, and intelligibility of system process and output for user services. Yet, such outputs are difficult to adopt on a practical level due to a lack of a common regulatory baseline, and the contextual nature of explanations. Governmental policies are now attempting to tackle such exigence, however it remains unclear to what extent published communications, regulations, and standards adopt an informed perspective to support research, industry, and civil interests. In this study, we perform the first thematic and gap analysis of this plethora of policies and standards on explainability in the EU, US, and UK. Through a rigorous survey of policy documents, we first contribute an overview of governmental regulatory trajectories within AI explainability and its sociotechnical impacts. We find that policies are often informed by coarse notions and requirements for explanations. This might be due to the willingness to conciliate explanations foremost as a risk management tool for AI oversight, but also due to the lack of a consensus on what constitutes a valid algorithmic explanation, and how feasible the implementation and deployment of such explanations are across stakeholders of an organization. Informed by AI explainability research, we then conduct a gap analysis of existing policies, which leads us to formulate a set of recommendations on how to address explainability in regulations for AI systems, especially discussing the definition, feasibility, and usability of explanations, as well as allocating accountability to explanation providers.","AI policy; Explainable AI; social epistemology","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Organisation & Governance","","",""
"uuid:ec734213-f60a-4b53-8397-be8dd9044e08","http://resolver.tudelft.nl/uuid:ec734213-f60a-4b53-8397-be8dd9044e08","Hear Me Out: A Study on the Use of the Voice Modality for Crowdsourced Relevance Assessments","Roy, N. (TU Delft Web Information Systems); Balayn, A.M.A. (TU Delft Web Information Systems); Maxwell, D.M. (TU Delft Web Information Systems); Hauff, C. (TU Delft Web Information Systems; Spotify)","","2023","The creation of relevance assessments by human assessors (often nowadays crowdworkers) is a vital step when building IR test collections. Prior works have investigated assessor quality & behaviour, and tooling to support assessors in their task. We have few insights though into the impact of a document's presentation modality on assessor efficiency and effectiveness. Given the rise of voice-based interfaces, we investigate whether it is feasible for assessors to judge the relevance of text documents via a voice-based interface. We ran a user study (n = 49) on a crowdsourcing platform where participants judged the relevance of short and long documents-sampled from the TREC Deep Learning corpus-presented to them either in the text or voice modality. We found that: (i) participants are equally accurate in their judgements across both the text and voice modality; (ii) with increased document length it takes participants significantly longer (for documents of length > 120 words it takes almost twice as much time) to make relevance judgements in the voice condition; and (iii) the ability of assessors to ignore stimuli that are not relevant (i.e., inhibition) impacts the assessment quality in the voice modality-assessors with higher inhibition are significantly more accurate than those with lower inhibition. Our results indicate that we can reliably leverage the voice modality as a means to effectively collect relevance labels from crowdworkers.","Cognitive Ability; Crowdsourcing; Data Annotation; Relevance Assessment; User Interfaces","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:2d9f9c36-fb70-4e41-8ab2-b5c38978cf6a","http://resolver.tudelft.nl/uuid:2d9f9c36-fb70-4e41-8ab2-b5c38978cf6a","Influence of Different 3SAT-to-QUBO Transformations on the Solution Quality of Quantum Annealing: A Benchmark Study","Zielinski, Sebastian (Ludwig Maximilians University); Gabor, Thomas (Ludwig Maximilians University); Nüßlein, Jonas (Ludwig Maximilians University); Linnhoff-Popien, Claudia (Ludwig Maximilians University); Stein, Jonas (Ludwig Maximilians University); Feld, S. (TU Delft Quantum Circuit Architectures and Technology)","","2023","To solve 3sat instances on quantum annealers they need to be transformed to an instance of Quadratic Unconstrained Binary Optimization (QUBO). When there are multiple transformations available, the question arises whether different transformations lead to differences in the obtained solution quality. Thus, in this paper we conduct an empirical benchmark study, in which we compare four structurally different QUBO transformations for the 3sat problem with regards to the solution quality on D-Wave’s Advantage_system4.1. We show that the choice of QUBO transformation can significantly impact the number of correct solutions the quantum annealer returns. Furthermore, we show that the size of a QUBO instance (i.e., the dimension of the QUBO matrix) is not a sufficient predictor for solution quality, as larger QUBO instances may produce better results than smaller QUBO instances for the same problem. We also empirically show that the number of different quadratic values of a QUBO instance, combined with their range, can significantly impact the solution quality.","3sat; ising; quantum annealing; qubo; satisfiability","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Quantum Circuit Architectures and Technology","","",""
"uuid:e81c0657-f338-4389-82eb-6d9e9ebd6d1f","http://resolver.tudelft.nl/uuid:e81c0657-f338-4389-82eb-6d9e9ebd6d1f","Thinking Spatially About Data: A Developing Framework to Understand Children’s Spatial Reasoning in Data Physicalization","Zhu, C. (TU Delft Science Education and Communication); Klapwijk, R.M. (TU Delft Science Education and Communication)","","2023","Encoding intangible data variables with visual, spatial, and physical properties demands a high level of spatial reasoning. The ability to reason spatially is widely deemed critical to science, technology, engineering, arts, and mathematics (STEAM) learning. While much research has explored the relationship between learning with visualizations and spatial skills development, little is known about how children use their spatial reasoning in constructing tangible visualizations. This work-in-progress investigates how data physicalization activities, organized within a Design module in primary classrooms in the Netherlands, provide a window to understanding children’s spatial reasoning about data. Based on preliminary analysis, we identify six indicators of children’s spatial reasoning as observed in their constructing processes and artifacts. Most children in the study used tangible materials of varied sizes, curated meaningful spatial arrangements, and employed different unitizing methods to encode numerical data with spatial properties. Some children adjusted the sizes, units, or spatial arrangement to refine their tangible visualizations, considered the pros and cons of two- and three-dimensional forms of presentation, and made creative use of spatial shapes. In summary, this case study offers insights into children’s use of spatial reasoning in data physicalization creation and practical implications for situating data physicalization activities in formal learning environments.","constructive visualization; data physicalization; design education; maker education; spatial reasoning; spatial thinking; tangible user interfaces","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Science Education and Communication","","",""
"uuid:d804f5e3-df27-4ac8-81d2-438ceae146d5","http://resolver.tudelft.nl/uuid:d804f5e3-df27-4ac8-81d2-438ceae146d5","Explainable Information Retrieval","Anand, A. (TU Delft Web Information Systems); Sen, Procheta (University of Liverpool); Saha, Sourav (Indian Statistical Institute); Verma, Manisha (Amazon.com Inc.); Mitra, Mandar (Indian Statistical Institute)","","2023","This tutorial presents explainable information retrieval (ExIR), an emerging area focused on fostering responsible and trustworthy deployment of machine learning systems in the context of information retrieval. As the field has rapidly evolved in the past 4-5 years, numerous approaches have been proposed that focus on different access modes, stakeholders, and model development stages. This tutorial aims to introduce IR-centric notions, classification, and evaluation styles in ExIR, while focusing on IR-specific tasks such as ranking, text classification, and learning-to-rank systems. We will delve into method families and their adaptations to IR, extensively covering post-hoc methods, axiomatic and probing approaches, and recent advances in interpretability-by-design approaches. We will also discuss ExIR applications for different stakeholders, such as researchers, practitioners, and end-users, in contexts like web search, patent and legal search, and high-stakes decision-making tasks. To facilitate practical understanding, we will provide a hands-on session on applying ExIR methods, reducing the entry barrier for students, researchers, and practitioners alike.","axiomatic ranking; explainable information retrieval; interpretable by design; posthoc interpretability; probing","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Web Information Systems","","",""
"uuid:677406cc-6eb9-48ce-ab84-c3a1e89795e2","http://resolver.tudelft.nl/uuid:677406cc-6eb9-48ce-ab84-c3a1e89795e2","Modeling Strong Physically Unclonable Functions with Metaheuristics","Coello, Carlos Coello; Krcek, M. (TU Delft Cyber Security); Durasevic, Marko (University of Zagreb); Mariot, L. (TU Delft Cyber Security; University of Twente); Jakobovic, Domagoj (University of Zagreb); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen)","","2023","Evolutionary algorithms have been successfully applied to attack Physically Unclonable Functions (PUFs). CMA-ES is recognized as the most powerful option for a type of attack called the reliability attack. In this paper, we take a step back and systematically evaluate several metaheuristics for the challenge-response pair-based attack on strong PUFs. Our results confirm that CMA-ES has the best performance, but we note several other algorithms with similar performance while having smaller computational costs.","CMA-ES; CRP; Metaheuristics; Physically Unclonable Functions","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Cyber Security","","",""
"uuid:db818f60-d125-4f25-9b39-fe42589c89a7","http://resolver.tudelft.nl/uuid:db818f60-d125-4f25-9b39-fe42589c89a7","When VLC Meets Under-Screen Camera","Ye, Hanting (TU Delft Embedded Systems); Xiong, Jie (University of Massachusetts Amherst); Wang, Q. (TU Delft Embedded Systems)","","2023","While radio communication still dominates in 5G, light and radios are expected to complement each other in the coming 6G networks. Visible Light Communication (VLC) is therefore attracting a tremendous amount of attention from both academia and industry. Recent studies showed that the front camera of pervasive smartphones is an ideal candidate to serve as the VLC receiver. While promising, we observe a recent trend with smartphones that can greatly hinder the adoption of smartphones for VLC, i.e., smartphones are moving towards full-screen for the best user experience. This trend forces front cameras to be placed under the devices' screen - -leading to the so-called Under-Screen Camera (USC) - -but we observe a severe performance degradation in VLC with USC: the transmission range is reduced from a few meters to merely 0.04 m, and the throughput is decreased by more than 90%. To address this issue, we leverage the unique spatiotemporal characteristics of the rolling shutter effect on USC to design a pixel-sweeping algorithm to identify the sampling points with minimal interference from the translucent screen. We further propose a novel slope-boosting demodulation method to deal with color shift brought by the leakage interference. We build a proof-of-concept prototype using two commercial smart-phones. Experiment results show that our proposed design reduces the BER by two orders of magnitude on average and improves the data rate by 59×: from 914 b/s to 54.43 kb/s. The transmission range is extended by roughly 100×: from 0.04 m to 4.2 m.","color-shift keying; full-screen; optical camera communication; through-screen VLC; translucent screen; under-screen camera","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Embedded Systems","","",""
"uuid:868cdc34-8803-4b46-8996-967c3b3c80c6","http://resolver.tudelft.nl/uuid:868cdc34-8803-4b46-8996-967c3b3c80c6","Self-consumption rises due to energy crises? An evaluation of prosumers' consumption behavior in 2022","Pelka, S. (Fraunhofer Institute for Systems and Innovation Research ISI); Conradie, Peter (Universiteit Gent; IMEC-Solliance); De Vries, Laurens (TU Delft Energie and Industrie); Anatolitis, Vasilios (Fraunhofer Institute for Systems and Innovation Research ISI); Martens, Emma (Universiteit Gent); Chappin, E.J.L. (TU Delft Energie and Industrie); Karaliopoulos, Merkouris (Athens University of Economics and Business); Anagnostopoulos, Filippos (Institute for European Energy and Climate Policy); Preuß, Sabine (Fraunhofer Institute for Systems and Innovation Research ISI)","","2023","Prosumers with photovoltaic systems can reduce their electricity expenses by increasing their consumption of self-generated electricity. This makes them more resilient to price shocks, like the 2022 European energy crisis. We evaluate how prosumers adapt their consumption behavior in response to such political uncertainty and increasing electricity prices. The collected survey and smart meter data allow us to evaluate the perceived self-reported and measured impact on self-consumption.Saving intentions due to the energy crisis are more clearly displayed by the survey than by the measured self-consumption. While solar radiation predominantly explains self-consumption changes, Google searches on electricity-related topics have limited explanatory power. However, considering time lags and the interaction with solar radiation leads to more nuanced insights on the effect of Google searches. Depending on the level of solar radiation, the effect of Google searches ranges from decreasing the daily self-consumption by 26.45 Wh to increasing it by 69.45 Wh.","Energy Crisis; Energy Savings; Google Trends; Prosumer; Smart Meter Data","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-03","","","Energie and Industrie","","",""
"uuid:20817bd2-c257-48d8-8efe-0a55993f3fe0","http://resolver.tudelft.nl/uuid:20817bd2-c257-48d8-8efe-0a55993f3fe0","FedKNOW: Federated Continual Learning with Signature Task Knowledge Integration at Edge","Luopan, Yaxin (Beijing Institute of Technology); Han, Rui (Beijing Institute of Technology); Zhang, Qinglong (Beijing Institute of Technology); Liu, Chi Harold (Beijing Institute of Technology); Wang, Guoren (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2023","Deep Neural Networks (DNNs) have been ubiquitously adopted in internet of things and are becoming an integral of our daily life. When tackling the evolving learning tasks in real world, such as classifying different types of objects, DNNs face the challenge to continually retrain themselves according to the tasks on different edge devices. Federated continual learning is a promising technique that offers partial solutions but yet to overcome the following difficulties: the significant accuracy loss due to the limited on-device processing, the negative knowledge transfer caused by the limited communication of non-IID data, and the limited scalability on the tasks and edge devices. In this paper, we propose FedKNOW, an accurate and scalable federated continual learning framework, via a novel concept of signature task knowledge. FedKNOW is a client side solution that continuously extracts and integrates the knowledge of signature tasks which are highly influenced by the current task. Each client of FedKNOW is composed of a knowledge extractor, a gradient restorer and, most importantly, a gradient integrator. Upon training for a new task, the gradient integrator ensures the prevention of catastrophic forgetting and mitigation of negative knowledge transfer by effectively combining signature tasks identified from the past local tasks and other clients' current tasks through the global model. We implement FedKNOW in PyTorch and extensively evaluate it against state-of-the-art techniques using popular federated continual learning benchmarks. Extensive evaluation results on heterogeneous edge devices show that FedKNOW improves model accuracy by 63.24% without increasing model training time, reduces communication cost by 34.28%, and achieves more improvements under difficult scenarios such as large numbers of tasks or clients, and training different complex networks.","communication; continual learning; deep neural networks; Federated learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-26","","","Data-Intensive Systems","","",""
"uuid:1bf43af1-cdc8-4d26-8449-1aaf77db8a4f","http://resolver.tudelft.nl/uuid:1bf43af1-cdc8-4d26-8449-1aaf77db8a4f","Amalur: Data Integration Meets Machine Learning","Hai, R. (TU Delft Web Information Systems); Koutras, C. (TU Delft Web Information Systems); Ionescu, A. (TU Delft Web Information Systems); Li, Z. (TU Delft Web Information Systems); Sun, W. (TU Delft Web Information Systems); van Schijndel, Jessie (Student TU Delft); Kang, Yan (WeBank); Katsifodimos, A (TU Delft Web Information Systems)","","2023","Machine learning (ML) training data is often scattered across disparate collections of datasets, called data silos. This fragmentation poses a major challenge for data-intensive ML applications: integrating and transforming data residing in different sources demand a lot of manual work and computational resources. With data privacy and security constraints, data often cannot leave the premises of data silos, hence model training should proceed in a decentralized manner. In this work, we present a vision of how to bridge the traditional data integration (DI) techniques with the requirements of modern machine learning. We explore the possibilities of utilizing metadata obtained from data integration processes for improving the effectiveness and efficiency of ML models. Towards this direction, we analyze two common use cases over data silos, feature augmentation and federated learning. Bringing data integration and machine learning together, we highlight new research opportunities from the aspects of systems, representations, factorized learning and federated learning.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-26","","","Web Information Systems","","",""
"uuid:02197e3e-2cd1-4d98-a152-c6d28d4264f8","http://resolver.tudelft.nl/uuid:02197e3e-2cd1-4d98-a152-c6d28d4264f8","Mini-Batching, Gradient-Clipping, First-versus Second-Order: What Works in Gradient-Based Coefficient Optimisation for Symbolic Regression'","Harrison, Joe (Centrum Wiskunde & Informatica (CWI)); Virgolin, Marco (Centrum Wiskunde & Informatica (CWI)); Alderliesten, T. (Leiden University Medical Center); Bosman, P.A.N. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","","2023","The aim of Symbolic Regression (SR) is to discover interpretable expressions that accurately describe data. The accuracy of an expression depends on both its structure and coefficients. To keep the structure simple enough to be interpretable, effective coefficient optimisation becomes key. Gradient-based optimisation is clearly effective at training neural networks in Deep Learning (DL), which can essentially be viewed as large, over-parameterised expressions: in this paper, we study how gradient-based optimisation techniques as often used in DL transfer to SR. In particular, we first assess what techniques work well across random SR expressions, independent of any specific SR algorithm. We find that mini-batching and gradient-clipping can be helpful (similar to DL), while second-order optimisers outperform first-order ones (different from DL). Next, we consider whether including gradient-based optimisation in Genetic Programming (GP), a classic SR algorithm, is beneficial. On five real-world datasets, in a generation-based comparison, we find that second-order optimisation outperforms coefficient mutation (or no optimisation). However, in time-based comparisons, performance gaps shrink substantially because the computational expensiveness of second-order optimisation causes GP to perform fewer generations. The interplay of computational costs between the optimisation of structure and coefficients is thus a critical aspect to consider.","coefficient optimisation; explainable AI; genetic programming; gradient descent; symbolic regression","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Algorithmics","","",""
"uuid:0ba1bce8-d873-4991-9776-cd9009ca2137","http://resolver.tudelft.nl/uuid:0ba1bce8-d873-4991-9776-cd9009ca2137","The impact of asynchrony on parallel model-based eas","Guijt, Arthur (Centrum Wiskunde & Informatica (CWI)); Thierens, Dirk (Universiteit Utrecht); Alderliesten, T. (Leiden University Medical Center); Bosman, P.A.N. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","","2023","In a parallel EA one can strictly adhere to the generational clock, and wait for all evaluations in a generation to be done. However, this idle time limits the throughput of the algorithm and wastes computational resources. Alternatively, an EA can be made asynchronous parallel. However, EAs using classic recombination and selection operators (GAs) are known to suffer from an evaluation time bias, which also influences the performance of the approach. Model-Based Evolutionary Algorithms (MBEAs) are more scalable than classic GAs by virtue of capturing the structure of a problem in a model. If this model is learned through linkage learning based on the population, the learned model may also capture biases. Thus, if an asynchronous parallel MBEA is also affected by an evaluation time bias, this could result in learned models to be less suited to solving the problem, reducing performance. Therefore, in this work, we study the impact and presence of evaluation time biases on MBEAs in an asynchronous parallelization setting, and compare this to the biases in GAs. We find that a modern MBEA, GOMEA, is unaffected by evaluation time biases, while the more classical MBEA, ECGA, is affected, much like GAs are.","asynchronous algorithms; genetic algorithms; linkage learning; model-based evolutionary algorithms; parallel algorithms","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Algorithmics","","",""
"uuid:d1374e6c-27cb-407e-82a8-b617974a525b","http://resolver.tudelft.nl/uuid:d1374e6c-27cb-407e-82a8-b617974a525b","A Machine with Short-Term, Episodic, and Semantic Memory Systems","Kim, Taewoon (Vrije Universiteit Amsterdam); Cochez, Michael (Vrije Universiteit Amsterdam); François-Lavet, Vincent (Vrije Universiteit Amsterdam); Neerincx, M.A. (TU Delft Interactive Intelligence); Vossen, Piek (Vrije Universiteit Amsterdam)","Williams, Brian (editor); Chen, Yiling (editor); Neville, Jennifer (editor)","2023","Inspired by the cognitive science theory of the explicit human memory systems, we have modeled an agent with short-term, episodic, and semantic memory systems, each of which is modeled with a knowledge graph. To evaluate this system and analyze the behavior of this agent, we designed and released our own reinforcement learning agent environment, “the Room”, where an agent has to learn how to encode, store, and retrieve memories to maximize its return by answering questions. We show that our deep Q-learning based agent successfully learns whether a short-term memory should be forgotten, or rather be stored in the episodic or semantic memory systems. Our experiments indicate that an agent with human-like memory systems can outperform an agent without this memory structure in the environment.","","en","conference paper","American Association for Artificial Intelligence (AAAI)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-27","","","Interactive Intelligence","","",""
"uuid:73f519af-43bb-49b4-a25e-ed8680437d58","http://resolver.tudelft.nl/uuid:73f519af-43bb-49b4-a25e-ed8680437d58","Scalable multi-chip quantum architectures enabled by cryogenic hybrid wireless/quantum-coherent network-in-package","Alarcon, Eduard (Universitat Politecnica de Catalunya); Abadal, Sergi (Universitat Politecnica de Catalunya); Sebastiano, F. (TU Delft Quantum Circuit Architectures and Technology); Babaie, M. (TU Delft Electronics); Charbon-Iwasaki-Charbon, E. (Swiss Federal Institute of Technology); Bolivar, Peter Haring (University of Siegen); Palesi, Maurizio (University of Catania); Staszewski, R.B. (University College Dublin); Almudever, Carmen G. (Technical University of Valencia)","","2023","The grand challenge of scaling up quantum computers requires a full-stack architectural standpoint. In this position paper, we will present the vision of a new generation of scalable quantum computing architectures featuring distributed quantum cores (Qcores) interconnected via quantum-coherent qubit state transfer links and orchestrated via an integrated wireless interconnect.","full-stack architecture design; Scalability quantum computing systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-21","","","Quantum Circuit Architectures and Technology","","",""
"uuid:49124128-422f-4f6e-8ef2-45142046fe5d","http://resolver.tudelft.nl/uuid:49124128-422f-4f6e-8ef2-45142046fe5d","EdgeVisionBench: A Benchmark of Evolving Input Domains for Vision Applications at Edge","Zhang, Qinglong (Beijing Institute of Technology); Han, Rui (Beijing Institute of Technology); Liu, Chi Harold (Beijing Institute of Technology); Wang, Guoren (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2023","Vision applications powered by deep neural networks (DNNs) are widely deployed on edge devices and solve the learning tasks of incoming data streams whose class label and input feature continuously evolve, known as domain shift. Despite its prominent presence in real-world edge scenarios, existing benchmarks used by domain adaptation methods overlook evolving domains and under represent their shifts in label and feature distributions. To address this gap, we present EdgeVisionBench, a benchmark seeking to generate evolving domains of various types and reflect their realistic label and feature shifts encountered by edge-based vision applications. To facilitate evaluating domain adaptation methods on edge devices, we provide an open-source package that automates workload generation, contains popular DNN models and compression techniques, and standardizes evaluations with interactive interfaces. Code and datasets are available at https://github.com/LINC-BIT/EdgeVisionBench.","benchmark; Edge computing; evolving domains; vision applications","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-26","","","Data-Intensive Systems","","",""
"uuid:5d494e33-1adb-4bda-af27-ede0ecd0cc34","http://resolver.tudelft.nl/uuid:5d494e33-1adb-4bda-af27-ede0ecd0cc34","A 1024-Channel 268 nW/pixel 36x36 μm2/ch Data-Compressive Neural Recording IC for High-Bandwidth Brain-Computer Interfaces","Jang, Moon Hyung (Stanford University); Yu, Wei-Han (University of Macau); Lee, Changuk (Yonsei University); Hays, Maddy (Stanford University); Wang, Pingyu (Stanford University); Vitale, Nick (Stanford University); Tandon, Pulkit (Stanford University); Chae, Youngcheol (Yonsei University); Muratore, D.G. (TU Delft Bio-Electronics)","","2023","This paper presents a neural recording IC featuring lossy compression during digitization, thus preventing data deluge and enabling a compact active digital pixel design. The wired-OR-based compression discards unwanted baseline samples while allowing the reconstruction of spike samples. The IC features a 32x32 MEA with 36 μ m pixel pitch and consumes 268nW per pixel from a single 1V supply. It achieves 9.8 μ VRMS input-referred noise and 0.3-5kHz bandwidth, resulting in NEF/PEF of 3.7/14.1.","brain; compression; interface; neural; recording","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-24","","","Bio-Electronics","","",""
"uuid:4a9b1325-e776-4346-866b-b6c0922df9e1","http://resolver.tudelft.nl/uuid:4a9b1325-e776-4346-866b-b6c0922df9e1","GNN4IFA: Interest Flooding Attack Detection With Graph Neural Networks","Agiollo, A. (University of Bologna); Bardhi, Enkeleda (Sapienza University of Rome); Conti, M. (TU Delft Cyber Security; University of Padua); Lazzeretti, Riccardo (Sapienza University of Rome); Losiouk, Eleonora (University of Padua); Omicini, Andrea (University of Bologna)","","2023","In the context of Information-Centric Networking, Interest Flooding Attacks (IFAs) represent a new and dangerous sort of distributed denial of service. Since existing proposals targeting IFAs mainly focus on local information, in this paper we propose GNN4IFA as the first mechanism exploiting complex non-local knowledge for IFA detection by leveraging Graph Neural Networks (GNNs) handling the overall network topology.In order to test GNN4IFA, we collect SPOTIFAI, a novel dataset filling the current lack of available IFA datasets by covering a variety of IFA setups, including ~40 heterogeneous scenarios over three network topologies. We show that GNN4IFA performs well on all tested topologies and setups, reaching over 99% detection rate along with a negligible false positive rate and small computational costs. Overall, GNN4IFA overcomes state-of-the-art detection mechanisms both in terms of raw detection and flexibility, and - unlike all previous solutions in the literature - also enables the transfer of its detection on network topologies different from the one used in its design phase.","Emerging Networks; Graph Neural Networks; Interest Flooding Attacks; Network Security","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-31","","","Cyber Security","","",""
"uuid:8fdb14ae-7112-45fb-82ce-e9e4ec151b77","http://resolver.tudelft.nl/uuid:8fdb14ae-7112-45fb-82ce-e9e4ec151b77","The NLBSE'23 Tool Competition","Kallis, Rafael (Rafael Kallis Consulting, Zurich); Izadi, M. (TU Delft Software Engineering); Pascarella, Luca (ETH Zürich); Chaparro, Oscar (College of William and Mary); Rani, Pooja (University of Zürich)","O'Conner, Lisa (editor)","2023","We report on the organization and results of the second edition of the tool competition from the International Workshop on Natural Language-based Software Engineering (NLBSE'23). As in the prior edition, we organized the competition on automated issue report classification, with a larger dataset. This year, we featured an extra competition on au-tomated code comment classification. In this tool competition edition, five teams submitted multiple classification models to automatically classify issue reports and code comments. The submitted models were fine-tuned and evaluated on a benchmark dataset of 1.4 million issue reports or 6.7 thousand code comments, respectively. The goal of the competition was to improve the classification performance of the baseline models that we provided. This paper reports details of the competition, including the rules, the teams and contestant models, and the ranking of models based on their average classification performance across issue report and code comment types.","Benchmark; Code Comments; Issue Reports; Labeling; Tool-Competition","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-26","","","Software Engineering","","",""
"uuid:4ef066aa-5dae-47f2-85d4-010082407d0a","http://resolver.tudelft.nl/uuid:4ef066aa-5dae-47f2-85d4-010082407d0a","Thermal-mechanical-electrical Co-design of Fan-Out Panel-Level SiC MOSFET Packaging with a Multi-objective Optimization Algorithm","Chen, Wei (Fudan University); Yan, Xuyang (Fudan University); Ibrahim, Mesfin S. (Centre for Advances in Reliability and Safety); Meda, Abdulmelik H. (The Hong Kong Polytechnic University); Fan, X. (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University)","","2023","As the next generation of semiconductor devices, SiC MOSFETs have demonstrated significant performance improvements in switching loss, switching frequency, and high-temperature operation compared to Si-based MOSFETs. However, the long-term reliability of such devices and their packaging continues to be a major concern. Towards addressing this challenge, this study proposes a multi-objective optimization design method for parasitic inductance (L), thermal strain (?), and thermal resistance (R) of SiC MOSFETs with Fan-Out Panel-Level Packaging (FOPLP). First, the orthogonal experimental design was employed to investigate the thickness effects of baseplate, solder, die and redistribution layer (RDL) on L, e, and R. Then, the multi-objective optimization was developed to simultaneously reduce L, G, and R. Finally the fatigue lifetimes of the optimized and initial SiC MOSFET FOPLP structures were compared to verify the optimization's accuracy. Study findings include: (1) Solder thickness was the most significant influence factor for L, e and R of SiC MOSFET FOPLP, L and R increased, and e decreased with increasing solder thickness; (2) The proposed multi-objective optimization method coupled with a genetic algorithm achieved 14.79, 8.96, and 9.28% reduction of L, e, and R, respectively; (3) The fatigue lifetime of solder (SAC305) was evaluated using the Coffin-Manson model, with predicted lifetimes before and after optimization being 6786 and 7085 cycles, respectively, demonstrating that the proposed approach significantly enhanced the designed SiC MOSFET FOPLP's long-term thermal cycling reliability.","FOPLP; Genetic algorithms; Orthogonal experimental design; Reliability optimization; SiC MOSFET","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-30","","","Electronic Components, Technology and Materials","","",""
"uuid:0abfddc4-b24d-46ab-8beb-55df7959d1db","http://resolver.tudelft.nl/uuid:0abfddc4-b24d-46ab-8beb-55df7959d1db","Cryogenic Integration for Quantum Computer Using Diamond Color Center Spin Qubits","Iwai, Toshiki (Fujitsu Limited); Kawaguchi, Kenichi (Fujitsu Limited); Miyatake, Tetsuya (Fujitsu Limited); Ishiguro, Tetsuro (Fujitsu Limited); Miyahara, Shoichi (Fujitsu Limited); Doi, Yoshiyasu (Fujitsu Limited); Nur, S. (TU Delft QID/Ishihara Lab); Ishihara, R. (TU Delft QID/Ishihara Lab; TU Delft Quantum Circuit Architectures and Technology); Sato, Shintaro (Fujitsu Limited)","","2023","For quantum computing modules using diamond color centers, we propose an integrated structure of a quantum chip with photonic circuits and an interposer with electric circuits. The chip and interposer are connected via gold stud bumps using flip-chip bonding technology. For evaluating the proposed integrated structure, we bonded a test chip of 15 × 15 mm2, corresponding to the area that allows the allocation of color center qubits in the order of 102, with an interposer of 20 × 20 mm2, including test measurement lines. We confirm all connections of 16 lines with two bumps for each line at 10 K. The resistance of the lines with two bumps at 10 K is ~ 3.5O, These resistances are mainly attributed to the gold lines on the interposer, which is confirmed by simulations. The shear strength of the flip-chip bonded structure is 67 g/bump. It is larger than that of previous reports where the chips passed the standard temperature cycle test. Moreover, we integrate the flip-chip bonded structure with a printed circuit board (PCB). We confirm a connection between the connector terminal of the PCB and the test chip at 80 K. It is shown that the integrated structure using gold stud bumps has a potentially highly reliable connection at cryogenic temperature. These results will lead to realizing large-scale diamond spin quantum processors.","Cryogenic integration; Diamond color center; Flip-chip bonding; Quantum computer","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-30","","","QID/Ishihara Lab","","",""
"uuid:3dd9647a-22d7-4589-87a5-fd7c9cbfce4f","http://resolver.tudelft.nl/uuid:3dd9647a-22d7-4589-87a5-fd7c9cbfce4f","Simulation, Prediction, and Verification of the Corrosion Behavior of Cu-Ag Composite Sintered Paste for Power Semiconductor Die-attach Applications","Wang, Xinyue (Fudan University); Yang, Zhoudong (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology Shanghai Ltd.); Liu, Pan (Fudan University)","","2023","With the popularization of wide band-gap power modules in offshore wind power systems and water surface photovoltaic power stations, packaging materials face challenges of corrosion by salt, blended with high humidity. Copper-silver (Cu-Ag) composite sintered paste was proposed by researchers as a novel die-attach material for a lower cost and anti-electro migration ability. However, the potential difference between copper and silver forms galvanic corrosion in a high-humidity environment, resulting in accelerated failure combined with salt mist. To further promote the application of composite sintered materials, a copper-silver double-sphere galvanic corrosion model based on finite element simulation was proposed in this paper. The relationship between corrosion rate and time of different Cu-Ag particle size combinations under different sintering degrees was predicted by initial exchange current density. Through the electrochemical characterization of the sintered samples, the optimal combination of materials was further discussed. The accuracy of the model was also verified. The conclusions obtained from both the experiments and simulation work provide guidance for future anti-corrosion analysis, as well as the reliability improvement of novel composite sintered materials.","die-attach material; FEM simulation; galvanic corrosion; power electronic packaging","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-30","","","Electronic Components, Technology and Materials","","",""
"uuid:c5f2917d-6705-4b42-9a5f-a9291f1138ab","http://resolver.tudelft.nl/uuid:c5f2917d-6705-4b42-9a5f-a9291f1138ab","Univalent Monoidal Categories","Wullaert, K.F. (TU Delft Programming Languages); Matthes, Ralph (INPT); Ahrens, B.P. (TU Delft Programming Languages; University of Birmingham)","Kesner, Delia (editor); Pedrot, Pierre-Marie (editor)","2023","Univalent categories constitute a well-behaved and useful notion of category in univalent foundations. The notion of univalence has subsequently been generalized to bicategories and other structures in (higher) category theory. Here, we zoom in on monoidal categories and study them in a univalent setting. Specifically, we show that the bicategory of univalent monoidal categories is univalent. Furthermore, we construct a Rezk completion for monoidal categories: we show how any monoidal category is weakly equivalent to a univalent monoidal category, universally. We have fully formalized these results in UniMath, a library of univalent mathematics in the Coq proof assistant.","Displayed (bi)categories; Monoidal categories; Proof assistant Coq; Rezk completion; UniMath library; Univalence","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Programming Languages","","",""
"uuid:524c4ae0-737a-440f-9000-ef9439a6cae8","http://resolver.tudelft.nl/uuid:524c4ae0-737a-440f-9000-ef9439a6cae8","What Lies beyond the Pareto Front? A Survey on Decision-Support Methods for Multi-Objective Optimization","MS Osika, Z. (TU Delft Interactive Intelligence); Zatarain Salazar, J. (TU Delft Policy Analysis); Roijers, Diederik M. (Vrije Universiteit Brussel; City of Amsterdam); Oliehoek, F.A. (TU Delft Interactive Intelligence); Murukannaiah, P.K. (TU Delft Interactive Intelligence)","Elkind, Edith (editor)","2023","We present a review that unifies decision-support methods for exploring the solutions produced by multi-objective optimization (MOO) algorithms. As MOO is applied to solve diverse problems, approaches for analyzing the trade-offs offered by MOO algorithms are scattered across fields. We provide an overview of the advances on this topic, including methods for visualization, mining the solution set, and uncertainty exploration as well as emerging research directions, including interactivity, explainability, and ethics. We synthesize these methods drawing from different fields of research to build a unified approach, independent of the application. Our goals are to reduce the entry barrier for researchers and practitioners on using MOO algorithms and to provide novel research directions.","","en","conference paper","International Joint Conferences on Artificial Intelligence (IJCAI)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:c4839d9c-b915-4598-8e01-fd1b35c3f08a","http://resolver.tudelft.nl/uuid:c4839d9c-b915-4598-8e01-fd1b35c3f08a","Safe Multi-agent Learning via Trapping Regions","Czechowski, A.T. (TU Delft Interactive Intelligence); Oliehoek, F.A. (TU Delft Interactive Intelligence)","Elkind, Edith (editor)","2023","One of the main challenges of multi-agent learning lies in establishing convergence of the algorithms, as, in general, a collection of individual, self-serving agents is not guaranteed to converge with their joint policy, when learning concurrently. This is in stark contrast to most single-agent environments, and sets a prohibitive barrier for deployment in practical applications, as it induces uncertainty in long term behavior of the system. In this work, we apply the concept of trapping regions, known from qualitative theory of dynamical systems, to create safety sets in the joint strategy space for decentralized learning. We propose a binary partitioning algorithm for verification that candidate sets form trapping regions in systems with known learning dynamics, and a heuristic sampling algorithm for scenarios where learning dynamics are not known. We demonstrate the applications to a regularized version of Dirac Generative Adversarial Network, a four-intersection traffic control scenario run in a state of the art open-source microscopic traffic simulator SUMO, and a mathematical model of economic competition.","","en","conference paper","International Joint Conferences on Artificial Intelligence (IJCAI)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:e73c904c-b4c0-4c1f-8f9f-9176d5a303e0","http://resolver.tudelft.nl/uuid:e73c904c-b4c0-4c1f-8f9f-9176d5a303e0","Verifying Well-Typedness Preservation of Refactorings using Scope Graphs","Miljak, L. (TU Delft Programming Languages); Poulsen, C.B. (TU Delft Programming Languages); van Spaendonck, Flip (Eindhoven University of Technology)","Tomb, Aaron (editor)","2023","The goal of automated refactoring is to reduce maintenance effort. To realize this, programmers need to be able to trust or manually check that refactorings actually preserve behavior. To allow programmers to focus on such checks, automated refactorings should preserve program well-typedness. However, historically automated refactorings in popular IDEs could break well-typedness. The reason is that modern languages have complex name binding semantics which makes it hard to guarantee well-typedness in general. In recent work, scope graphs have been proposed as a uniform model for name binding. The model supports complex name binding patterns, and its uniformity makes it attractive to consider for verifying that refactorings preserve well-typedness. This paper explores how to prove that refactorings preserve well-typedness, using scope graphs. We consider a simple refactoring for merging modules in a toy module language, and prove that this refactoring preserves well-typedness. We give a generic template for proving well-typedness preservation using scope graphs, and discuss how this template relates to refactorings more generally.","name binding; safe refactoring; scope graph; static semantics","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Programming Languages","","",""
"uuid:a866e645-a745-49e8-bd65-8945001a638f","http://resolver.tudelft.nl/uuid:a866e645-a745-49e8-bd65-8945001a638f","Experimental Determination of R Curves for European Spruce Using DCB Tests","Todorović, Marija (University of Belgrade); Pavlovic, M. (TU Delft Steel & Composite Structures); Glišović, Ivan (University of Belgrade); Koetsier, M. (TU Delft Steel & Composite Structures)","Nyrud, Anders Q. (editor); Malo, Kjell Arne (editor); Nore, Kristine (editor); Alsen, Knut Werner Lindeberg (editor); Tulebekova, Saule (editor); Staehr, Efthymia Ratsou (editor); Bergh, Gabrielle (editor); Wuyts, Wendy (editor)","2023","This paper presents an experimental procedure for obtaining the fracture resistance (R curve) of solid wood specimens made of spruce. Double Cantilever Beam (DCB) tests were performed in order to determine energy release rate vs crack length in Mode I wood fracture (crack opening). Ten wood specimens were loaded using the Universal Testing Machine and force-displacement curves were recorded. The most important parameter - crack length was monitored as the crack propagates using Digital Image Correlation (DIC) method. In order to obtain accurate R curve results, procedure which includes calculating cumulative released energy was employed. The cohesive energy Gf was determined based on the R curves. These results can further be analysed in order to obtain cohesive law for Mode I fracture of wood.","DCB; DIC; Mode I fracture; R curve; wood","en","conference paper","World Conference on Timber Engineering (WCTE)","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:8ddfa070-6873-44dc-a5f3-a073564e1a47","http://resolver.tudelft.nl/uuid:8ddfa070-6873-44dc-a5f3-a073564e1a47","Festivals as Living Labs for System Innovation: Experiences from the interdisciplinary innovation programme DORP","Dijkstra, Aranka M. (NHL Stenden University of Applied Sciences); Tiekstra, Siebrith M. (Aalborg University); Boonstra, Marije (NHL Stenden University of Applied Sciences); Joore, Peter (TU Delft Design for Sustainability; NHL Stenden University of Applied Sciences)","Schuurman, Dimitri (editor)","2023","The use of Living Labs is a promising approach to develop and test sustainable system innovations. A Living Lab approach that is yet to be discussed in literature, is that of a Festival Living Lab (FLL). Festivals can be considered as temporary mini societies with systemic sustainability challenges regarding water, energy, housing, logistics, waste management, food and behaviour. Since a festival is built up from scratch every time the event is hosted, adjustments can be made to its overarching system, and mutual interrelations between different aspects of the system can be experimented with. To evaluate the potential of FLLs as effective real-life experimentation settings for sustainable system innovation we present the Living Lab Activity Framework (LLAF), distinguishing various innovation stages and system levels. We deploy the LLAF to evaluate a selection of innovation projects within the DORP Festival Living Lab at the Welcome to The Village festival in The Netherlands, demonstrating that festivals can host various stages of the innovation process on different system levels.","Festival Living Lab; Real-Life Experimentation; Evaluative Framework; Sustainable System Innovation","en","conference paper","European Network of Living Labs","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-21","","","Design for Sustainability","","",""
"uuid:ead04e9e-c8a4-47b2-924d-47627ec29005","http://resolver.tudelft.nl/uuid:ead04e9e-c8a4-47b2-924d-47627ec29005","B4W: A Smart Wireless Intruder Detection System","Sharma, Suryansh (TU Delft Networked Systems); Venkata T., Prabhakar (Indian Institute of Science); Singhal, Shalakha (Indian Institute of Science); Kuma, Gogineni Gopi Sunanth (Indian Institute of Science); Venkatesha Prasad, Ranga Rao (TU Delft Networked Systems)","","2023","Surveillance and monitoring are highly critical in many application scenarios like wildlife conservation, restricted areas such as nuclear spillover, and border security. Moreover, in these scenarios, intrusions do not happen frequently thus, conventional surveillance is overkill and expensive that also requires extensive human involvement which can be arduous, expensive, and inefficient. To address these issues we propose an end-to-end smart acoustic surveillance solution for intrusion detection using a simple low-cost system called Balls for Walls (B4 W). The objective is to create a network of sensors that could also be remotely launched. The nodes responsible for surveillance employ audio sensors which are packaged within hard balls thus allowing the launch of these sensors from a distance of over 500 m. We use microphones for detecting human activity inferred through sensing the sound of footsteps against background noise. We evaluate the systems across five different terrain types. We propose a novel, low complexity detection algorithm called SEED which leverages signal energy and shape to distinguish humans from ambient noise. B4 W offers a maximum detection rate of 98.3% on dry leaves and a low false alarm rate of 0.9%. The system is energy efficient to last a maximum of 170 days and it is orientation agnostic. The proposed system has been extensively tested across varying terrains and ambient signal scenarios to demonstrate its efficacy.","Wireless Sensor Networks; Localization; Surveillance; Intruder Detection; Acoustic Sensors; Edge Computing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-23","","","Networked Systems","","",""
"uuid:1e883eab-968f-4b68-aa91-68c6e6b546e8","http://resolver.tudelft.nl/uuid:1e883eab-968f-4b68-aa91-68c6e6b546e8","Mapping structural engineering strategies for sustainable development","Terwel, K.C. (TU Delft Applied Mechanics); Crielaard, R. (TU Delft Applied Mechanics)","","2023","Considering current trends in the Netherlands with regards to sustainability, there is a strong desire at Delft University of Technology to incorporate sustainable structural design strategies in the civil and structural engineering curriculum. Based on literature study and own experiences in practice, a coherent approach was developed, that can help students and practitioners to increase sustainability in their projects. The approach consists of a roadmap with 4 key strategies: increase lifespan of existing structures by reusing them, increase lifespan of existing structural elements by reusing them, design future proof and with a long-life span, and optimise the design for environmental impact. The strategies are explained and illustrated with examples.","sustainable structural design; environmental impact; carbon footprint","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-22","","","Applied Mechanics","","",""
"uuid:3e60d675-cb2c-4a84-879c-1dca5e23712f","http://resolver.tudelft.nl/uuid:3e60d675-cb2c-4a84-879c-1dca5e23712f","Prediction of short-term non-linear response using screening combined with multi-fidelity Gaussian Process Regression","van Essen, S.M. (TU Delft Ship Hydromechanics); Scholcz, T.P. (Maritime Research Institute Netherlands (MARIN)); Seyffert, Harleigh C. (TU Delft Ship Hydromechanics)","","2023","Predicting wave impact design loads is crucial for ensuring safety and performance of maritime structures, but it is challenging due to the complexity and rarity of these events. Existing methods are mainly suitable for prediction of weakly non-linear responses, or are very computationally expensive. Highly nonlinear responses require a fidelity level that can only be achieved with expensive CFD or experiments, leading to sparsely populated exceedance distributions. A new event-based multi-fidelity method called ‘adaptive screening’ therefore combines elements of screening, multi-fidelity Gaussian Process Regression and adaptive sampling, to more efficiently predict highly non-linear loads. It is applied at the level of the response peak exceedance probability distributions. A simplified case study using second-order wave data validates the effectiveness of the method in accurately predicting short-term design loads. The new method predicts more accurate MPM results than the conventional method recommended by class societies and the ITTC, while also significantly reducing the required HF simulation time. The new method has a deviation of only 0.3–3.5% from the true 1-hour MPM over all test cases, compared to the conventional method’s deviation of 5.2–6.7%. The HF simulation time required to do this is 91 times shorter with the new method (0.033 versus 3 hours per sea state). The new method is not very sensitive to input noise as long as HF samples are selected properly, and the application of the method to the exceedance distributions works.","","en","conference paper","ASME","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-22","","","Ship Hydromechanics","","",""
"uuid:d64b5a67-3c3c-4fa1-b5a0-bd457cc80bb8","http://resolver.tudelft.nl/uuid:d64b5a67-3c3c-4fa1-b5a0-bd457cc80bb8","When Do JONSWAP Spectra Lead to Soliton Gases in Deep Water Conditions?","Lee, Y.C. (TU Delft Team Michel Verhaegen); Brühl, M. (Rambøll); Wahls, S. (TU Delft Team Michel Verhaegen; TU Delft Team Sander Wahls)","","2023","When a large number of solitons dominates the dynamics of a system, scientists describe this collective behaviour of solitons as a soliton gas. Soliton gases are currently the subject of intense practical and theoretical investigations. The existence of soliton gases has been confirmed in experiments, but is not clear what kind of sea states might lead to soliton gases. Therefore, in order to determine the wave parameters for sea states that lead to soliton gases, large numbers of surface wave elevations are generated by the well-known JOSNWAP model in this paper. Here, we only discuss soliton gases in deep water governed by the nonlinear Schrödinger (NLS) equation. The nonlinear Fourier transform (NFT) with vanishing boundary conditions is applied to the simulated ocean surface waves. The resulting nonlinear Fourier spectrum is used to calculate the energy of radiation waves and solitons. We investigate which JONSWAP parameters result in sea states that can be characterized as soliton gases, and find that a large Phillip’s parameter α, a large peak enhancement parameter γ and a short peak period TP are important factors for soliton gas conditions. The results allow researchers to estimate how likely soliton gases are in deep waters. Furthermore, we find that the appearance of rogue waves is slightly increased in highly nonlinear sea states with soliton gas-like conditions.","Deep water waves; JONSWAP spectrum; Nonlinear Fourier transform; Soliton gas","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-22","","","Team Michel Verhaegen","","",""
"uuid:cd372d80-0547-4d35-b95c-da4055f97220","http://resolver.tudelft.nl/uuid:cd372d80-0547-4d35-b95c-da4055f97220","Bi-hormonal Linear Time-Varying Model Predictive Control for Blood Glucose Regulation in Type 1 Diabetes Patients","Kalisvaart, D. (TU Delft Team Carlas Smith); Bonekamp, Jorge (Student TU Delft); Grammatico, S. (TU Delft Team Sergio Grammatico; TU Delft Team Bart De Schutter)","","2023","We study predictive control for blood glucose regulation in patients with type 1 diabetes mellitus. We determine optimal control actions for insulin and glucagon infusion via linear time-varying model predictive control (LTV MPC) and dynamic linerization around the state trajectory predicted. Through in silico implementation of a comprehensive nonlinear model, we show that our proposed controller is able to reject meal disturbances, retain normoglycemia afterwards and significantly outperform standard linearized MPC.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-22","","","Team Carlas Smith","","",""
"uuid:7aabee00-6430-4f5a-a18d-3df808c31550","http://resolver.tudelft.nl/uuid:7aabee00-6430-4f5a-a18d-3df808c31550","A model predictive scheduling strategy for coordinated inland vessel navigation and bridge operation","Segovia Castillo, P. (TU Delft Transport Engineering and Logistics); Puig, Vicenc (Universitat Politecnica de Catalunya); Reppa, V. (TU Delft Transport Engineering and Logistics)","","2023","This paper presents the design of a model predictive scheduling strategy to address the inland waterborne transport (IWT) problem considering bridges that must open to enable vessel passage. The main contribution is the formulation of a control-oriented model of the problem, including propositional logic expressions that characterize system behavior and their conversion into (in)equality constraints. The resulting model is embedded into a predictive scheduling approach to determine bridge opening timetables and vessel passage times in a coordinated manner. The effectiveness of the strategy is demonstrated on a realistic case study based on the Rhine-Alpine corridor.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-22","","","Transport Engineering and Logistics","","",""
"uuid:2c549358-828b-4b33-be55-98d05f568a68","http://resolver.tudelft.nl/uuid:2c549358-828b-4b33-be55-98d05f568a68","Iterative Robust Experiment Design for MIMO System Identification via the S-Lemma","Dirkx, Nic (ASML; Eindhoven University of Technology); Tiels, Koen (Eindhoven University of Technology); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2023","Optimal input design plays an important role in system identification for complex and multivariable systems. A known paradox in input design is that the optimal inputs depend on the true but unknown system. The aim of this paper is to design inputs for multivariable systems that are robust to all system variations within a given continuous uncertainty set. In the presented approach, the robust design problem is cast as an infinite-dimensional min-max optimization problem, and tackled via the S-lemma in an iterative approximation scheme. Experimental results from a multivariable motion system show that the algorithm enables significant robustness improvements.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-22","","","Team Jan-Willem van Wingerden","","",""
"uuid:21dc92ff-01b2-4997-9405-487cec69d4c0","http://resolver.tudelft.nl/uuid:21dc92ff-01b2-4997-9405-487cec69d4c0","Structural integrity management via hierarchical resource allocation and continuous-control reinforcement learning","Andriotis, C. (TU Delft Architectural Technology); Metwally, Z.N.S.A. (TU Delft Architectural Technology)","","2023","Maintenance planning of engineering systems is typically posed as a discrete stochastic optimal control problem, as it refers to determining a series of distinct interventions that upkeep structural integrity. Advanced algorithmic schemes within the joint framework of Partially Observable Markov Decision Processes (POMDPs) and multi-agent Deep Reinforcement Learning (DRL) have been recently able to approximate well global optima for this complex problem, outperforming existing time- and condition-based decision strategies. Integral to their success is the hypothesis that system components represent individual agents who form cooperative policies to minimize a central life-cycle cost. Thereby, the policy output scales linearly with the number of components, alleviating the curse of dimensionality related to combinatorial choices. State complexity and long-term optimality are handled efficiently via deep learning and POMDP principles, respectively. However, the efficiency of multi-agent coordination can fade as the number of agents increases. To this end, we propose a new formulation: we pose the problem as a continuous-control dynamic resource allocation one, combining hierarchical DRL and mixed-integer programming. Moving from flat decentralized to hierarchical multi-agent decompositions allows us to improve further the policy output scalability. The new Adaptive Knapsack Hierarchical Resource Allocator (AK-HRA) DRL architecture distributes available resources within the system, creating local, independently solvable, multi-choice knapsack optimization problems. By design, AK HRA allows decision-makers to inscribe known hierarchical structures and local decision rules in their architectures, thereby enhancing control and interpretability over the solution space. The efficacy of the new approach is demonstrated in a multi-component reliability system subject to stochastic deterioration.","","en","conference paper","","","","","","","","","","","Architectural Technology","","",""
"uuid:3e54aaa0-46f8-4411-a5ca-351a314d73ce","http://resolver.tudelft.nl/uuid:3e54aaa0-46f8-4411-a5ca-351a314d73ce","The Multimodal Information Based Speech Processing (Misp) 2022 Challenge: Audio-Visual Diarization And Recognition","Wang, Zhe (University of Science and Technology of China); Wu, Shilong (University of Science and Technology of China); Chen, Hang (University of Science and Technology of China); He, Mao-Kui (University of Science and Technology of China); Du, Jun (University of Science and Technology of China); Lee, Chin-Hui (Georgia Institute of Technology); Chen, Jingdong (Northwestern Polytechnical University); Watanabe, Shinji (Carnegie Mellon University); Siniscalchi, Sabato Marco (Georgia Institute of Technology; University of Enna Kore); Scharenborg, O.E. (TU Delft Multimedia Computing); Liu, Diyuan (iFlytek)","","2023","The Multi-modal Information based Speech Processing (MISP) challenge aims to extend the application of signal processing technology in specific scenarios by promoting the research into wake-up words, speaker diarization, speech recognition, and other technologies. The MISP2022 challenge has two tracks: 1) audio-visual speaker diarization (AVSD), aiming to solve ""who spoken when"" using both audio and visual data; 2) a novel audio-visual diarization and recognition (AVDR) task that focuses on addressing ""who spoken what when"" with audio-visual speaker diarization results. Both tracks focus on the Chinese language, and use far-field audio and video in real home-tv scenarios: 2-6 people communicating each other with TV noise in the background. This paper introduces the dataset, track settings, and baselines of the MISP2022 challenge. Our analyses of experiments and examples indicate the good performance of AVDR baseline system, and the potential difficulties in this challenge due to, e.g., the far-field video quality, the presence of TV noise in the background, and the indistinguishable speakers.","MISP challenge; speaker diarization; speech recognition; multimodality","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-05","","","Multimedia Computing","","",""
"uuid:c82dcad3-f30e-41bc-815f-22b1147a5cac","http://resolver.tudelft.nl/uuid:c82dcad3-f30e-41bc-815f-22b1147a5cac","How to Operate a Meta-Telescope in your Spare Time","Wagner, Daniel (Max Planck Institute); Ranadive, Sahil Ashish (Georgia Institute of Technology); Griffioen, H.J. (TU Delft Electrical Engineering, Mathematics and Computer Science); Kallitsis, Michalis (Merit Network); Dainotti, Alberto; Smaragdakis, G. (TU Delft Cyber Security); Feldmann, Anja (Max Planck Institute)","","2023","Unsolicited traffic sent to advertised network space that does not host active services provides insights about misconfigurations as well as potentially malicious activities, including the spread of Botnets, DDoS campaigns, and exploitation of vulnerabilities. Network telescopes have been used for many years to monitor such unsolicited traffic. Unfortunately, they are limi the available address space for such tasks and, thus, limited to specific geographic and/or network regions.
In this paper, we introduce a novel concept to broadly capture unsolicited Internet traffic, which we call a ""meta-telescope"". A meta-telescope is based on the intuition that, with the availability of appropriate vantage points, one can (i) infer which address blocks on the Internet are unused and (ii) capture traffic towards them-both without having control of such address blocks. From this intuition, we develop and evaluate a methodology for identifying unlikely to be used Internet address space and build a meta-telescope that has very desirable properties, such as broad coverage of dark space both in terms of size and topological placement. Such meta-telescope identifies and captures unsolicited traffic to more than 350k /24 blocks in more than 7k ASes. Through the analysis of background radiation towards these networks, we also highlight that unsolicited traffic differs by destination network/geographic region as well as by network type. Finally, we discuss our experience and challenges when operating a meta-telescope in the wild.","","en","conference paper","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","Cyber Security","","",""
"uuid:8719a06c-5b8c-4989-ab9c-1d62f395cb88","http://resolver.tudelft.nl/uuid:8719a06c-5b8c-4989-ab9c-1d62f395cb88","Generating Scenarios from High-Level Specifications for Object Rearrangement Tasks","Van Waveren, Sanne (Georgia Institute of Technology); Pek, Christian (TU Delft Robot Dynamics); Leite, Iolanda (KTH Royal Institute of Technology); Tumova, Jana (KTH Royal Institute of Technology); Kragic, Danica (KTH Royal Institute of Technology)","","2023","Rearranging objects is an essential skill for robots. To quickly teach robots new rearrangements tasks, we would like to generate training scenarios from high-level specifications that define the relative placement of objects for the task at hand. Ideally, to guide the robot's learning we also want to be able to rank these scenarios according to their difficulty. Prior work has shown how generating diverse scenario from specifications and providing the robot with easy-to-difficult samples can improve the learning. Yet, existing scenario generation methods typically cannot generate diverse scenarios while controlling their difficulty. We address this challenge by conditioning generative models on spatial logic specifications to generate spatially-structured scenarios that meet the specification and desired difficulty level. Our experiments showed that generative models are more effective and data-efficient than rejection sam-pling and that the spatially-structured scenarios can drastically improve training of downstream tasks by orders of magnitude.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-13","","","Robot Dynamics","","",""
"uuid:6e98d1d8-169d-430c-bc94-b38d54a3d183","http://resolver.tudelft.nl/uuid:6e98d1d8-169d-430c-bc94-b38d54a3d183","Device-Aware Test for Ion Depletion Defects in RRAMs","Xun, H. (TU Delft Computer Engineering); Yuan, S. (TU Delft Computer Engineering); Fieback, M. (TU Delft Computer Engineering); Taouil, M. (TU Delft Computer Engineering; CognitiveIC); Hamdioui, S. (TU Delft Computer Engineering; CognitiveIC); Aziza, Hassen (Aix Marseille Université)","Ceballos, Cristina (editor)","2023","Many companies are heavily investing in the commercialization of Resistive Random Access Memories (RRAMs). This calls for a comprehensive understanding of manufacturing defects to develop efficient and high-quality test and diagnosis solutions to push high-volume production. This paper identifies and characterizes a new defect based on silicon measurements; the defect is called Ion Depletion (ID). In our case study, 45% cycles suffered from an intermittent reduction in high resistance state and did not impact low resistance state. The paper shows that the traditional fault modeling based on linear resistors as a defect model is not accurate. To address this challenge, the Device-Aware (DA) defect modeling method is applied; an RRAM model of the defective device is developed and calibrated using measurements to accurately describe the impact of the defect on the electrical behavior of the memory device. Afterward, fault analysis is performed based on the DA defect model, and appropriate fault models are introduced; they show that the ID defect may sensitize undefined state faults. Finally, dedicated test and diagnosis solutions for the ID defect are proposed.","RRAM test; linear resistors; device-aware defect model; fault modeling; Design-for-Testability (DfT)","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-22","","","Computer Engineering","","",""
"uuid:30073197-05f5-4f49-a9a9-2930ad48efe1","http://resolver.tudelft.nl/uuid:30073197-05f5-4f49-a9a9-2930ad48efe1","Assessing the vulnerability of a Dutch river dyke to rising water levels","Reale, Cormac (University of Bath); Gupta, Aryan (InGEO Consulting); de Gast, T. (TU Delft Geo-engineering; Waterboard Hollandse Delta); Gavin, Kenneth (TU Delft Geo-engineering)","","2023","Dykes provide protection from the risk of flooding to approximately 60% of the Netherlands, by area. Unfortunately, most of the country’s major cities lie within this zone, placing a large proportion of the population at risk should these flood defences fail. In total the Netherlands have over 3800 km of primary flood defences protecting the coast and inland river systems with another 14000km protecting individual polders. Recent flood events in Europe caused by extreme rainfall have raised concerns about the ability of the Dutch river dykes to resist similar events. This paper describes a quantitative assessment of the vulnerability to flooding of a primary river dyke outside Dordrecht. The dyke has shown significant signs of distress over recent years developing large tension cracks during periods of drought on its leeward side, which have progressively increased in size. It is regularly inspected by the local water authority due to its concerning visible deterioration. In this study, soil uncertainty is quantified from in-situ geotechnical tests and laboratory tests. Several failure mechanisms are then considered probabilistically, namely global stability, rapid drawdown, internal erosion and overtopping. Fragility curves are generated for each failure mechanism describing how the probability of failure would change if a given flood level were to occur, event trees are used to link the individual failure mechanisms and quantify the system probability of failure. This paper illustrates the use of fragility curves for earthwork asset management and advantages and limitations of the methodology utilised are discussed.","","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:b0c35fb4-a080-4abd-95ee-ef6a5e57eba0","http://resolver.tudelft.nl/uuid:b0c35fb4-a080-4abd-95ee-ef6a5e57eba0","Machine learning for prediction of undrained shear strength from cone penetration test data","Yu, Beiyang (Student TU Delft); Varkey, D. (TU Delft Geo-engineering); van den Eijnden, A.P. (TU Delft Geo-engineering); Rongier, G. (TU Delft Applied Geology); Hicks, M.A. (TU Delft Geo-engineering)","","2023","This research focuses on investigating the relative performance of a range of machine learning algorithms, namely the artificial neural network, support vector machine, Gaussian process regression, random forest, and XGBoost, for predicting the undrained shear strength from cone penetration test data. This is to assess how machine learning could help us lower the need for laboratory test data. The training dataset compiles 526 data from 12 regions and the testing dataset consists of 20 data from a polder located close to Leiden in the Netherlands. In addition, k-fold and group k-fold cross-validation strategies are both applied to validate the models. The poor performance of the models during group k-fold cross-validation suggests that, while machine learning techniques can perform well when site-specific data are included during training, they struggle to generalize without site-specific data. This highlights the difficulty of capturing soil heterogeneity and suggests that either machine learning methods should be trained on specific sites for which some data are already available, or much larger training datasets are needed.","","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:cc4e5e3e-92b3-40c3-ab7e-891e1018d2e1","http://resolver.tudelft.nl/uuid:cc4e5e3e-92b3-40c3-ab7e-891e1018d2e1","Proof load testing method by AASHTO and suggestions for improvement","de Vries, R. (TU Delft Concrete Structures; TNO); Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Steenbergen, Raphael D.J.M. (Universiteit Gent; TNO); Naaktgeboren, Marius (Rijkswaterstaat)","","2023","Due to the aging of infrastructure, methods are explored by which the reliability of existing bridges and viaducts can be assessed. In case limited information of the structure is available or its condition is of concern, proof load testing may be used to demonstrate sufficient load-carrying capacity. Proof load tests in the USA are typically performed using the Manual for Bridge Evaluation (MBE) published by AASHTO. The proof load is expressed by the regular live-load model magnified by the target proof load factor. The level of reliability obtained using the target proof load factor is not explicitly stated in the MBE, but is of particular interest. In this article relevant background documents are investigated to uncover the underlying calculations, assumptions and input data. Current challenges in proof load testing are described in which the consideration of time-dependence, stop criteria, available information and system-level assessment are highlighted. Subsequently, improvements to the MBE proof load testing background are suggested. An example calculation using traffic data from the Netherlands shows that the HL93 load model and Eurocode LM1 provide a reasonably constant proof load factor with span length for bending and shear. However, the HS20 load model does not scale well with increasing span length. It is found that the magnitude of the target load as specified through the proof load factor is directly related to the desired level of reliability. Although the MBE proof load testing method is practical, several challenges remain.","","en","conference paper","","","","","","","","","","","Concrete Structures","","",""
"uuid:4cf7ff0b-82d7-45fa-aa04-35d995a39ac3","http://resolver.tudelft.nl/uuid:4cf7ff0b-82d7-45fa-aa04-35d995a39ac3","Optimizing the Bridge Maintenance Schedule of Transportation Networks Under Uncertainty: A Simheuristic Approach Considering Reliability","Dönmez, Mert (Student TU Delft); Nogal Macho, M. (TU Delft Integral Design & Management); Madadi, B. (TU Delft Transport and Planning); Juan, Angel (Universitat Politécnica de Valencia)","","2023","This paper presents a two-stage simheuristics-based framework for optimizing bridge maintenance scheduling strategies in a highway transportation network under uncertainty considering bridge life-cycle reliability and the effects of different maintenance interventions. The design variables of the optimal bridge maintenance scheduling problem are the preventive maintenance schedules for all bridges in the network, with the conflicting objectives of minimizing total maintenance cost and total travel time over the life cycle of bridges. The outcome of the first stage of the framework is a Pareto front of deterministic optimal solutions, which are then tested in the second stage to measure their performance under uncertainty.","","en","conference paper","","","","","","","","","","","Integral Design & Management","","",""
"uuid:22d85150-cadf-4144-8450-234f4686e5e7","http://resolver.tudelft.nl/uuid:22d85150-cadf-4144-8450-234f4686e5e7","Predicting subsurface stratigraphy using an improved Coupled Markov Chain method","Varkey, D. (TU Delft Geo-engineering); van den Eijnden, A.P. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering)","","2023","Geological uncertainty can significantly influence the computed response of a geotechnical structure. For example, ignoring the presence of a weak soil layer embedded within a stronger layer and assuming a deterministic stratigraphic boundary can significantly underestimate the probability of failure. In this paper, the coupled Markov chain method has been used for modelling this form of uncertainty. A strategy for estimating the horizontal transition probability matrix with limited data has been proposed, which is one of the biggest challenges with using this method. In particular, different sampling intervals in the vertical and horizontal directions have been considered in estimating the matrix for simulating realistic field situations. The applicability of the proposed method has been demonstrated using a set of CPTs in the Netherlands. The results highlight a problem that arises due to the coupling algorithm used in this method.","","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:22633ffb-e7b0-4ce2-af31-a48f7d171c7f","http://resolver.tudelft.nl/uuid:22633ffb-e7b0-4ce2-af31-a48f7d171c7f","Effectiveness Assessment of Adaptation Measures to Build Wildfire Resilience of Road Networks","Arango, Erica (University of Minho); Nogal Macho, M. (TU Delft Integral Design & Management); Yang, M. (TU Delft Safety and Security Science); Sousa, Hélder S. (University of Minho); Stewart, Mark G. (University of Technology Sydney); Matos, José C. (University of Minho)","","2023","class=""MsoNormal"">Wildfires have become a source of concern for society due to the increase in frequency, intensity, and unpredictability. This has caused serious impacts all over the world, even in areas where this type of problem did not occur before. Studies on the adaptation of critical infrastructure have been conducted to reduce the impacts of this type of hazard influenced by climate change. However, there are currently no tools to evaluate adaptation measures and their influence on the resilience of transport infrastructure to wildfires. Therefore, this paper proposes the application of a simplified methodology to assess the priority level in interventions on bridge networks and the effectiveness of different adaptation measures. The methodology is applied to a case study in Portugal. In that sense, the results show that adaptation measures such as changing vegetation management policy and implementing wildfire spread barriers effectively reduce the exposure of bridges. Therefore, this tool can be very useful for stakeholders and practitioners supporting wildfire management in terms of adaptation measures.","","en","conference paper","","","","","","","","","","","Integral Design & Management","","",""
"uuid:34d22a1e-1137-480d-a581-bf1ce5e48453","http://resolver.tudelft.nl/uuid:34d22a1e-1137-480d-a581-bf1ce5e48453","Interpretation and analysis of deep reinforcement learning driven inspection and maintenance policies for engineering systems","Morato, Pablo G. (Technical University of Denmark, Roskilde); Papakonstantinou, Konstantinos G. (The Pennsylvania State University); Andriotis, C. (TU Delft Architectural Technology); Hlaing, Nandar (Université de Liège); Kolios, Athanasios (Technical University of Denmark, Roskilde)","","2023","The application of Deep Reinforcement Learning (DRL) for the management of engineering systems has shown very promising results in terms of optimality and scalability. The interpretability of these policies by decision-makers who are so far mostly familiar with traditional approaches is also needed for implementation. In this work, we address this topic by providing a comprehensive overview of POMDP- and DRL-based management policies, along with simulation-based implementation details, for facilitating their interpretation. By mapping a sufficient statistic, namely a belief state, to the current optimal action, POMDP-DRL strategies are able to automatically adapt in time considering long-term sought objectives and the prior history. Through simulated policy realizations, POMDP-DRL-based strategies identified for representative inspection and maintenance planning settings are thoroughly analyzed. The results reveal that if the decision-maker opts for an alternative, even suboptimal, action other than the one suggested by the DRL-based policy, the belief state will be accordingly updated and can still be used as input for the remainder of the planning horizon, without any requirements for model retraining.","","en","conference paper","","","","","","","","","","","Architectural Technology","","",""
"uuid:80637618-bcd1-4a86-a0bb-4a3e3bbbf765","http://resolver.tudelft.nl/uuid:80637618-bcd1-4a86-a0bb-4a3e3bbbf765","Inference and maintenance planning of monitored structures through Markov chain Monte Carlo and deep reinforcement learning","Lathourakis, C.L. (TU Delft Mechanics and Physics of Structures); Andriotis, C. (TU Delft Architectural Technology); Cicirello, A. (TU Delft Mechanics and Physics of Structures)","","2023","A key computational challenge in maintenance planning for deteriorating structures is to concurrently secure (i) optimality of decisions over long planning horizons, and (ii) accuracy of realtime parameter updates in high-dimensional stochastic spaces. Both are often encumbered by the presence of discretized continuous-state models that describe the underlying deterioration processes, and the emergence of combinatorial decision spaces due to multi-component environments. Recent advances in Deep Reinforcement Learning (DRL) formulations for inspection and maintenance planning provide us with powerful frameworks to handle efficiently near-optimal decision-making in immense state and action spaces without the need for offline system knowledge. Moreover, Bayesian Model Updating (BMU), aided by advanced sampling methods, allows us to address dimensionality and accuracy issues related to discretized degradation processes. Building upon these concepts, we develop a joint framework in this work, coupling DRL, more specifically deep Q-learning and actor-critic algorithms, with BMU through Hamiltonian Monte Carlo. Single- and multi-component systems are examined, and it is shown that the proposed methodology yields reduced lifelong maintenance costs, and policies of high fidelity and sophistication compared to traditional optimized time- and condition-based maintenance strategies.","","en","conference paper","","","","","","","","","","","Mechanics and Physics of Structures","","",""
"uuid:11db84c9-89de-4f0e-9cfd-29bae7277040","http://resolver.tudelft.nl/uuid:11db84c9-89de-4f0e-9cfd-29bae7277040","The role of value of information in multi-agent deep reinforcement learning for optimal decision-making under uncertainty","Saifullah, Mohammad (The Pennsylvania State University); Andriotis, C. (TU Delft Architectural Technology); Papakonstantinou, Konstantinos G. (The Pennsylvania State University)","","2023","To preserve structural safety of deteriorating engineering systems through optimal maintenance, it is imperative to efficiently integrate structural health information with decision-making optimization frameworks. Although there may be abundance of available data, these are often uncertain and incomplete. In addition, joint inspection and maintenance (I&M) optimization is inherently complex due to high-dimensional state and action spaces, stochastic objectives, long planning horizons, and various constraints, among others. As shown recently, these computational challenges can be effectively addressed through optimization principles of Partially Observable Markov Decision Processes (POMDPs) and constrained Deep Reinforcement Learning (DRL). The POMDP framework provides a way of updating the decision-maker's perception about the system state by naturally incorporating the Value of Information (VoI) in the optimality equations. As such, optimal observation-gathering actions are those which guide maintenance decisions towards reduced life-cycle costs and risks. The role of VoI in DRL-driven I&M has also been shown to be central to the formation of policy gradients, which are necessary to obtain the optimal I&M plan with deep learning actor-critic architectures. Leveraging this property, a recently devised DRL architecture is further examined in this work, consisting of fully decoupled 'maintainer' and 'inspector' actors, which allow for greater efficacy and interpretability in multi-agent DRL settings. Several numerical analyses are carried out to assess the performance of the relevant architectures on stochastic systems with a varying number of components, multiple maintenance-inspection actions per component, and system-level failure risks.","","en","conference paper","","","","","","","","","","","Architectural Technology","","",""
"uuid:68c60c73-bed9-4be1-b184-4b2732199b67","http://resolver.tudelft.nl/uuid:68c60c73-bed9-4be1-b184-4b2732199b67","Combating Infrastructure Complexity: Developing a Comprehensive Set of Wellbeing and Resilience Indicators for the Transport Infrastructure System","Kammouh, O. (TU Delft System Engineering); Chahrour, Nour (Université Grenoble Alpes)","","2023","As modern societies become increasingly dependent on infrastructure systems, ensuring their functionality is paramount. Current simulation-based approaches for evaluating infrastructure wellbeing and resilience are known to be complex and time-consuming, making them unfeasible for practical applications. Indicators-based methods have been proposed as a promising alternative to simulations. However, a comprehensive set of indicators that cover all aspects of infrastructure systems has yet to be established. In this study, we performed an extensive literature review on wellbeing and resilience indicators specific to the transport infrastructure system. We filtered out duplications among the indicators and categorized them under distinct components and dimensions. These indicators can be tailored to fit specific circumstances and employed for/alongside advanced techniques such as Machine Learning, Bayesian Networks, and Fuzzy Logic. Acquiring a comprehensive set of wellbeing and resilience indicators can significantly improve stakeholder communication, empower communities in decision-making processes and adaptive management, and support resilience-strengthening strategies.","","en","conference paper","","","","","","","","","","","System Engineering","","",""
"uuid:1d216939-f8c1-4ca0-91fc-d702c5927659","http://resolver.tudelft.nl/uuid:1d216939-f8c1-4ca0-91fc-d702c5927659","Memristor-Based Lightweight Encryption","Siddiqi, M.A. (TU Delft Computer Engineering; Erasmus MC); Hernández, Jan Andrés Galvan (Student TU Delft); Gebregiorgis, A.B. (TU Delft Computer Engineering); Bishnoi, R.K. (TU Delft Computer Engineering); Strydis, C. (TU Delft Computer Engineering; Erasmus MC); Hamdioui, S. (TU Delft Computer Engineering; Cognitive IC); Taouil, M. (TU Delft Computer Engineering; Cognitive IC)","Guerrero, Juan E. (editor)","2023","Next-generation personalized healthcare devices are undergoing extreme miniaturization in order to improve user acceptability. However, such developments make it difficult to incorporate cryptographic primitives using available target tech-nologies since these algorithms are notorious for their energy consumption. Besides, strengthening these schemes against side-channel attacks further adds to the device overheads. Therefore, viable alternatives among emerging technologies are being sought. In this work, we investigate the possibility of using memristors for implementing lightweight encryption. We propose a 40-nm RRAM-based GIFT-cipher implementation using a 1TIR configuration with promising results; it exhibits roughly half the energy consumption of a CMOS-only implementation. More importantly, its non-volatile and reconfigurable substitution boxes offer an energy-efficient protection mechanism against side-channel attacks. The complete cipher takes 0.0034 mm2of area, and encrypting a 128-bit block consumes a mere 242 pJ.","Memristor; hardware security; lightweight en-cryption; side-channel attack; GIFT cipher; 1TIR","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-19","","","Computer Engineering","","",""
"uuid:7e8db87d-400c-4ee5-9e0e-8d3944133390","http://resolver.tudelft.nl/uuid:7e8db87d-400c-4ee5-9e0e-8d3944133390","A Pre-Silicon Power Leakage Assessment Based on Generative Adversarial Networks","Aljuffri, A.A.M. (TU Delft Computer Engineering); Saxena, Mudit (Student TU Delft); Reinbrecht, Cezar (TU Delft Computer Engineering); Hamdioui, S. (TU Delft Computer Engineering); Taouil, M. (TU Delft Computer Engineering)","Guerrero, Juan E. (editor)","2023","Security is one of the most important features that a system must provide. Depending on the application of the target device, different threats should be considered at design time. However, the attack space is vast. Hence, it is difficult to decide what components to protect, what level of protection they require and how efficient they are in the field. This paper tries to close this validation gap for power based side channel attacks by providing a fast and reliable leakage assessment at design time that can be used to perform design space exploration for security. To accomplish our goal, we use Generative Adversarial Networks (GAN) to generate reliable power traces for hardware implementations at design time that are subsequently used to assess the leakage of the design. As a case study, we validated our framework against three AES implementations (i.e., unprotected, masked-protected, and balanced protected). In comparison to CAD-based scenarios, our findings show that the GAN model creates extremely reliable power traces in terms of attackability and leakage assessment. In addition, it is approximately 120 times quicker than CAD tools with respect to trace generation.","Countermeasures; design exploration; generative adversarial networks; side channel analysis; symmetric cryptography","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-19","","","Computer Engineering","","",""
"uuid:b2f7db77-5ab3-4681-a89d-599fa216d1cd","http://resolver.tudelft.nl/uuid:b2f7db77-5ab3-4681-a89d-599fa216d1cd","Learning-based NLOS Detection and Uncertainty Prediction of GNSS Observations with Transformer-Enhanced LSTM Network","Zhang, Haoming (Rheinisch-Westfälische Technische Hochschule); Wang, Zhanxin (Rheinisch-Westfälische Technische Hochschule); Vallery, H. (TU Delft Biomechatronics & Human-Machine Control; Rheinisch-Westfälische Technische Hochschule)","","2023","The global navigation satellite systems (GNSS) play a vital role in transport systems for accurate and consistent vehicle localization. However, GNSS observations can be distorted due to multipath effects and non-line-of-sight (NLOS) receptions in challenging environments such as urban canyons. In such cases, traditional methods to classify and exclude faulty GNSS observations may fail, leading to unreliable state estimation and unsafe system operations. This work proposes a deep-learning-based method to detect NLOS receptions and predict GNSS pseudorange errors by analyzing GNSS observations as a spatio-temporal modeling problem. Compared to previous works, we construct a transformer-like attention mechanism to enhance the long short-term memory (LSTM) networks, improving model performance and generalization. For the training and evaluation of the proposed network, we used labeled datasets from the cities of Hong Kong and Aachen. We also introduce a dataset generation process to label the GNSS observations using lidar maps. In experimental studies, we compare the proposed network with a deep-learning-based model and classical machine-learning models. Furthermore, we conduct ablation studies of our network components and integrate the NLOS detection with data out-of-distribution in a state estimator. As a result, our network presents improved precision and recall ratios compared to other models. Additionally, we show that the proposed method avoids trajectory divergence in real-world vehicle localization by classifying and excluding NLOS observations.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","Biomechatronics & Human-Machine Control","","",""
"uuid:ad79cb4d-2392-4c40-a21b-dc2d2a17c3f6","http://resolver.tudelft.nl/uuid:ad79cb4d-2392-4c40-a21b-dc2d2a17c3f6","FCT-GAN: Enhancing Global Correlation of Table Synthesis via Fourier Transform","Zhao, Z. (TU Delft Data-Intensive Systems); Birke, Robert (University of Turin); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2023","An alternative method for sharing knowledge while complying with strict data access regulations, such as the European General Data Protection Regulation (GDPR), is the emergence of synthetic tabular data. Mainstream table synthesizers utilize methodologies derived from Generative Adversarial Networks (GAN). Although several state-of-the-art (SOTA) tabular GAN algorithms inherit Convolutional Neural Network (CNN)-based architectures, which have proven effective for images, they tend to overlook two critical properties of tabular data: (i) the global correlation across columns, and (ii) the semantic invariance to the column order. Permuting columns in a table does not alter the semantic meaning of the data, but features extracted by CNNs can change significantly due to their limited convolution filter kernel size. To address the above problems, we propose FCT-GAN the first conditional tabular GAN to adopt Fourier networks into table synthesis. FCT-GAN enhances permutation invariant GAN training by strengthening the learning of global correlations via Fourier layers. Extensive evaluation on benchmarks and real-world datasets show that FCT-GAN can synthesize tabular data with better (up to 27.8%) machine learning utility (i.e. a proxy of global correlations) and higher (up to 26.5%) statistical similarity to real data. FCT-GAN also has the least variation on synthetic data quality among 7 SOTA baselines on 3 different training-data column orders.","fourier transform; gan; tabular data","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-21","","","Data-Intensive Systems","","",""
"uuid:c209345e-d96f-4b8b-920d-4f29a6df4469","http://resolver.tudelft.nl/uuid:c209345e-d96f-4b8b-920d-4f29a6df4469","Vehicle Cabin Climate MPC Parameter Tuning Using Constrained Contextual Bayesian Optimization (C-CMES)","Stenger, David (Rheinisch-Westfälische Technische Hochschule); Reuscher, Tim (Rheinisch-Westfälische Technische Hochschule); Vallery, H. (TU Delft Biomechatronics & Human-Machine Control; Rheinisch-Westfälische Technische Hochschule; Erasmus MC)","","2023","Climate-controlled cabins have for decades been standard in vehicles. Model Predictive Controllers (MPCs) have shown promising results in achieving temperature tracking in vehicle cabins and may improve upon model-free control performance. However, for the multi-zone climate control case, proper controller tuning is challenging, as externally, e.g., passenger-triggered changes in compressor setting and thus mass flow lead to degraded control performance. This paper presents a tuning method to automatically determine robust MPC parameters, as a function of the blower mass flow. Constrained contextual Bayesian optimization (BO) is used to derive policies minimizing a high-level cost function subject to constraints in a defined scenario. The proposed method leverages random disturbances and model-plant mismatch within the training episodes to generate controller parameters achieving robust disturbance rejection. The method contains a postprocessing step to achieve smooth policies that can be utilized in real-world applications. First, simulation results show that the mass flow-dependent policy outperforms a constant parametrization, while achieving the desired closed-loop behavior. Second, the robust tuning method greatly reduces worst-case overshoot and produces consistent closed-loop behavior under varying operating conditions.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","Biomechatronics & Human-Machine Control","","",""
"uuid:47defb3b-2b9f-4b0a-9628-6e49ad733b2c","http://resolver.tudelft.nl/uuid:47defb3b-2b9f-4b0a-9628-6e49ad733b2c","Real-time Routing and Scheduling of On-demand Autonomous Customized Bus Systems","Guo, Rongge (University of Huddersfield); Liu, X. (TU Delft Team Bart De Schutter); Bhatnagar, Saumya (University of Huddersfield); Vallati, Mauro (University of Huddersfield)","","2023","The integration of autonomous vehicles and on-demand customized bus systems is expected to be beneficial for responding to real-time demands. This paper investigates the autonomous customized bus (ACB) system that leverages passenger demand prediction to enhance service quality and vehicle utilization. A novel ACB service design optimization model that determines vehicle movements and passenger-to-vehicle assignments is developed for the real-time routing and scheduling problem. Then, a rolling horizon approach, incorporating travel demand prediction, proactive dispatching and reactive adjustment, is proposed to address the studied problem. The performance of the introduced ACB system is evaluated using smartcard data from Beijing and the state-of-the-art machine learning algorithm. Results show that the proposed ACB system can effectively improve system performance and service level in terms of operating cost and passenger waiting time compared to reactive operations.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","Team Bart De Schutter","","",""
"uuid:3ad3d07e-b7f3-4a3a-94d9-56e0d6614d21","http://resolver.tudelft.nl/uuid:3ad3d07e-b7f3-4a3a-94d9-56e0d6614d21","BEAVIS: Balloon Enabled Aerial Vehicle for IoT and Sensing","Sharma, Suryansh (TU Delft Networked Systems; Politecnico di Milano; RI.SE); Simha, A. (TU Delft Networked Systems); Venkatesha Prasad, Ranga Rao (TU Delft Networked Systems); Deshmukh, Shubham (Student TU Delft); Saravanan, Kavin Balaji (Student TU Delft); Ramesh, Ravi (Student TU Delft); Mottola, Luca (Politecnico di Milano; RI.SE)","","2023","UAVs are becoming versatile and valuable platforms for various applications. However, the main limitation is their flying time. We present BEAVIS, a novel aerial robotic platform striking an unparalleled trade-off between the maneuverability of drones and the long-lasting capacity of blimps. BEAVIS scores highly in applications where drones enjoy unconstrained mobility yet suffer from limited lifetime. A nonlinear flight controller exploiting novel, unexplored, aerodynamic phenomena to regulate the ambient pressure and enable all translational and yaw degrees of freedom is proposed without direct actuation in the vertical direction. BEAVIS has built-in rotor fault detection and tolerance. We explain the design and the necessary background in detail. We verify the dynamics of BEAVIS and demonstrate its distinct advantages, such as agility, over existing platforms including the degrees of freedom akin to a drone with 11.36 increased lifetime. We exemplify the potential of BEAVIS to become an invaluable platform for many applications.","UAV; drones; blimps; aerial robots; aerial sensing","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Networked Systems","","",""
"uuid:e1f2752d-63be-4109-8121-584c2a68632d","http://resolver.tudelft.nl/uuid:e1f2752d-63be-4109-8121-584c2a68632d","Bracelet+: Harvesting the Leaked RF Energy in VLC with Wearable Bracelet Antenna","Cui, Minhao (University of Massachusetts Amherst); Wang, Q. (TU Delft Embedded Systems); Xiong, Jie (University of Massachusetts Amherst)","","2023","Visible Light Communication (VLC) is widely considered a promising technology for the coming 6G networks. Recent studies show that a VLC transmitter not only emits visible light signals but also leaks RF signals during the transmission. In this work, we devote effort to harvesting the free leaked RF energy from VLC transmissions. We observe that the surrounding objects could help a coil antenna harvest significantly more RF energy. Based on this observation, we propose our system Bracelet+, which involves the human body in the harvesting system to increase the harvested power. After careful analysis of the influence of the human body on the harvested power, we prototype the coil antenna as a bracelet that achieves both high harvested power and convenience for wearing. The average power of the RF energy harvested by our design is 10 larger than that of the conventional coil antenna, without causing any interference to the communication of VLC systems. The harvested power can reach up to micro-watts in our tested scenarios. Such a micro-watt level of harvested energy has the potential to power up ultra-low-power sensors such as temperature sensors and glucose sensors.","RF leakage; energy harvesting; human body-augmented; side channel; visible light communication","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-24","","","Embedded Systems","","",""
"uuid:c29b49f6-fe33-44d8-b2a1-50f96ec0e348","http://resolver.tudelft.nl/uuid:c29b49f6-fe33-44d8-b2a1-50f96ec0e348","ORCWaste Heat Recovery System for the Turboshaft Engines of Turboelectric Aircraft","Krempus, D. (TU Delft Flight Performance and Propulsion); Beltrame, F. (TU Delft Flight Performance and Propulsion); Majer, M. (TU Delft Flight Performance and Propulsion); de Servi, C.M. (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2023","This paper presents a preliminary study about a combined-cycle engine based on a turboshaft engine and an organic-Rankine-cycle (ORC) bottoming unit to be used onboard an aircraft with a turboelectric propulsion system. The aim is to analyse whether benefits with respect to mission fuel consumption can be derived by employing such a combined-cycle engine when compared to a simple-cycle turboshaft engine. For this purpose, a multidisciplinary optimization framework is developed, incorporating models for the engine, ORC system, ORC turbine, heat exchangers, and mission analysis. This framework is coupled with an optimizer to identify the optimal combined-cycle engine design for minimum mission fuel consumption. The results suggest that fuel savings of around 4% are possible with the optimized system if compared to the aircraft employing turboshaft engines. Heat exchanger volume is identified as the most constraining parameter when it comes to combined-cycle performance. The analysis of the results suggests as aspects which might lead to further improvements the evaluation of other ORC architectures, working fluids and heat exchanger topologies.","","en","conference paper","EUCASS","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:ea1ac20e-5081-4e2d-bbb4-ddf7055c4a7f","http://resolver.tudelft.nl/uuid:ea1ac20e-5081-4e2d-bbb4-ddf7055c4a7f","HueSense: Featuring LED Lights Through Hue Sensing","Singh, Jagdeep (Toshiba Europe Ltd); Wang, Q. (TU Delft Embedded Systems); Zuniga, Marco (TU Delft Networked Systems); Farnham, Tim (Toshiba Europe Ltd)","","2023","Visible Light Positioning (VLP) has been prevalent in providing high-precision localization systems in the past decade. However, the commercial availability or usage is still limited primarily due to the requirement of changing the existing lighting infrastructure. In this paper, we propose HueSense, an alternative technique to develop a passive VLP system by extracting light-emission intrinsic features, such as dominant colours present in the white LED light. The method can eliminate the need to change lighting-infrastructure, and only uses cheaper and power-efficient off-the-shelf hue sensors. Our experiments demonstrate that HueSense can achieve a location-mapping accuracy of 80.14% with a moving robot in uncontrolled lighting environments.","passive visible light positioning; colour sensors","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-13","","","Embedded Systems","","",""
"uuid:ecc645eb-b655-4d04-86df-1cfeb4f14164","http://resolver.tudelft.nl/uuid:ecc645eb-b655-4d04-86df-1cfeb4f14164","Evaluation of Motion Comfort using Advanced Active Human Body Models and Efficient Simplified Models","Desai, R.R. (TU Delft Intelligent Vehicles); Cvetković, M. (TU Delft Intelligent Vehicles); Papaioannou, G. (TU Delft Intelligent Vehicles); Happee, R. (TU Delft Intelligent Vehicles)","","2023","Active muscles are crucial for maintaining postural stability when seated in a moving vehicle. Advanced active 3D non-linear full body models have been developed for impact and comfort simulation, including large numbers of individual muscle elements, and detailed non-linear models of the joint structures. While such models have an apparent potential to provide insight into postural stabilization, they are computationally demanding, making them less practical in particular for driving comfort where long time periods are to be studied. In vibrational comfort and in general biomechanical research, linearized models are effectively used. This paper evaluates the effectiveness of simplified 3D full-body human models to capture comfort provoked by whole-body vibrations. An efficient seated human body model is developed and validated using experimental data. We evaluate the required complexity in terms of joints and degrees of freedom for the spine, and explore how well linear spring-damper models can approximate reflexive postural stabilization. Results indicate that linear stiffness and damping models can well capture the human response. However, the results are improved by adding proportional integral derivative (PID) and head-in-space (HIS) controllers to maintain the defined initial body posture. The integrator is shown to be essential to prevent drift from the defined posture. The joint angular relative displacement is used as the input reference to each PID controller. With this model, a faster than real-time solution is obtained when used with a simple seat model. The paper also discusses the advantages and disadvantages of various models and provides insight into which models are more appropriate for motion comfort analysis. For designers and researchers in the automotive and seating industries, the findings given in this paper provide useful insights that will help them improve the comfort and safety of both vehicle occupants and seats.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","Intelligent Vehicles","","",""
"uuid:3d399b07-466c-4181-8b9d-abee0280415d","http://resolver.tudelft.nl/uuid:3d399b07-466c-4181-8b9d-abee0280415d","Benders Decomposition-Based Optimization of Train Departure Frequencies in Metro Networks","Daman, A. (Student TU Delft); Liu, X. (TU Delft Team Bart De Schutter); Dabiri, A. (TU Delft Team Azita Dabiri); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","Timetables determine the service quality for passengers and the energy consumption of trains in metro systems. In metro networks, a timetable can be made by designing train departure frequencies for different periods of the day, which is typically formulated as a mixed-integer linear programming (MILP) problem. In this paper, we first apply Benders decomposition to optimize the departure frequencies considering time-varying passenger origin-destination demands in metro networks. An ϵ -optimal Benders decomposition approach is subsequently used to reduce the solution time further. The performance of both methods is illustrated in a simulation-based case study using a grid metro network. The results show that both the classical Benders decomposition approach and the ϵ -optimal Benders decomposition approach can significantly reduce the computation time for the optimization of train departure frequencies in metro networks. In addition, the ϵ -optimal Benders decomposition approach can further reduce the solution time compared to the classical Benders decomposition approach when the problem scale increases while maintaining an acceptable level of performance.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:d3290b1b-c55d-4039-b83f-e83d88c8cf41","http://resolver.tudelft.nl/uuid:d3290b1b-c55d-4039-b83f-e83d88c8cf41","“☑ Fairness Toolkits, A Checkbox Culture?” On the Factors that Fragment Developer Practices in Handling Algorithmic Harms","Balayn, A.M.A. (TU Delft Web Information Systems); Yurrita Semperena, M. (TU Delft Human Information Communication Design); Yang, J. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems)","","2023","Fairness toolkits are developed to support machine learning (ML) practitioners in using algorithmic fairness metrics and mitigation methods. Past studies have investigated practical challenges for toolkit usage, which are crucial to understanding how to support practitioners. However, the extent to which fairness toolkits impact practitioners’ practices and enable reflexivity around algorithmic harms remains unclear (i.e., distributive unfairness beyond algorithmic fairness, and harms that are not related to the outputs of ML systems). Little is currently understood about the root factors that fragment practices when using fairness toolkits and how practitioners reflect on algorithmic harms. Yet, a deeper understanding of these facets is essential to enable the design of support tools for practitioners. To investigate the impact of toolkits on practices and identify factors that shape these practices, we carried out a qualitative study with 30 ML practitioners with varying backgrounds. Through a mixed within and between-subjects design, we tasked the practitioners with developing an ML model, and analyzed their reported practices to surface potential factors that lead to differences in practices. Interestingly, we found that fairness toolkits act as double-edge swords — with potentially positive and negative impacts on practices. Our findings showcase a plethora of human and organizational factors that play a key role in the way toolkits are envisioned and employed. These results bear implications for the design of future toolkits and educational training for practitioners and call for the creation of new policies to handle the organizational constraints faced by practitioners.","practices; organisational factors; human factors; fairness toolkits; algorithmic harms; algorithmic fairness","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:c31679a7-14f0-4a1e-bf48-0483b3d04647","http://resolver.tudelft.nl/uuid:c31679a7-14f0-4a1e-bf48-0483b3d04647","LØ: An Accountable Mempool for MEV Resistance","Nasrulin, B. (TU Delft Data-Intensive Systems); Ishmaev, G. (TU Delft Data-Intensive Systems); Decouchant, Jérémie (TU Delft Data-Intensive Systems); Pouwelse, J.A. (TU Delft Data-Intensive Systems)","","2023","Manipulation of user transactions by miners in permissionless blockchain systems is a growing concern. This problem is a pervasive and systemic issue that incurs high costs for users of decentralised applications and is known as Miner Extractable Value (MEV). Furthermore, transaction manipulations create other issues such as congestion, higher fees, and system instability. Detecting transaction manipulations is difficult, even though it is known that they originate from the pre-consensus phase of transaction selection for building blocks, at the base layer of blockchain protocols. In this paper, we summarize known transaction manipulation attacks. We present LØ, an accountable base layer protocol designed to detect and mitigate transaction manipulations. LØ is built around the accurate detection of transaction manipulations and assignment of blame at the granularity of a single mining node. LØ forces miners to log all the transactions they receive into a secure mempool data structure and to process them in a verifiable manner. Overall, LØ quickly and efficiently detects censorship, injection or re-ordering attempts. Our performance evaluation shows that LØ is also practical and only introduces a marginal performance overhead.","Blockchain; Mempool; Accountability; Transaction reordering","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Data-Intensive Systems","","",""
"uuid:4b990fb7-1594-4b24-946b-faa33d68bfca","http://resolver.tudelft.nl/uuid:4b990fb7-1594-4b24-946b-faa33d68bfca","Urban Regeneration, Publicness and Participation in Spatial Planning: A Case of Taipei","Yu, Hsinko Cinco (TU Delft Spatial Planning and Strategy)","","2023","This study examines the issue of publicness and the practice of public participation in urban regeneration through municipal-led social housing as an approach to urban regeneration. The study examines the case of Taipei through the project process and deliberations to understand the communication and decision-making patterns of the project, which includes an analysis of who is eligible to be a participant, who is ignored, and the extent to which these participants are given decision-making power. As well as, what the public interest discussions are for these final urban regeneration projects. This study found that the participatory process, in this case, was quite ineffective. This is because the communication of the public interest at the outset led to conflicts between the municipality and the residents. And when the participatory process could not reach a consensus within a certain time frame, the one with the final decision-making power (the municipality) made an authoritative decision instead of continuous public communication.","Urban regeneration; Taipei; Publicness; Participation","en","conference paper","ENSAP Bordeaux","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:e7f12481-7fdc-42f6-8284-e58c429d03d6","http://resolver.tudelft.nl/uuid:e7f12481-7fdc-42f6-8284-e58c429d03d6","Localness in Water-Sensitive Urban Development for Bhuj and Kozhikode, India","van der Meulen, G.J.M. (TU Delft Urban Design)","","2023","Outdated, updated, or not, the Brandt Line continues to provide a divide between ‘North’ and ‘South’, suggesting a dichotomy between the world’s ‘developed’ and ‘developing’ regions, respectively. To reduce the contrast between the two, and in response to the challenges posed by urban growth and climate crisis projections globally, the Water-Sensitive Urban Design (WSUD) concept presents valued guiding principles for practice in the field of integrated urban design, planning and water management. Accompanying the WSUD concept, the Urban Water Transitions Framework (UWTF) facilitates the assessment of the progress of an urban environment towards the ultimate ‘water-sensitive city’ by means of illustrating the developmental steps through which to transition, differentiating more from less developed. By reflecting on the notion of water sensitivity by the hand of water practices and natural and altered hydrological processes in the case study cities Bhuj and Kozhikode in India, the article aims to cast light on contextual and cultural conditions and elements, challenging or contradicting the conventional Northern developmental progress put forward by WSUD and the UWTF. Through examples of barriers for water-sensitive urban development originating from striving for modernity, as opposed to opportunities for water-sensitive urban development which lie in preurban development local water practices, the article calls for a consideration of, and emphasis on, localness when assessing the state and potential of urban development.","context specificity; sensitivity; decolonising; north-south relations","en","conference paper","ENSAP Bordeaux","","","","","","","","","","Urban Design","","",""
"uuid:d076ce95-c521-4007-b4a1-6b40b6019a2d","http://resolver.tudelft.nl/uuid:d076ce95-c521-4007-b4a1-6b40b6019a2d","Nanoindentation characterization of sintered porous Cu nanoparticles used in power electronics packaging – A molecular dynamic simulation study","Hu, D. (TU Delft Electronic Components, Technology and Materials); Li, Z. (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Shanghai Engineering Technology Research Center; Research Institute of Fudan University, Ningbo); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","As a critical part of speeding up industrial electrification, power electronics, and its packaging technology are undergoing rapid development. Cu nanoparticle sintering technology has therefore received extensive attention for its excellent performance in the die-attachment layer, where the mechanical properties are essential to be known for design for reliability. Both sintering and subsequent nanoindentation were studied by simulation. The effect of porosity on the nanoindentation response was investigated by setting different initial packing densities. In addition, the impact of indenter size and indentation positions on the nanoindentation response were discussed. The nanoindentation behaviors were studied by extracting loading-displacement (P-h) curves and calculating elastic modulus and hardness. In addition, the microstructure evolution was adopted using atomic configuration to study the nanoindentation mechanism. This work offers valuable insights into the Cu sinter paste preparation phase for sintering technology.","Nanoindentation; Sintering technology; Cu nanoparticles; molecular dynamics","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-18","","","Electronic Components, Technology and Materials","","",""
"uuid:6f7a1a07-a318-4f1c-9fee-842a93a65f49","http://resolver.tudelft.nl/uuid:6f7a1a07-a318-4f1c-9fee-842a93a65f49","Design and Manufacturing of an In-Package Relative Humidity Sensor with Multi-Width Interdigital Electrodes Towards Enhanced Sensitivity for Characterization of Packaging Encapsulation Materials","Sattari, R. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","This study presents a novel manufacturing process and design towards an enhanced sensitivity of an in-package relative humidity sensor. The device comprises multi-width interdigital electrodes which make oxide pillars appear during wet chemical etching in the fabrication process. Those oxide pillars appear only in wider areas while completely etched away in narrower areas providing semi-floating metal fingers. Therefore, after wafer molding, the packaging encapsulation material such as the epoxy molding compound covers larger area around the electrodes and increases the sensitivity by confining more of the electrical field lines. The results confirm the enhanced sensitivity of the proposed humidity sensor for characterization and monitoring of the aging properties of packaging encapsulation materials.","Encapsulation; Electrodes; Sensitivity; Manufacturing processes; Fingers; Humidity; Reliability engineering","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-18","","","Electronic Components, Technology and Materials","","",""
"uuid:7d047bf5-398c-4f64-8795-29465c557304","http://resolver.tudelft.nl/uuid:7d047bf5-398c-4f64-8795-29465c557304","A hazard-based duration model to quantify the impact of work related distraction on taxi drivers’ safety performance: A driving simulator study","Chen, Tiantian (Korea Advanced Institute of Science and Technology); Ye, Shi (Korea Advanced Institute of Science and Technology); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science); Sze, N. N. (The Hong Kong Polytechnic University)","Kwan, Mei-Po (editor); He, Sylvia Y. (editor); Kuo, Y.H. (editor)","2023","With the increasing use of ride-hailing apps, concerns have arisen regarding road safety and driver distraction. Despite the recognized safety risks of driver distraction, limited research has explored how distractions from various ride-hailing systems affect drivers in the taxi industry. The research utilized a driving simulator experiment involving 51 taxi drivers in two road environments (urban street and motorway) and three distracted driving conditions (no distraction, auditory distraction via radio dispatching system, and visual-manual distraction via mobile application). A car-following scenario with sudden brake events was incorporated into the experiments. The collected performance indicators include brake reaction time (BRT), time headway (THW), and car-following distance (CFD). The random parameters Weibull accelerated failure time (AFT) model was applied to model the duration data under different road conditions. The results indicate that although taxi drivers show longer BRT when distracted by mobile app and radio system, this does not necessarily equate with greater risk or reduced safety performance since they compensate for the risk of rear-end crashes by maintaining a longer THW. In general, taxi drivers' BRT and THW are more profoundly affected by mobile apps than by radio systems when distracted in both urban and motorway scenarios. In addition, significant interaction effects revealed the observed heterogeneity, which suggests that drivers' personal characteristics influence the relationship between distraction type and driving performance. This research provides valuable insights for designing safer ride-hailing systems and implementing effective driver training and management systems for transport operators.","Brake reaction time; Hazard duration model; Ride-hailing app; Taxi driver; Taxi driver distraction; Time Headway","en","conference paper","Hong Kong Society for Transportation Studies Limited","","","","","","","","","","Safety and Security Science","","",""
"uuid:43d92693-4262-476a-8c1d-94f18016d1b6","http://resolver.tudelft.nl/uuid:43d92693-4262-476a-8c1d-94f18016d1b6","Designing and Implementing Overarching Servitization Strategies in B2B Manufacturing Industry","Bluemink, R.G.H. (TU Delft Methodologie en Organisatie van Design); Simonse, LWL (TU Delft Methodologie en Organisatie van Design); Santema, S.C. (TU Delft Responsible Marketing and Consumer Behavior)","Bigdeli, Ali (editor); Kohtamäki, Marko (editor); Rabetino, Rodrigo (editor); Baines, Tim (editor)","2023","Purpose: This paper explores how a B2B technology-driven industrial manufacturer of capital goods can organise a service exploration process to create value for customers and end-users downstream of its value supply chain.
Design/Methodology/Approach: We employed the action research (AR) methodology to design and implement interventions, build new knowledge on strategic exploration and organise a design process for designing service value propositions in a B2B domain.
Findings: Based on the design roadmapping approach, we designed an intervention framework of a strategic service exploration process that addresses the needs and behaviour of customers and end-users in their future living context.
Originality/Value: This paper contributes new knowledge about organising end-user-focused product-service design capabilities and applying strategic design","Overarching Servitization; Service Design; Strategic Design; Roadmapping; Service Innovation","en","conference paper","The Advanced Services Group","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-14","","","Methodologie en Organisatie van Design","","",""
"uuid:ce0036c5-8166-4f5a-b485-e834f27b71f0","http://resolver.tudelft.nl/uuid:ce0036c5-8166-4f5a-b485-e834f27b71f0","Using Models Based on Cognitive Theory to Predict Human Behavior in Traffic: A Case Study","Schumann, J.F. (TU Delft Human-Robot Interaction); Srinivasan, Aravinda R. (University of Leeds); Kober, J. (TU Delft Learning & Autonomous Control); Markkula, Gustav (University of Leeds); Zgonnikov, A. (TU Delft Human-Robot Interaction)","","2023","The development of automated vehicles has the potential to revolutionize transportation, but they are currently unable to ensure a safe and time-efficient driving style. Reliable models predicting human behavior are essential for overcoming this issue. While data-driven models are commonly used to this end, they can be vulnerable in safety-critical edge cases. This has led to an interest in models incorporating cognitive theory, but as such models are commonly developed for explanatory purposes, this approach's effectiveness in behavior prediction has remained largely untested so far. In this article, we investigate the usefulness of the Commotions model - a novel cognitively plausible model incorporating the latest theories of human perception, decision-making, and motor control - for predicting human behavior in gap acceptance scenarios, which entail many important traffic interactions such as lane changes and intersections. We show that this model can compete with or even outperform well-established data-driven prediction models across several naturalistic datasets. These results demonstrate the promise of incorporating cognitive theory in behavior prediction models for automated vehicles.","autonomous vehicles; behavior prediction; cognitive theory; gap acceptance","en","conference paper","IEEE","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:7099e2a9-6a0c-4a57-bb1e-c598f3fdfb6d","http://resolver.tudelft.nl/uuid:7099e2a9-6a0c-4a57-bb1e-c598f3fdfb6d","Modeling Gap Acceptance in Overtaking: A Cognitive Process Approach","Mohammad, Samir H.A. (Student TU Delft); Farah, H. (TU Delft Transport and Planning); Zgonnikov, A. (TU Delft Human-Robot Interaction)","","2023","Driving automation holds significant potential for enhancing traffic safety. However, effectively handling interactions with human drivers in mixed traffic remains a challenging task. Several models exist that attempt to capture human behavior in traffic interactions, often focusing on gap acceptance. However, it is not clear how models of an individual driver's gap acceptance can be translated to dynamic interactions between humans and automated vehicles (AVs) in the context of high-speed scenarios like overtaking. In this study, we address this issue by employing a cognitive process modeling approach. We investigate a variety of drift-diffusion models to describe the dynamic decision-making process of the driver during overtaking maneuvers. Our findings reveal that a drift-diffusion model incorporating an initial decision-making bias dependent on the initial velocity can accurately describe the qualitative patterns of overtaking gap acceptance observed previously. Our results demonstrate the potential of the cognitive process approach in modeling human overtaking behavior when the oncoming vehicle is an AV. To this end, this study contributes to the development of effective strategies for ensuring safe and efficient overtaking interactions between human drivers and AVs.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","Transport and Planning","","",""
"uuid:81e7bef8-a8e0-4a58-833f-9d456bc96b19","http://resolver.tudelft.nl/uuid:81e7bef8-a8e0-4a58-833f-9d456bc96b19","Smooth-Trajectron++: Augmenting the Trajectron++ Behaviour Prediction Model with Smooth Attention","Westerhout, Frederik S.B. (Student TU Delft); Schumann, J.F. (TU Delft Human-Robot Interaction); Zgonnikov, A. (TU Delft Human-Robot Interaction)","","2023","Understanding traffic participants' behaviour is crucial for predicting their future trajectories, aiding in developing safe and reliable planning systems for autonomous vehicles. Integrating cognitive processes and machine learning models has shown promise in other domains but is lacking in the trajectory forecasting of multiple traffic agents in large-scale autonomous driving datasets. This work investigates the state-of-the-art trajectory forecasting model Trajectron++ which we enhance by incorporating a smoothing term in its attention module. This attention mechanism mimics human attention inspired by cognitive science research indicating limits to attention switching. We evaluate the performance of the resulting Smooth-Trajectron++ model and compare it to the original model on various benchmarks, revealing the potential of incorporating insights from human cognition into trajectory prediction models.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","Human-Robot Interaction","","",""
"uuid:63bb9281-7c88-4cee-b488-9df138474761","http://resolver.tudelft.nl/uuid:63bb9281-7c88-4cee-b488-9df138474761","Hydropower at the Frontier of Urbanisation: Mediating Cosmovisions and the Climate Crisis in the Brazilian Amazon","Di Gioia, Lucas (Student TU Delft); Kuzniecow Bacchin, T. (TU Delft Urban Design); Sepulveda Carmona, D.A. (TU Delft Spatial Planning and Strategy)","","2023","The Belo Monte Hydroelectric Dam on the Lower Xingu River has severely affected the river’s water pulse and threatens a multitude of endemic species and indigenous nations. A great number of dams are expected to be constructed in the years to come in the Amazon river basin which will bring further devastation. Historically, Indigenous and local populations have always opposed such endeavours and the preservation of biodiversity and forests within their territories is crucial to decelerate and revert climate change effects. Indigenous nations steward and protect over 80% of the world’s biodiversity even though they are only 5% of the world’s population. Despite this, defence of indigenous rights and land demarcation has been slow. Moreover, recommendations to expand hydropower generation have gained traction to enable the energy transition, as seen in last year’s Glasgow COP26.
This proposal exposes the impact of Belo Monte dam on human and non-human existences and proposes an analytical framework which envisions the territory through a multitude of perspectives as well as various management arrangements. This framework intends to propose methods which can facilitate shared occupation and enable the coexistence of diverse groups in the region through policy and design.
The limits of urban practice when acting over such territories must be questioned and re-defined. If our field intends to position itself within such regions, we must begin to propose an alternative paradigm which can adequately territorialize cosmopolitics. Is Cosmourbanism achievable?","Amazon; Xingu River; Hydropower infrastructure; Mediation; Cosmpolitics; Belo Monte","en","conference paper","ENSAP Bordeaux","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:705da8c5-e106-4264-8ff8-5e12ee38b2da","http://resolver.tudelft.nl/uuid:705da8c5-e106-4264-8ff8-5e12ee38b2da","Making Water Cultures Globally Mobile: How Knowledge Travels Between The Netherlands and India Through Water Sensitive Urban Design","Hädrich Silva, R. (TU Delft Urban Design); Stead, D. (TU Delft Spatial Planning and Strategy; Aalto University); Zwarteveen, Margreet (IHE Delft Institute for Water Education); Kuzniecow Bacchin, T. (TU Delft Urban Design)","","2023","The Netherlands has initiated a process of ‘policy boosterism’ that attempts to make Dutch urban water culture and its associated imaginary of water sensitivity fit for global export. This strategic shift depends on the collaboration of a mosaic of actors, private and non-private to promote knowledge sharing between countries. As this new dynamic emerges, urban design becomes strategic to create future visions for cities towards more sustainable relationships with water. One such vision stems from Water Sensitive Urban Design, an approach that borrows from ecological urbanism with the objective to restore water ecologies in cities. This article looks at how urban design knowledge from the Netherlands provides concepts to describe, evaluate and promote urban water as an enabler of sustainability globally. It also investigates how networks of actors from the Netherlands interact to make imaginations about Water Sensitive Cities globally mobile. This entails the packaging of a mobile water culture that, ultimately, can re-shape power relations. Considering that cities rely on privileged accesses to global networks to disseminate ideas, port cities are potential sites for ‘policy boosterism’. The port city of Rotterdam, for instance, is the model city in the concerted effort to promote Dutch urban water expertise (Goh, 2020) and, in the context of Indo-Dutch partnerships, a port city was chosen for an urban design initiative: Water as Leverage in Chennai. The project acts as mechanisms through which imaginations of urban water is packaged from the Netherlands for global export. Interestingly, the principal way in which this translation happens is through the creation of an imaginary/vision/framework of water sensitivity that is appealing and meets broad societal goals. In this context, design becomes a powerful tool through which these broad visions are made ‘fit for purpose’ and influence – or not – local ideas of urban landscapes.","policy translation; water sensitive urban design; the Netherlands; India","en","conference paper","ENSAP Bordeaux","","","","","","","","","","Urban Design","","",""
"uuid:6d7484cb-2ee3-4377-a763-53446af4b869","http://resolver.tudelft.nl/uuid:6d7484cb-2ee3-4377-a763-53446af4b869","Critical Urban Water Landscapes: A North-South Research-By-Design University Network Fostering the Co-Transfer of Knowledge for Urban Areas Characterised by Changing Water Regimes","Diedrich, Lisa (Swedish University of Agricultural Sciences); Janches, F. (Universidad de Buenos Aires); Sepulveda Carmona, D.A. (TU Delft Spatial Planning and Strategy)","","2023","In the rapidly developing urban regions around the globe, the opportunity to link local urban development with actions targeted to prevent ecological catastrophe has become an imperative. Cities situated in geographical locations characterized by changing water regimes, such as flood exposed urban sites in deltas, on the coast, or along rivers, are particularly critical. Suggesting prevention or adaptation measures in these sites requires a process of interaction between diverse governmental bodies, civil society, and private actors – which is not easy in times of economic and political turbulence.
Hence our commitment aims to activate stakeholder involvement and to facilitate a multidirectional knowledge transfer, recognizing the various levels of interactions necessary to advance both knowledge and action on site, within an ever-decreasing local government budget, and an ever increasing complexity of issues to be addressed by ever more tailor-made strategies – this prompts the quest for new knowledge transfer methodologies, responsive to both the local sites/ locally active site actors, and the global knowledge community/ globally active researchers.
The question is then if sustainable socio-territorial transformation can be supported by a co-transfer of knowledge, catering to the different demands? Such a transfer concept relies on the co-creation of urban transformation knowledge while also involving the co-creators in the act of continuously translating their knowledge to each other and to different socio-political contexts and geographical locations.","urban water landscapes; research-by-design; knowledge co-transfer","en","conference paper","ENSAP Bordeaux","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:7c67fc60-d946-40e3-ac2e-59ce77fe7511","http://resolver.tudelft.nl/uuid:7c67fc60-d946-40e3-ac2e-59ce77fe7511","Adaptive Radiation Survey Using an Autonomous Robot Executing LiDAR Scans in the Large Hadron Collider","Gamper, Hannes (CERN; Johannes Kepler University Linz); Forkel, David (CERN; Universitat Jaume I); Diaz Rosales, A. (TU Delft Human-Robot Interaction; CERN); Garai, Jorge Playán (CERN); Almagro, Carlos Veiga (CERN; Universitat Jaume I); Buonocore, Luca Rosario (CERN); Matheson, Eloise (CERN); Di Castro, Mario (CERN)","Billard, Aude (editor); Asfour, Tamim (editor); Khatib, Oussama (editor)","2023","At CERN, radiation surveys of equipment and beam lines are important for safety and analysis throughout the accelerator complex. Radiation measurements are highly dependent on the distance between the sensor and the radiation source. If this distance can be accurately established, the measurements can be used to better understand the radiation levels of the components and can be used for calibration purposes. When surveys are undertaken by the Train Inspection Monorail (TIM) robot, the sensor is at a constant distance from the rail, which means that it is at a known distance and height from the centre of the beam line. However, the distance of the sensor to the closest surface of the beam line varies according to what kind of equipment is installed on the beam line at this point. Ideally, a robotic survey would be completed with online adaption of the sensor position according to the equipment present in the LHC. This new approach establishes a scan of the surface with a 2D LiDAR while moving along the tunnel axis in order to obtain a 3D scan of the environment. This 3D scan will be used to generate online trajectories that will allow the robot to accurately follow the beam line and thus measure the radiation levels.","Autonomous inspection; Hazardous environment; LiDAR; Radiation","en","conference paper","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-07","","","Human-Robot Interaction","","",""
"uuid:3608d77b-3490-43c2-817d-6529ee71fd71","http://resolver.tudelft.nl/uuid:3608d77b-3490-43c2-817d-6529ee71fd71","Scaling DMD modes for modeling Dynamic Induction Control wakes in various wind speeds","Gutknecht, J. (TU Delft Team Jan-Willem van Wingerden; University of Stuttgart); Becker, M. (TU Delft Team Jan-Willem van Wingerden); Muscari, C. (TU Delft Team Jan-Willem van Wingerden); Lutz, Thorsten (University of Stuttgart); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2023","Dynamic Mode Decomposition (DMD) is a fully data-driven method to extract a linear system from experimental or numerical data. It has proven its suitability for modeling wind turbine wakes, particularly those generated with Dynamic Induction Control (DIC), a method to reduce the wake deficit by enhancing its mixing with the surrounding flow. In this context, DMD may be used to build computationally efficient aerodynamic models suitable for model-based wind farm control algorithms. However, these standard DMD models are only valid for the flow conditions of the training data. This paper presents a novel approach to generalize a DMD model for DIC wakes from the training wind speed to various wind speeds by scaling the DMD modes. For this purpose, we first extract the DMD modes from numerical simulations of a DIC wake at a constant, homogeneous wind speed. Then, we adapt the obtained modes to a different wind speed with a scaling law for the frequency and magnitude derived from the definition of the Strouhal number. This allows for a versatile, efficient application of the DMD model in heterogeneous wind conditions at low computational costs. For validating the presented method, we model a helix wake at 6 ms-1 based on the DMD modes from Large Eddy Simulations (LES) at 9 ms-1. The DMD model coincides at a high level with validation simulations, resolving even mid- to small-scale structures.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-22","","","Team Jan-Willem van Wingerden","","",""
"uuid:437fafea-5372-48d8-8519-1387f876fafb","http://resolver.tudelft.nl/uuid:437fafea-5372-48d8-8519-1387f876fafb","Data-Driven LIDAR Feedforward Predictive Wind Turbine Control","Dinkla, R.T.O. (TU Delft Team Jan-Willem van Wingerden); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden); Mulders, S.P. (TU Delft Team Mulders)","","2023","Light Detection and Ranging (LIDAR)-assisted Model Predictive Control (MPC) for wind turbine control has received much attention for its ability to incorporate future wind speed disturbance information in a receding horizon optimal control problem. However, the growth of wind turbine sizes results in increasing system complexity and system interactions, and complicates the design of model-based controllers like MPC. Together with increasing data availability, this obstacle motivates the use of direct data-driven predictive control approaches like Subspace Predictive Control (SPC). An SPC implementation is developed that both does not suffer from traditional, potentially detrimental closed-loop identification bias and incorporates past and future (not necessarily periodic) disturbance information. Simulations of the presented method for above-rated wind turbine rotor speed regulation using pitch control demonstrate the capabilities of the data-driven SPC algorithm for increasing degrees of wind speed disturbance information in the developed framework.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-22","","","Team Jan-Willem van Wingerden","","",""
"uuid:f39681e4-8b89-414f-875d-b199f4b1af7b","http://resolver.tudelft.nl/uuid:f39681e4-8b89-414f-875d-b199f4b1af7b","Exploring user comfort in automated driving: A qualitative study with younger and older users using the Wizard-Of-Oz method","Peng, Chen (University of Leeds); Öztürk, İbrahim (University of Leeds); Nordhoff, S. (TU Delft Transport and Planning); Madigan, Ruth (University of Leeds); Hoogendoorn-Lanser, S. (TU Delft Corporate Innovations); Hagenzieker, Marjan (TU Delft Transport and Planning); Merat, Natasha (University of Leeds)","","2023","As the introduction of automated vehicles (AVs) into road traffic accelerates, establishing user acceptance is increasingly crucial. User comfort, largely influenced by the AVs' driving styles, is one of the essential factors influencing acceptance. This video submission provides a methodological overview of a qualitative interview study, which used a Wizard-of-Oz method to investigate participants' comfort levels during automated driving on real roads. By understanding the specific comfort experiences of both older and younger users, we can inform the design process for AVs, thereby enhancing user experience and facilitating broader acceptance of technology across a more diverse and inclusive demographic spectrum.","automated vehicles; elderly; qualitative study; user comfort; user-centric design; Wizard-of-Oz","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-22","","","Transport and Planning","","",""
"uuid:9f0f7ef8-4ec1-4ce2-aee7-019e8fad2027","http://resolver.tudelft.nl/uuid:9f0f7ef8-4ec1-4ce2-aee7-019e8fad2027","Wave Feedforward Control for Large Floating Wind Turbines","Hegazy, A.R.M. (TU Delft Team Jan-Willem van Wingerden); Naaijen, P. (TU Delft Ship Hydromechanics and Structures); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2023","Floating wind energy has attracted substantial interest since it enables the deployment of renewable wind energy in deeper waters. However, floating wind turbines are subjected to disturbances, predominantly from turbulence in the wind and waves hitting the platform. Wave disturbances cause undesired oscillations in speed and increase structural loading. This paper focuses on mitigating these disturbance effects with feedforward control using knowledge of the incoming wavefield. The control problem is formulated in an H∞ optimization framework designing two wave feedforward controllers: one to reduce rotor speed oscillations, and the other one to minimize the platform pitch motion. Mid-fidelity time-domain simulations demonstrate the improved performance of the proposed control algorithm regarding wave disturbance mitigation at the cost of higher actuator duty.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-22","","","Team Jan-Willem van Wingerden","","",""
"uuid:a83f0089-d274-447c-bbed-9cafabe3eb5c","http://resolver.tudelft.nl/uuid:a83f0089-d274-447c-bbed-9cafabe3eb5c","Intelligent Health Indicators Based on Semi-supervised Learning Utilizing Acoustic Emission Data","Moradi, M. (TU Delft Structural Integrity & Composites); Broer, Agnes A.R. (TU Delft Structural Integrity & Composites); Chiachío, Juan (Universidad de Granada); Benedictus, R. (TU Delft Structural Integrity & Composites); Zarouchas, D. (TU Delft Structural Integrity & Composites)","Rizzo, Piervincenzo (editor); Milazzo, Alberto (editor)","2023","Health indicators are indices that act as intermediary links between raw SHM data and prognostic models. An efficient HI should satisfy prognostic requirements such as monotonicity, trendability, and prognosability in such a way that it can be effectively used as an input in a prognostic model for remaining useful life estimation. However, discovering or designing a suitable HI for composite structures is a challenging task due to the inherent complexity of the evolution of damage events in such materials. Previous research has shown that data-driven models are efficient for accomplishing this goal. Large labeled datasets, however, are normally required, and the SHM data can only be labeled, respecting prognostic requirements, after a series of nominally identical structures are tested to failure. In this paper, a semi-supervised learning approach based on implicitly imposing prognostic criteria is adopted to design a novel HI suitable. To this end, single-stiffener composite panels were subjected to compression-compression fatigue loading and monitored using acoustic emission (AE). The AE data after signal processing and feature extraction were fused using a multi-layer LSTM neural network with criteria-based hypothetical targets to generate an intelligent HI. The results confirm the performance of the proposed scenario according to the prognostic criteria.","Composite structures; Intelligent health indicator; Prognostic and health management; Semi-supervised deep neural network; Structural health monitoring","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-16","","","Structural Integrity & Composites","","",""
"uuid:69c292aa-4ab1-4e26-905c-44e8c8f4a703","http://resolver.tudelft.nl/uuid:69c292aa-4ab1-4e26-905c-44e8c8f4a703","Sustainable Management of the Navigability of Natural Rivers (PIANC WG 236)","Creech, Calvin (US Army Corps of Engineers); Mosselman, E. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); Hiver, Jean Michel (Vrije Universiteit Brussel); Huber, Nils (BAW)","Li, Yun (editor); Hu, Yaan (editor); Rigo, Philippe (editor); Lefler, Francisco Esteban (editor); Zhao, Gensheng (editor)","2023","The PIANC InCom/EnviCom Working Group 236 was established in early 2021 to develop PIANC guidelines for improving navigability conditions on natural or quasi-natural rivers, while maintaining morphological processesa nd natural river form and function. Its key objectives include: 1)development of guidelines to improve and maintain the navigability in natural rivers; 2) assess the sustainability of river training works designed to improve the navigability; 3) assess the sustainability of dynamic river management (monitoring and shifting of navigation aids to adapt the navigation channel to the river dynamics); 4) highlight the technical, operational, economic and environmental considerations for navigation in natural rivers compared to that in regulated rivers and canals; and 5) improve the understanding of the physical processes in natural rivers, developed with or without river training works. The developed guidance includes a planning framework for developing a navigability improvement masterplan for a natural or quasi-natural river system, and the integrated and adaptive management strategies that can be applied at as ystem scale. Specific interventions and measures have been identified to meet the dual goals of maintaining morphological river function and improving navigability conditions. These measures include dynamic charting; morphological dredging and disposal management; Temporary, Adaptable, and Flexible Training Structures (TAFTS); riverbed armoring and sediment nourishment; rock excavation; meander cutoffs and oxbow development; localized traditional river training structures; and channel closure structures. The impacts and strategies for mitigation associated with some of the measures are analyzed and discussed. Finally, the continual monitoring, management, and operational tools available for improving navigability in a morphologically active river system is presented. It is recognized that natural and quasi-natural rivers will typically be more fluvially active and dynamic than systems that have used traditional methods for navigability improvements including heavily trained rivers or systems with locks and dams. These unrestricted and unconfined river systems, therefore, will require new and innovative strategies to monitor the fluvial and geomorphic changes of the system in order to inform managers and navigators of the river. Case studies are presented that include the Madeira River (Brazil); Magdalena River (Colombia); Niger Delta (Nigeria); Yangtze River (China); the Brahmaputra-Jamuna River (India); and the Red River (Vietnam).","Nature-based; Inland navigation; Management","en","conference paper","Springer","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:24c6badf-c5c3-4ad6-934c-1c524c0c8695","http://resolver.tudelft.nl/uuid:24c6badf-c5c3-4ad6-934c-1c524c0c8695","An SHM Data-Driven Methodology for the Remaining Useful Life Prognosis of Aeronautical Subcomponents","Galanopoulos, Georgios (University of Patras); Eleftheroglou, N. (TU Delft Structural Integrity & Composites; University of Patras); Milanoski, Dimitrios (University of Patras); Broer, Agnes A.R. (TU Delft Structural Integrity & Composites); Zarouchas, D. (TU Delft Structural Integrity & Composites); Loutas, Theodoros (University of Patras)","Rizzo, Piervincenzo (editor); Milazzo, Alberto (editor)","2023","Prognosis of the Remaining Useful Life (RUL) of a structure from Structural Health Monitoring data is the ultimate level in the SHM hierarchy. Reliable prognostics are key to a Condition Based Maintenance paradigm for aerospace systems and structures. In the present work, we propose a methodology for RUL prognosis of generic aeronautical elements i.e. single stringered composite panels subjected to compression/compression fatigue. Strain measurements are utilized in this direction via FBG sensors bonded to the stiffener feet. The strain data collected during the fatigue life are processed and used for the RUL prognosis. In order to accomplish this task, it is essential to produce Health Indicators (HIs) out of raw strain that can properly capture the degradation process. To create such HIs a new pre/post-processing technique is employed and a variety of different HIs are developed. The quality of the HIs can enhance the performance of the prognostic algorithms, hence a fusion methodology is proposed using genetic algorithms. The resulted fused HI is used for the RUL estimation of the SSCPs. Gaussian processes and Hidden Semi Markov Models are employed for RUL prognosis and their performance is compared. Despite the complexity the raw data we demonstrate the feasibility of successful RUL prognostics in a SHM-data driven approach.","Composite panels; Fibber Bragg Gratings; Health Indicators; RUL prognosis; Structural Health Monitoring","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Structural Integrity & Composites","","",""
"uuid:00f081a8-5560-467f-b95d-ab59e025b93e","http://resolver.tudelft.nl/uuid:00f081a8-5560-467f-b95d-ab59e025b93e","Options for the Implementations of Data Assimilation for Geotechnics","Mohsan, M. (TU Delft Reservoir Engineering); Vardon, P.J. (TU Delft Geo-engineering); Vossepoel, F.C. (TU Delft Reservoir Engineering)","Barla, Marco (editor); Insana, Alessandra (editor); Di Donna, Alice (editor); Sterpi, Donatella (editor)","2023","Data assimilation methods have been implemented on a slope stability problem, and the performance of different constitutive models and data assimilation schemes has been investigated. In the first part, a data assimilation scheme called the ensemble Kalman filter (EnKF) is implemented using a finite element model (FEM) and its performance with different constitutive models (the Mohr-Coulomb (MC) and Hardening Soil (HS) material models) is investigated to study their effect on the parameter and the factor of safety (FoS) estimation. Measurements of horizontal displacement are assimilated. The results from a synthetic example show that the HS model can generally be used to get reliable results for parameter and FoS estimation. However, using the MC model does not always output reliable parameter and FoS estimation. In the second part, the performance of different data assimilation schemes, i.e., the EnKF and ensemble smoother with multiple data assimilation (ESMDA), is studied with the preferred constitutive material model (the HS model). The results of a synthetic case show that the EnKF results in a narrower distribution for the FoS than the ESMDA method, while the latter results in FoS estimation which is closer to the ‘truth’.","Slope stability; Data assimilation; Constitutive models; Ensemble Kalman filter; Ensemble smoother","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-31","","","Reservoir Engineering","","",""
"uuid:a2e1853b-90ae-4e08-b180-927316e822c0","http://resolver.tudelft.nl/uuid:a2e1853b-90ae-4e08-b180-927316e822c0","Damage Diagnostics on Post-buckled Stiffened Panels Utilizing the Digital-Twin Concept","Milanoski, Dimitrios (University of Patras); Galanopoulos, Georgios (University of Patras); Zarouchas, D. (TU Delft Structural Integrity & Composites); Loutas, Theodoros (University of Patras)","Rizzo, Piervincenzo (editor); Milazzo, Alberto (editor)","2023","A digital twin representative of a typical composite stiffened panel is utilized to monitor skin-to-stringer disbonds. A validated finite element model of the composite panel estimates the longitudinal strains of the pristine state, at the exact location where integrated fiber Bragg grating sensors are permanently installed. Experimental strains are acquired and compared to those provided by the digital twin in order to reveal the presence of disbonds. The integrated sensor grid is used in a manner that some sensors identify the load acting on the panel, leveraging on the digital twin baseline, whilst the remaining ones are dedicated for diagnostic purposes. Two damaged single-stringer panels are tested under compression-compression fatigue conditions. Static strains are received during quasi-static test intervals among the fatigue cycles. The historical strain data are analyzed in a near real-time manner to detect and localize the induced damage throughout the test span.","Composite stiffened panels; Digital twin; Fiber Bragg grating sensors; Structural health monitoring","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Structural Integrity & Composites","","",""
"uuid:612da549-fbf1-4f19-b6eb-268f17b58b22","http://resolver.tudelft.nl/uuid:612da549-fbf1-4f19-b6eb-268f17b58b22","Exploring Value and Ethical Dimensions of Disruptive Technologies for Learning and Teaching","Väljataga, Terje (Tallinn University); Pata, Kai (Tallinn University); Annus, Andrea (Tallinn University); Calisto, Michelle Andrade (Universitat Jaume I); Cambronero, Agueda Gomez (Universitat Jaume I); Eisemann, E. (TU Delft Computer Graphics and Visualisation); Marroquim, Ricardo (TU Delft Computer Graphics and Visualisation); Szécsi, László (Budapest University of Technology and Economics); Zaidi, A. (TU Delft Computer Graphics and Visualisation)","Kubincová, Zuzana (editor); Caruso, Federica (editor); Kim, Tae-eun (editor); Ivanova, Malinka (editor); Lancia, Loreto (editor); Pellegrino, Maria Angela (editor)","2023","Disruptive technology has become an integral part of our lives, and it has brought about a significant transformation in the way we interact, communicate, and share information, also in the field of education. Innovation in technology needs to be based on ethics and values of the intended result. As the use of disruptive technology continues to grow, so does the need to understand and consider ethical and value dimensions. How can disruptive technology be developed and used in an ethical way for learning and teaching? What are the values the development and implementation of disruptive technology for education should take into account? How to measure and evaluate values and ethical dimensions of disruptive technology for educational purposes? Are some of the important questions to address. This workshop paper presents a method for eliciting values and ethical dimensions of learning scenarios with disruptive technologies in vocational and higher education settings and illustrates its implementation in the context of the Horizon Europe e-DIPLOMA project. The workshop method, combining value cards and learning scenarios with disruptive technologies, was implemented in seven different countries. The preliminary results of the workshops are presented. The method has the potential to draw peoples’ attention to prospective value concerns and ethical aspects necessary for understanding and acknowledging the consequence of implementing disruptive technologies in education.","Disruptive Technologies; Ethics; Higher Education; Value Elicitation","en","conference paper","Springer","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:4c0562b9-6654-4053-bfd6-16248d16ee03","http://resolver.tudelft.nl/uuid:4c0562b9-6654-4053-bfd6-16248d16ee03","Shape Morphing and Slice Shift Correction in Congenital Heart Defect Model Generation","Pentenga, Puck (Student TU Delft); Stroh, Ashley (Dassault Systèmes Simulia Corporation); van Genuchten, Wouter (Erasmus MC); Helbing, Wim A. (Erasmus MC); Peirlinck, M. (TU Delft Medical Instruments & Bio-Inspired Technology)","Bernard, Olivier (editor); Clarysse, Patrick (editor); Duchateau, Nicolas (editor); Ohayon, Jacques (editor); Viallon, Magalie (editor)","2023","Computational heart modeling is a promising approach for improving the prognosis of patients born with congenital heart defects. To create accurate physics-based digital cardiac twins of this population, it is crucial to accurately represent the highly diverse and unique subject-specific heart geometry. In young pediatric patients, this is a challenging endeavor given the lack of high-spatial-resolution imaging data and the risk of slice misalignment. In this study, we set up a multistep shape morphing and slice correction approach to accommodate these challenges and establish a population of biventricular heart models for a variety of healthy, Fallot, and Fontan pediatric patients.","Cardiac digital twins; Congenital Heart Defects; Shape Morphing","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-25","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:9efe3b44-5362-41bf-9bea-f427cf4a691f","http://resolver.tudelft.nl/uuid:9efe3b44-5362-41bf-9bea-f427cf4a691f","How Supervisory Board Members Influence Digital Strategy: Towards a Framework for Digital Strategy Governance","van Golden, Simone L. (Nyenrode Business Universiteit); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Kievit, Henk (Nyenrode Business Universiteit)","Janssen, Marijn (editor); Matheus, Ricardo (editor); Pinheiro, Luiz (editor); Frankenberger, Fernanda (editor); Dwivedi, Yogesh K. (editor); Pappas, Ilias O. (editor); Mäntymäki, Matti (editor)","2023","Since digital opportunities will continue altering business models, organizations need to formulate and execute digital strategies to sustain long-term value. A digital strategy is governed by the organization’s board. A board consists of executive and non-executive members, whereas in a two-tier system, the non-executive members form a supervisory board that is decoupled from the executive board. We present a framework illustrating how the actions of supervisory board members might influence digital strategy. We developed this framework based on a structured literature review with insights from corporate governance, strategic management, and board-level IT governance. We found that supervisory board members execute a variety of actions to take and shape strategic decisions and shape the strategic content, context, and conduct within their organization. We integrated our findings into sixteen potential actions that supervisory board members can take to influence digital strategy formulation, execution, and context. Further research should evaluate the framework and investigate the impact of their actions on digital strategies.","board member actions; board-level IT governance; digital strategy; digital strategy governance; supervisory board member","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-15","","Engineering, Systems and Services","","","",""
"uuid:996652a2-edc0-453f-a288-cbf1d748e327","http://resolver.tudelft.nl/uuid:996652a2-edc0-453f-a288-cbf1d748e327","The Influence of National Culture on Evacuation Response Behaviour and Time: An Agent-Based Approach","Van Damme, E.R.I.; van der Wal, C.N. (TU Delft System Engineering); Hofstede, Gert Jan (Wageningen University & Research; North-West University); Brazier, F.M. (TU Delft System Engineering)","Lorig, Fabian (editor); Norling, Emma (editor)","2023","“How does culture, in combination with cues, settings and affiliation, influence response-phase behaviour and time and total evacuation time?”. A questionnaire and an agent-based model for a case study of a library evacuation in Czech Republic, Poland, Turkey and the UK have been developed to answer this question. Our questionnaire, conducted among 442 respondents (N = 105 from Czech Republic, N = 106 from Poland, N = 106 from Turkey and N = 125 from the United Kingdom), shows significant differences in the number of performed response tasks per culture - whereby Turkish respondents perform the most response tasks and British the least - and the results were directly implemented in our agent-based model. Simulation results show: (1) these differences - in combination with emergent effects for task choice and agent interactions - directly translate into the average response and evacuation times being highest for Turkey, followed by Poland, Czech Republic, and the UK, (2) cues, setting and affiliation influence response and evacuation time - such as being informed by staff giving a negative correlation and evacuating in groups a positive correlation with response time -, while the magnitude of these effects differ per culture. Our results suggest that faster response times might be related to dimensions of national culture, such as weak uncertainty avoidance and high individualism.","Agent-based model; Cross-cultural; Evacuation modelling; Evacuation response behaviour","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-12","","","System Engineering","","",""
"uuid:c4d42c8e-8b96-4af8-b111-1853ba1b6394","http://resolver.tudelft.nl/uuid:c4d42c8e-8b96-4af8-b111-1853ba1b6394","Presenting the Work of PIANC TG234 “Infrastructure for the Decarbonisation of IWT”","van Koningsveld, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Pauli, Gernot","Li, Yun (editor); Hu, Yaan (editor); Rigo, Philippe (editor); Lefler, Francisco Esteban (editor); Zhao, Gensheng (editor)","2023","PIANC provides guidance and technical advice for sustainable waterborne transport infrastructure. To address the challenge of making inland navigation infrastructure sustainable, a Task Group “Infrastructure for the decarbonisation of Inland Water Transport” (TG234) was set up in January2021. The objective of the TG was to identify knowledge gaps and major challenges that need to be urgently addressed and advise PIANC on further actions, such as setting up a working group. A report was to be delivered in early2022. The TG involved a range of international experts who met every three months on-line. They jointly participated in the following activities: sharing experiences in their area of expertise; gathering, organising, and discussing literature; discussing the perspective to be taken while reporting; contributing an overview of the developments either in their country or organisations and of course in the various discussions. A final report was produced that took the perspective of the waterway manager, highlighting a number of key questions that need to be answered in the transition to reduced/zero emissions. With the state-of-art knowledge gathered by the group, it became possible to identify the existing knowledge gaps and the major challenges that need to be addressed. The report can guide PIANC in evaluating the best way forward to address the decarbonisation of IWT infrastructure.","Inland waterway transport; Decarbonisation; IWT infrastructure; Alternative fuels","en","conference paper","Springer","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:15eb490e-e360-4973-bca1-387db6064de2","http://resolver.tudelft.nl/uuid:15eb490e-e360-4973-bca1-387db6064de2","Purposeful prototyping with children to generate design ideas","Aggarwal, A. (Student TU Delft); Gielen, M.A. (TU Delft Design Conceptualization and Communication)","Brooks, Eva (editor); Sjöberg, Jeanette (editor); Møller, Anders Kalsgaard (editor); Edstrand, Emma (editor)","2023","Prototyping to generate ideas, as part of the design process offers various learning oppor-tunities to sharpen young novice designers’ design and making skills. This study situates itself within the landscape of Makerspaces and co-design with children as emerging oppor-tunities of learning and skill building for children. From experiences of co-design with children it is often observed that children engage with outcome and object-focused model making or plain crafting with no intent of iterative prototyping for ideation. This paper describes the case of design prototyping sessions conducted with children aged 8-11 years old as a classroom activity. The sessions were investigated and analysed to reveal enablers and limitations to purposeful prototyping with children. Defining and contextualising the design problem with the children, the variety of prototyping materials for flexible build-ing, interpretation and expression, and mid-prototyping discussions were all found sup-portive to children’s purposeful prototyping.","Prototyping; Children; Ideation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-30","","","Design Conceptualization and Communication","","",""
"uuid:b30d98dc-27e6-42fb-93f0-aa02d4fc617a","http://resolver.tudelft.nl/uuid:b30d98dc-27e6-42fb-93f0-aa02d4fc617a","Bidding Support by the Pocket Negotiator Improves Negotiation Outcomes","Aydoğan, Reyhan (TU Delft Interactive Intelligence; Özyeğin University); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden)","Hadfi, Rafik (editor); Ito, Takayuki (editor); Arisaka, Ryuta (editor); Aydoğan, Reyhan (editor)","2023","This paper presents the negotiation support mechanisms provided by the Pocket Negotiator (PN) and an elaborate empirical evaluation of the economic decision support (EDS) mechanisms during the bidding phase of negotiations as provided by the PN. Some of these support mechanisms are offered actively, some passively. With passive support we mean that the user only gets that support by clicking a button, whereas active support is provided without prompting. Our results show, that PN improves negotiation outcomes, counters cognitive depletion, and encourages exploration of potential outcomes. We found that the active mechanisms were used more effectively than the passive ones and, overall, the various mechanisms were not used optimally, which opens up new avenues for research. As expected, the participants with higher negotiation skills outperformed the other groups, but still they benefited from PN support. Our experimental results show that people with enough technical skills and with some basic negotiation knowledge will benefit most from PN support. Our results also show that the cognitive depletion effect is reduced by Pocket Negotiator support. The questionnaire taken after the experiment shows that overall the participants found Pocket Negotiator easy to interact with, that it made them negotiate more quickly and that it improves their outcome. Based on our findings, we recommend to 1) provide active support mechanisms (push) to nudge users to be more effective, and 2) provide support mechanisms that shield the user from mathematical complexities.","Bidding support; Experimental performance evaluation; Negotiation support; User experience analysis","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public","","2023-09-21","","","Interactive Intelligence","","",""
"uuid:1d6b2af2-166d-4fd7-a139-7a960f722545","http://resolver.tudelft.nl/uuid:1d6b2af2-166d-4fd7-a139-7a960f722545","Corridor Scale Planning of Bunker Infrastructure for Zero-Emission Energy Sources in Inland Waterway Transport","Jiang, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Baart, F. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); Visser, K. (TU Delft Ship Design, Production and Operations); Hekkenberg, R.G. (TU Delft Ship Design, Production and Operations); van Koningsveld, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Van Oord Dredging and Marine Contractors B.V.)","Li, Yun (editor); Hu, Yaan (editor); Rigo, Philippe (editor); Lefler, Francisco Esteban (editor); Zhao, Gensheng (editor)","2023","The availability of supporting bunker infrastructure for zero-emission energy sources will be key to accommodate zero-emission inland waterway transport (IWT). However, it remains unclear which (mix of) zero-emission energy sources to prepare for, and how to plan the bunker infrastructure in relative positions and required capacity at corridor scale. To provide insight into the positioning and dimensions of bunkering infrastructure we propose a bottom-up energy consumption method combined with agent based network simulation. In the method, we first produce a two-way traffic energy consumption map, aggregated from the energy footprint of individual vessels on the transport network. Next we investigate the potential sailing range of the vessels on the network if they would sail the same routes, but with alternative energy carriers. Based on the sailing range of the vessels for different energy carriers, the maximum inter-distance between refuelling points can be estimated. By aggregating the energy consumptions of all the vessels on the network, we can estimate the required capacity of a given refuelling point. To demonstrate the basic functionality we implement the method to four representative corridor scale inland shipping examples using zero-emission energy sources including hydrogen, batteries, e-NH3, e-methanol and e-LNG. The application in this paper is limited to four abstract cases. A recommended next step is to apply this approach to a more realistic network.","Inland waterway transport; Zero-emission; Bunkering infrastructure; Sustainable energy sources; Energy consumption","en","conference paper","Springer","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:5a447a14-85ae-4dea-b3fb-b125f1a0a1c5","http://resolver.tudelft.nl/uuid:5a447a14-85ae-4dea-b3fb-b125f1a0a1c5","Clustering-Based Identification of Precursors of Extreme Events in Chaotic Systems","Gołyska, U. (Student TU Delft); Doan, Nguyen Anh Khoa (TU Delft Aerodynamics)","Kozyrev, Dmitry V. (editor)","2023","Abrupt and rapid high-amplitude changes in a dynamical system’s states known as extreme events appear in many processes occurring in nature, such as drastic climate patterns, rogue waves, or avalanches. These events often entail catastrophic effects, therefore their description and prediction is of great importance. However, because of their chaotic nature, their modelling represents a great challenge up to this day. The applicability of a data-driven modularity-based clustering technique to identify precursors of rare and extreme events in chaotic systems is here explored. The proposed identification framework based on clustering of system states, probability transition matrices and state space tessellation was developed and tested on two different chaotic systems that exhibit extreme events: the Moehliss-Faisst-Eckhardt model of self-sustained turbulence and the 2D Kolmogorov flow. Both exhibit extreme events in the form of bursts in kinetic energy and dissipation. It is shown that the proposed framework provides a way to identify pathways towards extreme events and predict their occurrence from a probabilistic standpoint. The clustering algorithm correctly identifies the precursor states leading to extreme events and allows for a statistical description of the system’s states and its precursors to extreme events.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Aerodynamics","","",""
"uuid:b2a942c5-6a8b-4351-8ab3-2e7633195a7f","http://resolver.tudelft.nl/uuid:b2a942c5-6a8b-4351-8ab3-2e7633195a7f","A Semi-micromechanical Framework for Anisotropic Sands","Bayraktaroglu, H. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering); Korff, M. (TU Delft Geo-engineering)","Barla, Marco (editor); Insana, Alessandra (editor); Di Donna, Alice (editor); Sterpi, Donatella (editor)","2023","In this paper, a state-dependent semi-micromechanical framework for anisotropic sands is proposed. A simple constitutive model based on critical state theory and bounding surface (BS) plasticity is used to describe idealized micro-level soil behaviour, and a slip theory based multilaminate framework employed to create a link between the micro and macro level responses of soil. A contact normal based second order fabric tensor is used to create a mathematical description of the anisotropic nature of sand. The proposed constitutive framework can reproduce various soil responses, stemming from both the inherent anisotropy which highly depends on the sample preparation method and induced anisotropy resulting from the applied stress path. This paper presents concise theoretical aspects of the multilaminate framework and the anisotropic elastoplastic constitutive formulation. Finally, the model's performance in predicting sand response is demonstrated under drained and undrained conditions at different stress states, relative densities and loading conditions by simulating Karlsruhe sand, and is examined through a comparison with two other sophisticated constitutive models for sand, namely the Dafalias and Manzari (2004) version of Sanisand and hypoplasticity with intergranular strain.","Anisotropy; Bounding surface; Constitutive relations; Multilaminate; Sands","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Geo-engineering","","",""
"uuid:c36b06bb-00fc-482c-8ac8-3592268bd60c","http://resolver.tudelft.nl/uuid:c36b06bb-00fc-482c-8ac8-3592268bd60c","The Future of Cognitive Personal Informatics","Schneegass, C. (TU Delft Human Information Communication Design); Wilson, Max L. (University of Nottingham); Maior, Horia A. (University of Nottingham); Chiossi, Francesco (Ludwig Maximilians University); Cox, Anna L. (University College London (UCL)); Wiese, Jason (University of Utah)","Komninos, Andreas (editor); Santoro, Carmen (editor); Gavalas, Damianos (editor); Schoening, Johannes (editor); Matera, Maristella (editor); Leiva, Luis A. (editor)","2023","While Human-Computer Interaction (HCI) has contributed to demonstrating that physiological measures can be used to detect cognitive changes, engineering and machine learning will bring these to application in consumer wearable technology. For HCI, many open questions remain, such as: What happens when this becomes a cognitive form of personal informatics What goals do we have for our daily cognitive activity How should such a complex concept be conveyed to users to be useful in their everyday life How can we mitigate potential ethical concerns These issues are different from physiologically controlled interactions, such as BCIs, to a time when we have new data about ourselves. This workshop will be the first to directly address the future of Cognitive Personal Informatics (CPI), by bringing together design, BCI and physiological data, ethics, and personal informatics researchers to discuss and set the research agenda in this inevitable future before it arrives.","digital health; neurotechnology; personal informatics; well-being; work-life balance","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-26","","","Human Information Communication Design","","",""
"uuid:606e7f22-dcb1-4fd3-9225-7dc8bf3aac39","http://resolver.tudelft.nl/uuid:606e7f22-dcb1-4fd3-9225-7dc8bf3aac39","Designing demand responsive transport services in small-sized cities using an agent-based model","Calabrò, Giovanni (University of Catania); Le Pira, Michela (University of Catania); Giuffrida, Nadia (University of Bari); Inturri, Giuseppe (University of Catania); Ignaccolo, Matteo (University of Catania); Correia, Gonçalo (TU Delft Transport and Planning)","Cantisani, Giuseppe (editor); Le Pira, Michela (editor); Zampino, Stefano (editor)","2023","This paper presents an agent-based model (ABM) to simulate and compare two different operation strategies of a public transport service in small-sized cities, namely a fixed-route transit (FRT) and a demand-responsive transport (DRT) service, under varying demand rates and supply configurations. The ABM builds upon a previous work by the Authors, where flexible and feeder services of a Mass Rapid Transit system were simulated. In this paper, instead of a many-to-one pattern typical of a feeder service, we considered a many-to-many one. The objective is to investigate the conditions that make a DRT more attractive than a FRT in small-sized cities and guide its design considering the demand fluctuation, land-use pattern, service constraints and passenger preferences. A dispatching algorithm for the DRT allows to assign each new trip request to a vehicle, and a couple of origin and destination stops, updating the vehicle schedule in real time. The service includes fixed and virtual stops, allowing request consolidation and balancing operator-related (cost of the service) and user-related (quality of service) needs. The model is applied to Vittoria (Italy), a small city with 60,000 residents in Southern Italy where most trips are made by car, also due to the absence of an urban public transport service. First results highlight the benefits of providing a flexible service compared to a fixed one to minimize detours, waiting times and walking distances experienced by passengers while allowing for a higher shareability and efficiency of the service.","Agent-based simulation; Demand Responsive Transport (DRT); Low demand areas; Mass rapid transit; Mobility on demand","en","conference paper","Elsevier","","","","","","","","","","Transport and Planning","","",""
"uuid:5e56b417-e309-4e9a-bdcf-12f1ff1e7778","http://resolver.tudelft.nl/uuid:5e56b417-e309-4e9a-bdcf-12f1ff1e7778","Comparing structured ambiguity sets for stochastic optimization: Application to uncertainty quantification","Chaouach, L. (TU Delft Team Dimitris Boskos); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden); Boskos, D. (TU Delft Team Dimitris Boskos)","","2023","The aim of this paper is to compare two classes of structured ambiguity sets, which are data-driven and can reduce the conservativeness of their associated optimization problems. These two classes of structured sets, coined Wasserstein hyperrectangles and multi-transport hyperrectangles, are explored in their trade-offs in terms of reducing conservativeness and providing tractable reformulations. It follows that multi-transport hyperrectangles lead to tractable optimization problems for a significantly broader range of objective functions under a decent compromise in terms of conservativeness reduction. The results are illustrated in an uncertainty quantification case study.","","en","conference paper","IEEE","","","","","Accepted Author Manuscript","","","","","Team Dimitris Boskos","","",""
"uuid:4f14241e-efd0-41bd-9b60-40f0303fb928","http://resolver.tudelft.nl/uuid:4f14241e-efd0-41bd-9b60-40f0303fb928","Alert Alchemy: SOC Workflows and Decisions in the Management of NIDS Rules","Vermeer, M. (TU Delft Organisation & Governance); Kadenko, N.I. (TU Delft Organisation & Governance); van Eeten, M.J.G. (TU Delft Organisation & Governance); Hernandez Ganan, C. (TU Delft Organisation & Governance); Parkin, S.E. (TU Delft Organisation & Governance)","","2023","Signature-based network intrusion detection systems (NIDSs) and network intrusion prevention systems (NIPSs) remain at the heart of network defense, along with the rules that enable them to detect threats. These rules allow Security Operation Centers (SOCs) to properly defend a network, yet we know almost nothing about how rules are created, evaluated and managed from an organizational standpoint. In this work, we analyze the processes surrounding the creation, management, and acquisition of rules for network intrusion detection. To understand these processes, we conducted interviews with 17 professionals who work at Managed Security Service Providers (MSSPs) or other organizations that provide network monitoring as a service or conduct their own network monitoring internally. We discovered numerous critical factors, such as rule specificity and total number of alerts and false positives, that guide SOCs in their rule management processes. These lower-level aspects of network monitoring processes have generally been regarded as immutable by prior work, which has mainly focused on designing systems that handle the resulting alert flows by dynamically reducing the number of noisy alerts SOC analysts need to sift through. Instead, we present several recommendations that address these lower-level aspects to help improve alert quality and allow SOCs to better optimize workflows and use of available resources. These recommendations include increasing the specificity of rules, explicitly defining feedback loops from detection to rule development, and setting up organizational processes to improve the transfer of tacit knowledge.","human factors; interviews; NIDS rules; security operation centers; SOC","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Organisation & Governance","","",""
"uuid:0da1d025-a22a-4fc0-96ae-25294022412c","http://resolver.tudelft.nl/uuid:0da1d025-a22a-4fc0-96ae-25294022412c","Impact of Dynamic Tariffs for Smart EV Charging on LV Distribution Network Operation","Verbist, Flore (Student TU Delft); Panda, Nanda Kishor (TU Delft Intelligent Electrical Power Grids); Vergara Barrios, P.P. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy)","","2023","With a growing share of electric vehicles (EVs) in our distribution grids, the need for smart charging becomes indispensable to minimise grid reinforcement. To circumvent the associated capacity limitations, this paper evaluates the effectiveness of different levels of network constraints and different dynamic tariffs, including a dynamic network tariff. A detailed optimisation model is first developed for public charging electric vehicles in a representative Dutch low voltage (LV) distribution network, susceptible to congestion and voltage problems by 2050 without smart charging of EVs. Later, a detailed reflection is made to assess the influence of the modelled features on the distribution system operator (DSO), charge point operator (CPO) costs, and the EVs' final state-of-charge (SOC) for both mono- (V1G) and bi-directional (V2G) charging. Results show that the dynamic network tariff outperforms other flat tariffs by increasing valley-filling. Consequently, compared to regular day-ahead pricing, a significant reduction in the frequency of congestion in the lines is achieved. In addition, V2G ensures the joint optimum for different stakeholders causing adequate EV user satisfaction, decreased CPO costs compared to conventional charging and fewer violations of grid constraints for the DSOs.","dynamic tariffs; EV; flexibility; OPF; smart charging; V2G","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-25","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:cc4eee66-4e4f-48c8-b8b9-b99aa90e1bce","http://resolver.tudelft.nl/uuid:cc4eee66-4e4f-48c8-b8b9-b99aa90e1bce","An Investigation into an Alternative Transition Criterion of the Transitional Markov Chain Monte Carlo Method for Bayesian Model Updating","Lye, Adolphus (University of Liverpool); Marino, Luca (TU Delft Aerospace Structures & Computational Mechanics)","Brito, Mário P. (editor); Aven, Terje (editor); Baraldi, Piero (editor); Čepin, Marko (editor); Zio, Enrico (editor)","2023","One of the advanced Monte Carlo techniques employed to perform Bayesian model updating on the epistemic model parameter(s) is the Transitional Markov Chain Monte Carlo sampler. A key characteristic in its sampling approach involves the use of ""transitional"" distributions to allow samples to converge iteratively from the prior to the final posterior. Hence, the selection of the transition step size becomes of critical importance. Currently, the selection criterion is such that the optimal transition step size is one that realizes a 100% Coefficient of Variation in the statistical weights of the samples in a given iteration. The work presented here considers an alternative selection criterion on the transition step size involving the use of the Effective Sample Size as a metric. The optimal step size considered in this work is one which achieves an effective sample size equal to half the total sample size. To provide a comparative study, the standard Transitional Markov Chain Monte Carlo sampler, along with the modified Transitional Markov Chain Monte Carlo sampler imbued with the alternative selection criterion, are implemented to infer the friction force and the natural frequency of a single-storey frame structure with a metal-to-metal contact, whose dynamics is described by a non-linear differential equation. From there, the sampling performance is compared on the basis of the evolution of the tempering parameter, and the standard error of the estimates.","","en","conference paper","Research Publishing","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-25","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:d92845de-588c-4d74-b61a-d3d8e14f547d","http://resolver.tudelft.nl/uuid:d92845de-588c-4d74-b61a-d3d8e14f547d","Digital Twin-Based Hybrid PHM Framework for Monitoring Package-Level Degradation","Inamdar, A.S. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","Brito, Mario P. (editor); Aven, Terje (editor); Baraldi, Piero (editor); Cepin, Marko (editor); Zio, Enrico (editor)","2023","Digital Twin can be broadly described as a continuously updated virtual representation of an object, system, or process which replicates all phases in the lifecycle of its physical counterpart. Originally conceptualized in 2003 [1], the term `Digital Twin' came into existence after it first appeared in NASA's roadmap in 2010 [2]. The concept initially evolved within the framework of aerospace and manufacturing applications and has picked up a lot of traction in the past five years. Digital Twin is now commonly used in the context of products, processes, businesses, etc., and it has been embraced by many other industries such as healthcare and electronics.
The adoption of electronic devices and components in various applications has shown steep growth in last ten years, where some of the applications require them to withstand harsh environments. Thus, prognostics and health management (PHM) of microelectronics has gained importance more than ever. So far, the concept of Digital Twin has been implemented by contextualizing it for the respective use-case; and thus, it does not have a single fit-for-all definition or a standardized workflow. Therefore, it is crucial to clearly define a framework to implement a Digital Twin system for PHM of microelectronics. This presentation introduces such a framework adapted from a five-dimensional model [3] of Digital Twin.
First, physics-based and data-driven approaches of modelling and lifetime-prognosis are described, and their limitations on an individual basis are discussed. Then, a hybrid approach, which utilizes both of the aforementioned approaches as building blocks, is introduced along with its additional requirements such as the `physics-of-degradation' models. Fundamental differences between a model and a Digital Twin of a product have been addressed, and three different complexity-levels (weak, cloud, and edge) of connections to the physical entity are discussed. The conflict of using edge and cloud-based computing for data-driven models, as well as the advantages of utilizing both of them together is also briefly touched upon. Lastly, an example of implementing the hybrid approach for monitoring temperature and humidity induced package-level degradation is presented.","","en","conference paper","Research Publishing","","","","","","","2024-03-25","","","Electronic Components, Technology and Materials","","",""
"uuid:4b16cc71-f6b3-4adb-bcfc-5e444cb7bab2","http://resolver.tudelft.nl/uuid:4b16cc71-f6b3-4adb-bcfc-5e444cb7bab2","GDTS: GAN-Based Distributed Tabular Synthesizer","Zhao, Z. (TU Delft Data-Intensive Systems); Birke, Robert (University of Turin); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","O'Conner, L. (editor)","2023","Generative Adversarial Networks (GANs) are typically trained to synthesize data, from images and more recently tabular data, under the assumption of directly accessible training data. While learning image GANs on Federated Learning (FL) and Multi-Discriminator (MD) systems has just been demonstrated, it is unknown if tabular GANs can be learned from decentralized data sources. Different from image GANs, state-of-the-art tabular GANs require prior knowledge on the data distribution of each (discrete and continuous) column to agree on a common encoding - risking privacy guarantees. In this paper, we propose GDTS, a distributed framework for GAN-based tabular synthesizer. GDTS provides different system architectures to match the two training paradigms termed GDTS_FL and GDTS_MD. Key to enable learning on distributed data is the proposed novel privacy-preserving multi-source feature encoding to capture the global data properties. In addition GDTS encompasses a weighting strategy based on table similarity to counter the detrimental effects of non-IID data and a validation pipeline to easily assess and compare the performance of different paradigms and hyper parameters. We evaluate the effectiveness of GDTS in terms of synthetic data quality, and overall training scalability. Experiments show that GDTS_FL achieves better statistical similarity and machine learning utility between generated and original data compared to GDTS_MD.","Tabular GAN; Federated learning; tabular data; Non-IID","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-25","","","Data-Intensive Systems","","",""
"uuid:bc8ee0dc-5bd2-403a-8517-b8274a32aa99","http://resolver.tudelft.nl/uuid:bc8ee0dc-5bd2-403a-8517-b8274a32aa99","Models and methods for hybrid system identification: a systematic survey","Moradvandi, A. (TU Delft Sanitary Engineering); Lindeboom, R.E.F. (TU Delft Laboratory Water Management); Abraham, E. (TU Delft Water Resources); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","Ishii, Hideaki (editor); Ebihara, Yoshio (editor); Imura, Jun-ichi (editor); Yamakita, Masaki (editor)","2023","Dynamical systems and processes that either exhibit non-smooth behaviours (e.g. through logic control or natural phenomena) or work in different modes of operation are usually represented using hybrid systems models, i.e. mathematical models that combine continuous dynamics with discrete-event dynamics. Identification of a hybrid system includes finding switching patterns and identification of model parameters to obtain a data-driven model. This survey paper provides a systematic review of models (how to parameterize the system) and methods (how to identify unknown parameters) proposed for hybrid system identification with an exposition of recent advances and developments, and further research directions.","Hybrid systems; jump systems; piecewise-affine systems; switched systems; system identification","en","conference paper","Elsevier","","","","","","","","","Delft Center for Systems and Control","Sanitary Engineering","","",""
"uuid:c88fcb40-aafc-43b3-a97e-11d9c76f7369","http://resolver.tudelft.nl/uuid:c88fcb40-aafc-43b3-a97e-11d9c76f7369","Error-Bounded Approximation of Pareto Fronts in Robot Planning Problems","Botros, Alexander (University of Waterloo); Sadeghi, Armin (University of Waterloo); Wilde, N. (TU Delft Learning & Autonomous Control); Alonso-Mora, J. (TU Delft Learning & Autonomous Control); Smith, Stephen L. (University of Waterloo)","LaValle, Steven M. (editor); O’Kane, Jason M. (editor); Otte, Michael (editor); Sadigh, Dorsa (editor); Tokekar, Pratap (editor)","2023","Many problems in robotics seek to simultaneously optimize several competing objectives under constraints. A conventional approach to solving such multi-objective optimization problems is to create a single cost function comprised of the weighted sum of the individual objectives. Solutions to this scalarized optimization problem are Pareto optimal solutions to the original multi-objective problem. However, finding an accurate representation of a Pareto front remains an important challenge. Using uniformly spaced weight vectors is often inefficient and does not provide error bounds. Thus, we address the problem of computing a finite set of weight vectors such that for any other weight vector, there exists an element in the set whose error compared to optimal is minimized. To this end, we prove fundamental properties of the optimal cost as a function of the weight vector, including its continuity and concavity. Using these, we propose an algorithm that greedily adds the weight vector least-represented by the current set, and provide bounds on the error. Finally, we illustrate that the proposed approach significantly outperforms uniformly distributed weights for different robot planning problems with varying numbers of objective functions.","Human-robot interaction; Multi-objective optimization; Planning","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-15","","","Learning & Autonomous Control","","",""
"uuid:21d7646e-c557-4121-b54d-be60cded892a","http://resolver.tudelft.nl/uuid:21d7646e-c557-4121-b54d-be60cded892a","Predicting the Optimal Period for Cyclic Hoist Scheduling Problems","Efthymiou, N. (Student TU Delft); Yorke-Smith, N. (TU Delft Algorithmics)","Cire, Andre A. (editor)","2023","Since combinatorial scheduling problems are usually NP-hard, this paper investigates whether machine learning (ML) can accelerate exact solving of a problem instance. We adopt supervised learning on a corpus of problem instances, to acquire a function that predicts the optimal makespan for a given instance. The learned predictor is invariant to the instance size as it uses statistics of instance attributes. We provide this prediction to a solving algorithm in the form of bounds on the objective function. Specifically, this approach is applied to the well-studied Cyclic Hoist Scheduling Problem (CHSP). The goal for a CHSP instance is to find a feasible schedule for a hoist which moves objects between tanks with minimal cyclic period. Taking an existing Constraint Programming (CP) model for this problem, and an exact CP-SAT solver, we implement a Deep Neural Network, a Random Forest and a Gradient Boosting Tree in order to predict the optimal period p. Experimental results find that, first, ML models (in particular DNNs), can be good predictors of the optimal p; and, second, providing tight bounds for p around the predicted value to an exact solver significantly reduces the solving time without compromising the optimality of the solutions.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-23","","","Algorithmics","","",""
"uuid:d3965460-66ef-4df8-b5c5-7d9a020249c0","http://resolver.tudelft.nl/uuid:d3965460-66ef-4df8-b5c5-7d9a020249c0","Community Detection for Temporal Weighted Bipartite Networks","Fernández Robledo, O. (TU Delft Multimedia Computing); Klepper, M. (Koninklijke KPN); van Boven, E.F.M. (TU Delft Network Architectures and Services; Koninklijke KPN); Wang, H. (TU Delft Multimedia Computing)","Cherifi, Hocine (editor); Mantegna, Rosario Nunzio (editor); Rocha, Luis M. (editor); Cherifi, Chantal (editor); Micciche, Salvatore (editor)","2023","Community detection of temporal (time-evolving) bipartite networks is challenging because it can be performed either on the temporal bipartite network, or on various projected networks, composed of only one type of nodes, via diverse community detection algorithms. In this paper, we aim to systematically design detection methods addressing both network choices and community detection algorithms, and to compare the community structures detected by different methods. We illustrate our methodology by using a telecommunications network as an example. We find that three methods proposed identify evident community structures: one is performed on each snapshot of the temporal network, and the other two, in temporal projections. We characterise the community structures detected by each method by an evaluation network in which the nodes are the services of the telecommunications network, and the weight of the links between them are the number of snapshots that both services were assigned to the same community. Analysing the evaluation networks of the three methods reveals the similarity and difference among these methods in identifying common node pairs or groups of nodes that often belong to the same community. We find that the two methods that are based on the same projected network identify consistent community structures, whereas the method based on the original temporal bipartite network complements this vision of the community structure. Moreover, we found a non-trivial number of node pairs that belong consistently to the same community in all the methods applied.","Bipartite networks; Community detection; Temporal networks","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-26","","","Multimedia Computing","","",""
"uuid:41c910d3-8be5-4933-abda-66ef27ba5018","http://resolver.tudelft.nl/uuid:41c910d3-8be5-4933-abda-66ef27ba5018","Online Iterative Adaptive Dynamic Programming Approach for Solving the Zero-Sum Game for Nonlinear Continuous-Time Systems with Partially Unknown Dynamics","Fu, Bin (Northwestern Polytechnical University); Sun, B. (TU Delft Control & Simulation); Guo, Hang (Northwestern Polytechnical University); Yang, Tao (Northwestern Polytechnical University); Fu, Wenxing (Northwestern Polytechnical University)","Fu, Wenxing (editor); Gu, Mancang (editor); Niu, Yifeng (editor)","2023","The current study presents an online iterative adaptive dynamic programming approach to resolve the zero-sum game (ZSG) for nonlinear continuous-time (CT) systems containing a partially unknown dynamic. The Hamilton-Jacobian-Issacs (HJI) equation is solved along the state trajectory according to the value function approximation and the policy improvement online. Relaxed dynamic programming is utilized to ensure the algorithm’s convergence. Model and costate networks were established to conduct the method. Computational simulations are performed to present the efficiency of the algorithm.","Approximation dynamic programming; Integral reinforcement learning; Online learning; Value iteration; Zero-sum game","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-10","","","Control & Simulation","","",""
"uuid:4f8fbfb7-5d80-4d66-8e30-9d85105786d1","http://resolver.tudelft.nl/uuid:4f8fbfb7-5d80-4d66-8e30-9d85105786d1","Computational Modelling of the Role of Leadership Style for Its Context-Sensitive Control Over Multilevel Organisational Learning","Canbaloğlu, Gülay (Koç University); Treur, J. (TU Delft Safety and Security Science; Vrije Universiteit Amsterdam); Wiewiora, Anna (Queensland University of Technology)","Yang, Xin-She (editor); Sherratt, Simon (editor); Dey, Nilanjan (editor); Joshi, Amit (editor)","2023","This paper addresses formalisation and computational modelling of context-sensitive control over multilevel organisational learning and in particular the role of the leadership style in influencing feed forward learning flows. It addresses a realistic case study with focus on the role of managers for control of multilevel organisational learning. To this end a second-order adaptive self-modelling network model is introduced and an example simulation for the case study is discussed.","Computational modelling; Context-sensitive control; Leadership style; Organisational learning; Self-modelling networks","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:f7044132-3550-4a4d-a52c-10bc6808a38f","http://resolver.tudelft.nl/uuid:f7044132-3550-4a4d-a52c-10bc6808a38f","Improving the Resiliency of Decentralized Crowdsourced Blockchain Oracles","Blanco, Adrian Fuertes (Universiteit van Amsterdam); Shi, Z. (TU Delft Cyber Security; Universiteit van Amsterdam); Roy, Debraj (Universiteit van Amsterdam); Zhao, Zhiming (Universiteit van Amsterdam)","Mikyška, Jiří (editor); de Mulatier, Clélia (editor); Krzhizhanovskaya, Valeria V. (editor); Sloot, Peter M.A. (editor); Paszynski, Maciej (editor); Dongarra, Jack J. (editor)","2023","The emergence of blockchain technologies has created the possibility of transforming business processes in the form of immutable agreements called smart contracts. Smart contracts suffer from a major limitation; they cannot authenticate the trustworthiness of real-world data sources, creating the need for intermediaries called oracles. Oracles are trusted entities that connect on-chain systems with off-chain data, allowing smart contracts to operate on real-world inputs in a trustworthy manner. A popular oracle protocol is a crowdsourced oracle, where unrelated individuals attest to facts through voting mechanisms in smart contracts. Crowdsourced oracles have unique challenges: the trustworthiness and correctness of outcomes cannot be explicitly verified. These problems are aggravated by inherent vulnerabilities to attacks, such as Sybil attacks. To address this weakness, this paper proposes a reputation-based mechanism, where oracles are given a reputation value depending on the implied correctness of their actions over time. This reputation score is used to eliminate malicious agents from the participant pool. Additionally, two reputation-based voting mechanisms are proposed. The effectiveness of the proposed mechanism is evaluated using an agent-based simulation of a crowdsourced oracle platform, where a pool of oracles performs evaluate Boolean queries.","Blockchain; Decentralized oracle; Reputation-based consensus; Voting","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Cyber Security","","",""
"uuid:18bcb29f-cd9c-4cfe-8d0f-32bea7dccbe8","http://resolver.tudelft.nl/uuid:18bcb29f-cd9c-4cfe-8d0f-32bea7dccbe8","Social Honeypot for Humans: Luring People Through Self-managed Instagram Pages","Bardi, Sara (Università degli Studi di Padova); Conti, M. (TU Delft Cyber Security; Università degli Studi di Padova; Chisito S.r.l.); Pajola, L. (Università degli Studi di Padova); Tricomi, Pier Paolo (Università degli Studi di Padova; Chisito S.r.l.)","Tibouchi, Mehdi (editor); Wang, XiaoFeng (editor)","2023","Social Honeypots are tools deployed in Online Social Networks (OSN) to attract malevolent activities performed by spammers and bots. To this end, their content is designed to be of maximum interest to malicious users. However, by choosing an appropriate content topic, this attractive mechanism could be extended to any OSN users, rather than only luring malicious actors. As a result, honeypots can be used to attract individuals interested in a wide range of topics, from sports and hobbies to more sensitive subjects like political views and conspiracies. With all these individuals gathered in one place, honeypot owners can conduct many analyses, from social to marketing studies. In this work, we introduce a novel concept of social honeypot for attracting OSN users interested in a generic target topic. We propose a framework based on fully-automated content generation strategies and engagement plans to mimic legit Instagram pages. To validate our framework, we created 21 self-managed social honeypots (i.e., pages) on Instagram, covering three topics, four content generation strategies, and three engaging plans. In nine weeks, our honeypots gathered a total of 753 followers, 5387 comments, and 15739 likes. These results demonstrate the validity of our approach, and through statistical analysis, we examine the characteristics of effective social honeypots.","Artificial Intelligence; Instagram; Privacy; Social Honeypots; Social Networks; User Profiling","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-04","","","Cyber Security","","",""
"uuid:0119ce73-6c43-44bf-ac51-6769ed0cd767","http://resolver.tudelft.nl/uuid:0119ce73-6c43-44bf-ac51-6769ed0cd767","Damage Mode Identification of CFRP-Strengthened Beam Based on Acoustic Emission Technique","Pan, Tanbo (Tongji University); Zheng, Yonglai (Tongji University); Zhou, Y. (TU Delft Concrete Structures); Wu, Zhuorui (Tongji University); Zhou, Yujue (Tongji University)","Ilki, Alper (editor); Çavunt, Derya (editor); Çavunt, Yavuz Selim (editor)","2023","Externally bonded (EB) carbon fiber reinforced polymer (CFRP) is widely used in structural strengthening and retrofitting. Premature debonding of the FRP severely limits the efficiency of CFRP utilization. The application of CRRP anchorage system offers a solution to the debonding problem. However, the understanding of damage mode identification of this combined system still remains elusive. Acoustic emission (AE) technique is employed to identify the damage mode of this CFRP anchorage system, due to its high sensitivity and the ability to detect damage in real-time. The objective of the current study is to identify the failure mechanisms of CFRP-strengthened beam by applying advanced pattern recognition techniques to the collected AE data. Firstly, four-point test of CFRP-strengthened beam was carried out until failure with simultaneous recording of AE signals. Then, correlation analysis was adopted to select the AE characteristic parameters, and principal component analysis (PCA) was used for dimensionality reduction. Lastly, the AE signals of the CFRP-strengthened beam was clustered to track the evolutionary behavior of the different damage modes by Gaussian mixture model (GMM) algorithm. Three main damage modes of CFRP-strengthened beam were identified by GMM clustering: concrete cracking, debonding of CFRP sheet and fracture of CFRP sheet. This study explores the damage evolution mechanism of combined system and provides a basis for achieving health monitoring of CFRP-strengthened structures.","Acoustic emission; CFRP anchorage system; Damage mode identification; Gaussian mixed model clustering; Principal component analysis","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-03","","","Concrete Structures","","",""
"uuid:c19631e5-0297-4b75-bbbf-64440bc680cc","http://resolver.tudelft.nl/uuid:c19631e5-0297-4b75-bbbf-64440bc680cc","Use of Language to Generate Architectural Scenery with AI-Powered Tools","Karahan, Hanım Gülsüm (Istanbul Technical University); Aktaş, Begüm (Istanbul Technical University; Altınbaş University); Bingöl, C.K. (TU Delft Design & Construction Management)","Turrin, Michela (editor); Andriotis, Charalampos (editor); Rafiee, Azarakhsh (editor)","2023","The quality of communication with a computer impacts how the designer performs during the design process. Today, Artificial Intelligence (AI) empowers the designer by expanding the solution space using the expertise from previous knowledge. However, the developments in AI-powered design tools mainly focus on visual and spatial enhancements. In the last decade, AI-powered design tools mostly experimented with image transformation models (GANs) to provide fast insights to designers using learned experiences, simulations, or datasets. The studies on the design process using verbal language with the help of AI are limited. Therefore, designers’ capacity to communicate with intelligent machines would lead us to envision the future of AI-powered design tools. In design practice, designers develop individual and contextual studies through digital tools. This study investigates the process of architectural visual generation and verbal communication to describe architectural images by architecture graduates with prior experience or no experience in prior with Midjourney. The research focuses on the designers’ semantic language during the design process with the AI-powered tool and analysis of the verbal part of the communication. The results of this study show that participants’ first impressions of the image and how they express their impressions through description do not correspond with how Midjourney interprets those descriptions. Furthermore, architects’ image generation process using the tool is nonlinear. As architects develop a deeper understanding of changing modes of interactions, they are more likely to benefit from AI-powered tools as collaborative entities.","Artificial Intelligence; Design Cognition; Digital Design; Human-Machine Interaction","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-05","","","Design & Construction Management","","",""
"uuid:90661297-3b5f-498b-9176-4c545e31a6c7","http://resolver.tudelft.nl/uuid:90661297-3b5f-498b-9176-4c545e31a6c7","Probabilistic Online Robot Learning via Teleoperated Demonstrations for Remote Elderly Care","Meccanici, Floris (Student TU Delft; Heemskerk Innovative Technology B.V.); Karageorgos, Dimitrios (Heemskerk Innovative Technology B.V.); Heemskerk, Cock J.M. (Heemskerk Innovative Technology B.V.); Abbink, D.A. (TU Delft Human-Robot Interaction); Peternel, L. (TU Delft Human-Robot Interaction)","Petrič, Tadej (editor); Ude, Aleš (editor); Žlajpah, Leon (editor)","2023","Daily household tasks involve manipulation in cluttered and unpredictable environments and service robots require complex skills and adaptability to perform such tasks. To this end, we developed a teleoperated online learning approach with a novel skill refinement method, where the operator can make refinements to the initially trained skill by a haptic device. After a refined trajectory is formed, it is used to update a probabilistic trajectory model conditioned to the environment state. Therefore, the initial model can be adapted when unknown variations occur and the method is able to deal with different object positions and initial robot poses. This enables human operators to remotely correct or teach complex robotic manipulation skills. Such an approach can help to alleviate shortages of caretakers in elderly care and reduce travel time between homes of different elderly to reprogram the service robots whenever they get stuck. We performed a human factors experiment on 18 participants teaching a service robot how to empty a dishwasher, which is a common daily household task performed by caregivers. We compared the developed method against three other methods. The results show that the proposed method performs better in terms of how much time it takes to successfully adapt a model and in terms of the perceived workload.","Learning from Demonstration; Online Learning; Teleoperation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-27","","","Human-Robot Interaction","","",""
"uuid:f07b0d6f-820e-4c30-9401-27dbb8cc02f2","http://resolver.tudelft.nl/uuid:f07b0d6f-820e-4c30-9401-27dbb8cc02f2","Minimum Mass Cast Glass Structures Under Performance and Manufacturability Constraints","Koniari, A.M. (TU Delft Digital Technologies); Andriotis, C. (TU Delft Architectural Technology); Oikonomopoulou, F. (TU Delft Architectural Technology)","Turrin, Michela (editor); Andriotis, Charalampos (editor); Rafiee, Azarakhsh (editor)","2023","This work develops a computational method that produces algorithmically generated design forms, able to overcome inherent challenges related to the use of cast glass for the creation of monolithic structural components with light permeability. Structural Topology Optimization (TO) has a novel applicability potential, as decreased mass is associated with shorter annealing times and, thus, considerably improved manufacturability in terms of time, energy, and cost efficiency. However, realistic TO in such structures is currently hindered by existing mathematical formulations and commercial software capabilities. Incorporating annealing constraints into the optimization problem is an essential feature that needs to be accommodated, whereas the brittle nature of glass invokes asymmetric stress failure criteria that cannot be captured by conventional ductile plasticity surfaces or uniform stress constraints. This paper addresses the approximation problems in the evaluation of principal stresses while concurrently incorporating annealing-related manufacturing constraints into a unified TO formulation. A mass minimization objective is articulated, as this is the most critical factor for cast glass structures. To ensure the structural integrity and manufacturability of the component, the applied constraints refer both to the glass material/structural properties and to criteria that ensue from the annealing and fabrication processes. The developed code is based on the penalized artificial density interpolation scheme and the optimization problem is solved with the interior-point method. The proposed formulation is applied in a planar design domain to explore how different glass compositions and structural design strategies affect the final shape. Upon extraction of the optimized shape, the structural performance of the respective 3D structures is validated with respect to performance constraint violations using the Ansys software. Finally, brief guidelines on the practical aspects of the manufacturing process are provided.","topology optimization; structural glass; brittle materials; mass minimization; nonlinear programming; cast glass; reduced annealing","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-05","","","Digital Technologies","","",""
"uuid:2c812d58-0e15-4acc-b83e-6ce6dcc075e3","http://resolver.tudelft.nl/uuid:2c812d58-0e15-4acc-b83e-6ce6dcc075e3","Attitudes Toward a Virtual Smoking Cessation Coach: Relationship and Willingness to Continue","Albers, N. (TU Delft Interactive Intelligence); Neerincx, M.A. (TU Delft Interactive Intelligence; TNO); Aretz, N.L. (Student TU Delft); Ali, M. (Student TU Delft); Ekinci, A. (Student TU Delft); Brinkman, W.P. (TU Delft Interactive Intelligence)","Meschtscherjakov, A (editor); Midden, C. (editor); Ham, J. (editor)","2023","Virtual coaches have the potential to address the low adherence common to eHealth applications for behavior change by, for example, providing motivational support. However, given the multitude of factors affecting users’ attitudes toward virtual coaches, more insights are needed on how such virtual coaches can be designed to affect these attitudes in a specific use context positively. Especially valuable are insights that are based on users interacting with such a virtual coach for longer. We thus conducted a study in which more than 500 smokers interacted with the text-based virtual coach Sam in five sessions. In each session, Sam assigned smokers a new preparatory activity for quitting smoking and provided motivational support for doing the activity. Based on a mixed-methods analysis of users’ willingness to continue working and their relationship with Sam, we obtained eight themes for users’ attitudes toward Sam. These themes relate to whether Sam is seen as human or artificial, specific characteristics of Sam (e.g., caring character), the interaction with Sam, and the relationship with Sam. We used these themes to formulate literature-based recommendations to guide designers of virtual coaches for behavior change. For example, letting the virtual coach get to know users and disclose more information about itself may improve its relationship with users.","Conversational agent; Behavior change; eHealth","en","conference paper","Springer","","","","","","","","","","Interactive Intelligence","","",""
"uuid:094c23ca-a49d-44c3-a777-cae90d65b9e4","http://resolver.tudelft.nl/uuid:094c23ca-a49d-44c3-a777-cae90d65b9e4","Comparing Mediated and Unmediated Agent-Based Negotiation in Wi-Fi Channel Assignment","Tejedor Romero, M. (TU Delft Interactive Intelligence; Universidad de Alcalá); Murukannaiah, P.K. (TU Delft Interactive Intelligence); Gimenez-Guzman, Jose Manuel (Universitat Politécnica de Valencia); Marsa Maestre, I. (TU Delft Interactive Intelligence; Universidad de Alcalá); Jonker, C.M. (TU Delft Interactive Intelligence)","Aydoğan, Reyhan (editor); Criado, Natalia (editor); Sanchez-Anguix, Victor (editor); Lang, Jérôme (editor); Serramia, Marc (editor)","2023","Channel allocation in dense Wi-Fi networks is a complex problem due to its nonlinear and exponentially sized solution space. Negotiating over this domain is a challenge, since it is difficult to estimate opponent’s utility. Based on our previous work in mediated techniques, we propose the first two fully-distributed multi-agent negotiations for Wi-Fi channel assignment. Both of them use a simulated annealing sampling process and a noisy model graph estimation. One is designed for Alternating Offers protocols, while the other uses the novel Multiple Offers Protocol for Multilateral Negotiations with Partial Consensus (MOPaC), with experimental promising features for our particular domain. Our experiments compare both proposals against their mediated counterparts, showing similar results on social welfare, Nash product and fairness, but improving privacy and communication overhead.","Automated negotiation; Simulated annealing; Wi-Fi","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-15","","","Interactive Intelligence","","",""
"uuid:5e60aa60-4fc3-4d74-a647-24aee3da91b2","http://resolver.tudelft.nl/uuid:5e60aa60-4fc3-4d74-a647-24aee3da91b2","Ontology-Based Reflective Communication for Shared Human-AI Recognition of Emergent Collaboration Patterns","van Zoelen, E.M. (TU Delft BUS/TNO STAFF; TU Delft Interactive Intelligence); van den Bosch, Karel (DIANA FEA); Abbink, D.A. (TU Delft Human-Robot Interaction); Neerincx, M.A. (TU Delft BUS/TNO STAFF; TU Delft Interactive Intelligence)","Aydoğan, Reyhan (editor); Criado, Natalia (editor); Sanchez-Anguix, Victor (editor); Lang, Jérôme (editor); Serramia, Marc (editor)","2023","When humans and AI-agents collaborate, they need to continuously learn about each other and the task. We propose a Team Design Pattern that utilizes adaptivity in the behavior of human and agent team partners, causing new Collaboration Patterns to emerge. Human-AI Co-Learning takes place when partners can formalize recognized patterns of collaboration in a commonly shared language, and can communicate with each other about these patterns. For this, we developed an ontology of Collaboration Patterns. An accompanying Graphical User Interface (GUI) enables partners to formalize and refine Collaboration Patterns, which can then be communicated to the partner. The ontology was evaluated empirically with human participants who viewed video recordings of joint human-agent activities. Participants were requested to identify Collaboration Patterns in the footage, and to formalize patterns by using the ontology’s GUI. Results show that the ontology supports humans to recognize and define Collaboration Patterns successfully. To improve the ontology, it is suggested to include pre- and post-conditions of tasks, as well as parallel actions of team members.","Co-learning; Collaboration Patterns; Human-agent team; Ontology","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BUS/TNO STAFF","","",""
"uuid:6a89a445-e792-4b68-9a7b-2568cff04a33","http://resolver.tudelft.nl/uuid:6a89a445-e792-4b68-9a7b-2568cff04a33","Transforming the Internal Audit Function (IAF): An Integrated MICMAC-ISM Approach for Unravelling the Relationship Among Challenges","Ramadhan, M.G. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); van der Voort, H.G. (TU Delft Organisation & Governance)","Janssen, Marijn (editor); Matheus, Ricardo (editor); Pinheiro, Luiz (editor); Frankenberger, Fernanda (editor); Dwivedi, Yogesh K. (editor); Pappas, Ilias O. (editor); Mäntymäki, Matti (editor)","2023","The transformation toward the use of data analytics requires overcoming many challenges. Nevertheless, the interconnections between the challenges are unclear. Gaining knowledge about these interconnections is important to prioritize strategies that aim to stimulate the transformation. This paper unravels the relationship among Audit Analytics (AA) implementation challenges to transform the Internal Audit Function (IAF) using Matrice d’Impacts Croisés Multiplication Appliqués à un Classement (MICMAC) – Interpretative Structural Modelling (ISM) (or MICMAC-ISM) to develop a hierarchical model and determine the relationships among the challenges and the degree of power of each challenge. We collect data from internal auditors experienced in using audit analytics. They suggest that cultural challenges, along with technical challenges, are critical for enabling transformation. Moreover, combinations of approaches are required to address the complex interrelationships among challenges to initiate transformation. The analysis suggests that AA implementation requires a top-down approach to address cultural challenges blended with a bottom-up strategy to overcome technical challenges.","Audit Analytics; Internal Audit Function; MICMAC-ISM; Transformation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-15","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:facca5f9-d1ea-4710-9b90-5afb880b8d05","http://resolver.tudelft.nl/uuid:facca5f9-d1ea-4710-9b90-5afb880b8d05","A Decision Tree Induction Algorithm for Efficient Rule Evaluation Using Shannon’s Expansion","Herrera-Semenets, Vitali (Advanced Technologies Application Center); Bustio-Martínez, Lázaro (Iberoamericana University); Hernández-León, Raudel (Advanced Technologies Application Center); van den Berg, Jan (TU Delft Cyber Security)","Calvo, H. (editor); Martínez-Villaseñor, L. (editor); Ponce, H. (editor)","2023","Decision trees are one of the most popular structures for decision-making and the representation of a set of rules. However, when a rule set is represented as a decision tree, some quirks in its structure may negatively affect its performance. For example, duplicate sub-trees and rule filters, that need to be evaluated more than once, could negatively affect the efficiency. This paper presents a novel algorithm based on Shannon’s expansion, which guarantees that the same rule filter is not evaluated more than once, even if repeated in other rules. This fact increases efficiency during the evaluation process using the induced decision tree. Experiments demonstrated the viability of the proposed algorithm in processing-intensive scenarios, such as in intrusion detection and data stream analysis.","Decision Tree; Rule-Based Systems; Data Processing","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-09","","","Cyber Security","","",""
"uuid:658e11f2-a6f2-4bb2-b744-fd33fae2835e","http://resolver.tudelft.nl/uuid:658e11f2-a6f2-4bb2-b744-fd33fae2835e","Design Principles for Developing Open Source Urbanism","Zhilin, S. (TU Delft Human-Centred Artificial Intelligence); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","Lindgren, Ida (editor); Csáki, Csaba (editor); Kalampokis, Evangelos (editor); Tambouris, Efthimios (editor); Janssen, Marijn (editor); Zuiderwijk, Anneke (editor); Viale Pereira, Gabriela (editor); Virkar, Shefali (editor)","2023","In Open Source Urbanism (OSU) citizens self-organize and create Do-It-Yourself (DIY) urban designs to address societal problems. Self-organized citizens develop these designs, but there is no support for the design process based on the co-creation and involvement of citizens. The latter are mainly non-experts. Three aspects characterize OSU: (1) OSU initiatives are initialized by citizens; (2) OSU initiatives are the new commons, are collectively created and managed by self-organized citizens; (3) to last, DIY should be accepted by or co-produced with the authorities as they can change the urban environment. This research offers a set of design principles to guide the cultivation of OSU infrastructures in the self-organized setting of urban commons. We derived the principles from an ethnographic study of an Amsterdam-based citizen initiative. This paper offers a set of design principles to guide the cultivation of OSU infrastructures in the self-organized setting of the urban commons. We introduce eight design principles: (1) Co-creation, (2) Trust-building, (3) Motivating, (4) Growing, (5) Showcasing, (6) Bridging, (7) Open-sourcing, and (8) Peer Production. By promoting self-organized, community-led development, our design principles offer guidelines for urban commons communities, academics, and decision-makers to work towards a shared vision of the future of inclusive cities. Building trust and gaining access to expertise are key aspects of OSU cultivation.","citizen initiatives; design ethnography; design principles; urban commons","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-20","","Engineering, Systems and Services","Human-Centred Artificial Intelligence","","",""
"uuid:77ce9829-e274-474f-a8b5-aa147f87ea76","http://resolver.tudelft.nl/uuid:77ce9829-e274-474f-a8b5-aa147f87ea76","Adversarially Robust Decision Tree Relabeling","Vos, D.A. (TU Delft Cyber Security); Verwer, S.E. (TU Delft Cyber Security)","Amini, Massih-Reza (editor); Canu, Stéphane (editor); Fischer, Asja (editor); Guns, Tias (editor); Kralj Novak, Petra (editor); Tsoumakas, Grigorios (editor)","2023","Decision trees are popular models for their interpretation properties and their success in ensemble models for structured data. However, common decision tree learning algorithms produce models that suffer from adversarial examples. Recent work on robust decision tree learning mitigates this issue by taking adversarial perturbations into account during training. While these methods generate robust shallow trees, their relative quality reduces when training deeper trees due the methods being greedy. In this work we propose robust relabeling, a post-learning procedure that optimally changes the prediction labels of decision tree leaves to maximize adversarial robustness. We show this can be achieved in polynomial time in terms of the number of samples and leaves. Our results on 10 datasets show a significant improvement in adversarial accuracy both for single decision trees and tree ensembles. Decision trees and random forests trained with a state-of-the-art robust learning algorithm also benefited from robust relabeling.","Adversarial examples; Decision trees; Pruning","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-18","","","Cyber Security","","",""
"uuid:8df6969f-7b27-4197-99e9-db134c13215a","http://resolver.tudelft.nl/uuid:8df6969f-7b27-4197-99e9-db134c13215a","Modelling Neck Postural Stabilization Using Optimal Control Techniques for Dynamic Driving","Messiou, C. (TU Delft Intelligent Vehicles); Papaioannou, G. (TU Delft Intelligent Vehicles); Happee, R. (TU Delft Intelligent Vehicles)","Scataglini, Sofia (editor); Saeys, Wim (editor); Truijen, Steven (editor); Harih, Gregor (editor)","2023","The goal of this paper is to contribute to the accurate prediction of human body motion by proposing a novel head-neck model for dynamic driving scenarios with complex vehicle motions. While automated vehicles are considered a potential solution to several transportation issues, there are still significant challenges that need to be addressed, including fundamental questions regarding motion comfort and postural stability. Existing standards fail to accurately describe motion comfort, and current head-neck models have limitations, such as their inability to accurately capture human head responses to dynamic perturbations and lack of adaptability to different perturbations, amplitudes, and individual characteristics. To address these challenges, the authors propose a 3D double inverted pendulum model (DIPM) with a total of 6 degrees of freedom (DoF) as an approximation of head-neck system. The proposed model uses Model Predictive Control (MPC) to derive optimal control inputs for head-neck stabilization. The study validates the proposed model against experimental data of anterior-posterior seat translation and rotation from the literature. The results indicate that the model fitted the experimental data with a variance accounted for 82.80 % in translation and 73.15 % in rotation (pitch). The proposed model paves the path for the accurate assessment of occupants’ postural stability in automated vehicles.","automated vehicles; body modeling; dynamic driving; Head-neck; postural stabilization","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-19","","","Intelligent Vehicles","","",""
"uuid:2b240476-ca3b-404f-8db6-e2aaf03fbac3","http://resolver.tudelft.nl/uuid:2b240476-ca3b-404f-8db6-e2aaf03fbac3","Constructing a Mesh Model of the Construction for Finite Element Method (FEM) Simulation from the Point Cloud Data Collected by Terrestrial Laser Scanning (TLS)","Nguyen, Anh Rin (Ho Chi Minh City University of Technology (HCMUT); Vietnam National University); Huynh, Ngoc Thi (Ho Chi Minh City University of Technology (HCMUT); Vietnam National University); Truong-Hong, Linh (TU Delft Optical and Laser Remote Sensing); Phan, Anh Thu Thi (Ho Chi Minh City University of Technology (HCMUT); Vietnam National University)","Reddy, J. N. (editor); Wang, Chien Ming (editor); Luong, Van Hai (editor); Le, Anh Tuan (editor)","2023","In recent years, there has been a significant increase in inspecting and evaluating transport infrastructure. Traditionally, these structural data were collected manually by measuring and redrawing the construction against design documents. In recent decades, laser scanning technology can help collect 3D data rapidly and accurately. The 3D point clouds can provide detailed texture and shape information of complex construction such as bridges. This study aims to develop a 3D mesh model for a finite element simulation from a 3D point cloud of a bridge's Pier collected by Terrestrial Laser Scanning (TLS). The point cloud is structured, and the object boundary points are generated using the marching cube algorithm. The boundary and inside points, which imply the vertex of the solid element in the 3D mesh model, are grouped as a new point cloud. The generated point cloud is input into 3D CAD, and the 3D solid model is manually created. As a result, the 3D mesh model is developed and successfully imported to ANSYS software for the structural behavior simulation. The accuracy of generated mesh model is good, with the relative error of geometric parameters being less than 4%. The distance from the point cloud to the mesh model is approximately 5 mm.","3D mesh model generation; ANSYS; FEM; Pier; Point cloud","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-12","","","Optical and Laser Remote Sensing","","",""
"uuid:866676fe-d9b1-4ac8-b29e-f39379c8b472","http://resolver.tudelft.nl/uuid:866676fe-d9b1-4ac8-b29e-f39379c8b472","Threat Sensitive Networking: On the Security of IEEE 802.1CB and (un)Effectiveness of Existing Security Solutions","de Vos, Adriaan (Student TU Delft); Brighente, Alessandro (Università degli Studi di Padova); Conti, M. (TU Delft Cyber Security; Università degli Studi di Padova)","Katsikas, Sokratis (editor); Cuppens, Frédéric (editor); Kalloniatis, Christos (editor); Mylopoulos, John (editor); Pallas, Frank (editor); Pohle, Jörg (editor); Sasse, M. Angela (editor); Abie, Habtamu (editor); Ranise, Silvio (editor); Verderame, Luca (editor); Cambiaso, Enrico (editor); Maestre Vidal, Jorge (editor); Sotelo Monge, Marco Antonio (editor); Albanese, Massimiliano (editor); Katt, Basel (editor); Pirbhulal, Sandeep (editor); Shukla, Ankur (editor)","2023","IEEE 802.1CB provides a standard for reliable packet delivery within Time-Sensitive Networking (TSN). As this standard is envisioned to be used in mission-critical networks in the near future, it has to be protected against security threats. The integrity of the network communication should be the biggest focus as guaranteed delivery is essential. However, IEEE 802.1CB does not come with security guarantees. Indeed, as we show in this paper, an attacker may be able to exploit different threat vectors to impair the correctness of communication, impacting on the safety of users. Due to TSN strict delay and reliability requirements, classical security solutions can not be easily applied without significant efforts. Therefore, researchers proposed multiple solutions to guarantee secure communication. However, the current state-of-the-art is not able to guarantee both security and timing guarantees. In this paper, we provide a detailed analysis of the security of IEEE 802.1CB exploiting the STRIDE methodology. Compared to the existing state-of-the art on the subject, we provide a deeper analysis of the possible threats and their effect. We then analyze available solutions for security in IEEE 802.1CB, and compare their performance in terms of time, reliability, and security guarantees. Based on our analysis, we show that, although there exist promising solutions trying to provide security to 802.1CB, there is still a gap to be filled both in terms of security and latency guarantees.","Ethernet; IEEE 802.1CB; Replication and Elimination for Reliability (FRER); Security; Time-Sensitive Networking (TSN)","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-18","","","Cyber Security","","",""
"uuid:266ac5de-2ed6-46ff-b751-267f1fae97d1","http://resolver.tudelft.nl/uuid:266ac5de-2ed6-46ff-b751-267f1fae97d1","Drug Trafficking in Relation to Global Shipping Network","Leibbrandt, Louise (Student TU Delft); Zhang, S. (TU Delft Multimedia Computing); Roelvink, M.A.T. (TU Delft Support Delft Institute of Applied Mathematics); Bergkamp, Stan (Student TU Delft); Li, Xinqi (Student TU Delft); Bisschop, Lieselot (Erasmus Universiteit Rotterdam); Wingerde, Karin van (Erasmus Universiteit Rotterdam); Wang, H. (TU Delft Multimedia Computing)","Cherifi, Hocine (editor); Mantegna, Rosario Nunzio (editor); Rocha, Luis M. (editor); Cherifi, Chantal (editor); Micciche, Salvatore (editor)","2023","This paper aims to understand to what extent the amount of drug (e.g., cocaine) trafficking per country can be explained and predicted using the global shipping network. We propose three distinct network approaches, based on topological centrality metrics, Susceptible-Infected-Susceptible spreading process and a flow optimization model of drug trafficking on the shipping network, respectively. These approaches derive centrality metrics, infection probability, and inflow of drug traffic per country respectively, to estimate the amount of drug trafficking. We use the amount of drug seizure as an approximation of the amount of drug trafficking per country to evaluate our methods. Specifically, we investigate to what extent different methods could predict the ranking of countries in drug seizure (amount). Furthermore, these three approaches are integrated by a linear regression method in which we combine the nodal properties derived by each method to build a comprehensive model for the cocaine seizure data. Our analysis finds that the unweighted eigenvector centrality metric combined with the inflow derived by the flow optimization method best identifies the countries with a large amount of drug seizure (e.g., rank correlation 0.45 with the drug seizure). Extending this regression model with two extra features, the distance of a country from the source of cocaine production and a country’s income group, increases further the prediction quality (e.g., rank correlation 0.79). This final model provides insights into network derived properties and complementary country features that are explanatory for the amount of cocaine seized. The model can also be used to identify countries that have no drug seizure data but are possibly susceptible to cocaine trafficking.","Drug seizure; Drug trafficking; Network method; Shipping network","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-26","","","Multimedia Computing","","",""
"uuid:dc294703-09a0-4b9a-85a5-9c7f10227ca9","http://resolver.tudelft.nl/uuid:dc294703-09a0-4b9a-85a5-9c7f10227ca9","Probability of Delamination Detection for CFRP DCB Specimens Using Rayleigh Distributed Optical Fiber Sensors","Falcetelli, F. (TU Delft Structural Integrity & Composites; University of Bologna); Cristiani, D.L.M. (TU Delft Structural Integrity & Composites; Politecnico di Milano); Yue, N. (TU Delft Structural Integrity & Composites); Sbarufatti, Claudio (Politecnico di Milano); Sante, Raffaella Di (University of Bologna); Zarouchas, D. (TU Delft Structural Integrity & Composites)","Rizzo, Piervincenzo (editor); Milazzo, Alberto (editor)","2023","Distributed Optical Fiber Sensors (DOFS) show several inherent benefits with respect to conventional strain-sensing technologies and represent a key technology for Structural Health Monitoring (SHM). Despite the solid motivation behind DOFS-based SHM systems, their implementation for real-time structural assessment is still unsatisfactory outside academia. One of the main reasons is the lack of rigorous methodologies for uncertainty quantification, which hinders the performance assessment of the monitoring system. The concept of Probability of Detection (POD) should function as the guiding light in this process, but precautions must be taken to apply this concept to SHM, as it has been originally developed for Non-Destructive Evaluation techniques. Although DOFS have been the object of numerous studies, a well-established methodology for their performance evaluation in terms of PODs is still missing. In the present work, the concept of Probability of Delamination Detection (POD2) is proposed for a DOFS network; Carbon Fiber-Reinforced Polymers (CFRP) Double-Cantilever Beam (DCB) specimens equipped with DOFS have been tested under static loading, and the strain patterns along with the relative observed delamination size have been collected to generate an adequate database for the POD analysis, suggesting a reference methodology to quantify the performance of DOFS for delamination detection.","Carbon fiber-reinforced polymers; Delamination; Distributed optical fiber sensors; Probability of detection; Structural health monitoring","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Structural Integrity & Composites","","",""
"uuid:59e43f99-3582-4779-bbee-ddffad4c56aa","http://resolver.tudelft.nl/uuid:59e43f99-3582-4779-bbee-ddffad4c56aa","Memory Based Temporal Network Prediction","Zou, L. (TU Delft Multimedia Computing); Wang, An (University of Warwick); Wang, H. (TU Delft Multimedia Computing)","Cherifi, Hocine (editor); Mantegna, Rosario Nunzio (editor); Rocha, Luis M. (editor); Cherifi, Chantal (editor); Micciche, Salvatore (editor)","2023","Temporal networks are networks like physical contact networks whose topology changes over time. Predicting future temporal network is crucial e.g., to forecast and mitigate the spread of epidemics and misinformation on the network. Most existing methods for temporal network prediction are based on machine learning algorithms, at the expense of high computational costs and limited interpretation of the underlying mechanisms that form the networks. This motivates us to develop network-based models to predict the temporal network at the next time step based on the network observed in the past. Firstly, we investigate temporal network properties to motivate our network prediction models and to explain how the performance of these models depends on the temporal networks. We explore the similarity between the network topology (snapshot) at any two time steps with a given time lag/interval. We find that the similarity is relatively high when the time lag is small and decreases as the time lag increases. Inspired by such time-decaying memory of temporal networks and recent advances, we propose two models that predict a link’s future activity (i.e., connected or not), based on the past activities of the link itself or also of neighboring links, respectively. Via seven real-world physical contact networks, we find that our models outperform in both prediction quality and computational complexity, and predict better in networks that have a stronger memory. Beyond, our model also reveals how different types of neighboring links contribute to the prediction of a given link’s future activity, again depending on properties of temporal networks.","Network-Based prediction; Temporal network prediction; Temporal network property","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-28","","","Multimedia Computing","","",""
"uuid:a56315ce-ce7b-4183-9937-77091fb28302","http://resolver.tudelft.nl/uuid:a56315ce-ce7b-4183-9937-77091fb28302","Anomaly-Based DNN Model for Intrusion Detection in IoT and Model Explanation: Explainable Artificial Intelligence","Sharma, Bhawana (Manipal University Jaipur); Sharma, Lokesh (Manipal University Jaipur); Lal, C. (TU Delft Cyber Security)","Rawat, Sanyog (editor); Kumar, Sandeep (editor); Kumar, Pramod (editor); Anguera, Jaume (editor)","2023","IoT has gained immense popularity recently with advancements in technologies and big data. IoT network is dynamically increasing with the addition of devices, and the big data is generated within the network, making the network vulnerable to attacks. Thus, network security is essential, and an intrusion detection system is needed. In this paper, we proposed a deep learning-based model for detecting intrusions or attacks in IoT networks. We constructed a DNN model, applied a filter method for feature reduction, and tuned the model with different parameters. We also compared the performance of DNN with other machine learning techniques in terms of accuracy, and the proposed DNN model with weight decay of 0.0001 and dropout rate of 0.01 achieved an accuracy of 0.993, and the reduced loss on the NSL-KDD dataset having five classes. DL models are a black box and hard to understand, so we explained the model predictions using LIME.","DL; DNN; DT; Intrusion detection system (IDS); KNN; LIME; ML; SVM","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-28","","","Cyber Security","","",""
"uuid:1f4e6917-fa22-41c1-b7fb-39ce908312bb","http://resolver.tudelft.nl/uuid:1f4e6917-fa22-41c1-b7fb-39ce908312bb","Mapping XR Platforms: Analyzing Immersion from the Designer’s Perspective","Li, M. (TU Delft Applied Ergonomics and Design; Xi’an Jiaotong University); Houwing, L.D. (TU Delft Teaching & Learning Services); Albayrak, A. (TU Delft Applied Ergonomics and Design); Shidujaman, Mohammad (Independent University); van Eijk, D.J. (TU Delft Applied Ergonomics and Design)","Kurosu, Masaaki (editor); Hashizume, Ayako (editor)","2023","Understanding humans are the key to developing optimal design solutions for product-service systems. In this sense, the experiential approach is in line but might go beyond typical Human Centered Design (HCD) methods in that it focuses on generating positive experiences that contribute directly to human well-being. Extended Reality (XR) showed the potential to replicate or simulate experience as a whole and gained attention from design communities. XR platforms confused design practitioners due to their fast-advancing amounts and relevant experiences. Hence, this study introduced two surveys on XR platforms to clarify which experiences they could provide and when to implement them into HCD processes. Survey 1 categorized XR platforms according to their key attributes and mapped them into the Experience Matrix. Survey 2 invented two designer personas and a fictional project to analyze barriers and strategies to implement XR platforms into design processes. Eighty-eight XR platforms were categorized into nineteen clusters, where creation and simulation had the highest numbers. Regarding implementing XR in design practices, the cost is still the key concern and there's a trade-off between software cost and assets purchased for different types of designers.","Design Tools; Experience Design; Immersion; XR platforms","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-09","","","Applied Ergonomics and Design","","",""
"uuid:4e0e3a28-e369-4968-a018-82733ba9b363","http://resolver.tudelft.nl/uuid:4e0e3a28-e369-4968-a018-82733ba9b363","Metrics for Evaluating Explainable Recommender Systems","Hulstijn, Joris (University of Luxembourg); Tchappi, Igor (University of Luxembourg); Najjar, Amro (University of Luxembourg; Luxembourg Institute of Science and Technology); Aydoğan, Reyhan (TU Delft Interactive Intelligence; Özyeğin University)","Calvaresi, Davide (editor); Najjar, Amro (editor); Omicini, Andrea (editor); Carli, Rachele (editor); Ciatto, Giovanni (editor); Aydogan, Reyhan (editor); Mualla, Yazan (editor); Främling, Kary (editor)","2023","Recommender systems aim to support their users by reducing information overload so that they can make better decisions. Recommender systems must be transparent, so users can form mental models about the system’s goals, internal state, and capabilities, that are in line with their actual design. Explanations and transparent behaviour of the system should inspire trust and, ultimately, lead to more persuasive recommendations. Here, explanations convey reasons why a recommendation is given or how the system forms its recommendations. This paper focuses on the question how such claims about effectiveness of explanations can be evaluated. Accordingly, we investigate various models that are used to assess the effects of explanations and recommendations. We discuss objective and subjective measurement and argue that both are needed. We define a set of metrics for measuring the effectiveness of explanations and recommendations. The feasibility of using these metrics is discussed in the context of a specific explainable recommender system in the food and health domain.","Evaluation; Explainable AI; Metrics; Recommender systems","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-25","","","Interactive Intelligence","","",""
"uuid:5533c532-bfde-4135-bd8d-0f20c05518fc","http://resolver.tudelft.nl/uuid:5533c532-bfde-4135-bd8d-0f20c05518fc","Strengthening of Reinforced Concrete Beams with Ultra-high Performance Fiber-Reinforced Concrete in Shear","Huang, Y. (TU Delft Concrete Structures); Schlangen, E. (TU Delft Materials and Environment); Lukovic, M. (TU Delft Concrete Structures)","Ilki, Alper (editor); Çavunt, Derya (editor); Çavunt, Yavuz Selim (editor)","2023","Ultra-high performance fiber-reinforced concrete (UHPFRC) exhibits high compressive and tensile strength together with outstanding durability. Due to these superior properties, UHPFRC is promising for retrofitting existing reinforced concrete (RC) bridges. While research and on-site applications show the significant improvement of RC structures strengthened with UHPFRC in flexure, information regarding the shear behavior of such UHPFRC composite structures is limited. Therefore, the primary objective of the present study is to investigate the efficiency of UHPFRC in enhancing the shear strength of RC beams. The material properties including the compressive and tensile strength, and shrinkage of UHPFRC are experimentally measured. The shear deficient reference beam (RB) is designed, and UHPFRC is applied on the lateral sides of the RB. Two different bonding techniques to apply UHPFRC are employed: (1) casting fresh UHPFRC in-situ; and (2) gluing precast UHPFRC plates with epoxy resin. The interface properties under each technique are examined. Results demonstrate that compared to RB, strengthened beam (ST) with bonded prefabricated UHPFRC using epoxy resin shows an around 110% and 60% enhancement in strength and ductility, respectively. However, with in-situ casting of UHPFRC, due to restrained shrinkage, the delamination between UHPFRC and concrete beam occurs and a negligible strengthening effect is observed. The findings indicate that the ability of UHPFRC can be fully utilized only provided that the interface strength is sufficient to prevent premature debonding for the hybrid UHPFRC-concrete structure.","Ultra-high performance fiber-reinforced concrete (UHPFRC); Shear behavior; Bonding techniques; Interface strength","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-03","","","Concrete Structures","","",""
"uuid:dd87b63a-ccc4-4704-a318-52ed512dbb96","http://resolver.tudelft.nl/uuid:dd87b63a-ccc4-4704-a318-52ed512dbb96","Dynamic Characterization of a Real-Scale Prestressed Concrete Beam Tested Until Failure","Sierra, P.L. (International Centre for Numerical Methods in Engineering (CIMNE)); Poliotti, M. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Martinez García, Xavier (Universitat Politecnica de Catalunya); Chacón, Rolando (Universitat Politecnica de Catalunya)","Ilki, Alper (editor); Çavunt, Derya (editor); Çavunt, Yavuz Selim (editor)","2023","In this work, dynamic characterization of a simply supported beam is carried out during different steps in a failure load test. The main goal of this work is to evaluate the evolution of the structural dynamic parameters of the beam with different status of damage. Real-scale prestressed concrete beams are tested to investigate its shear behaviour as a part of a large research program at TU Delft. Four dynamic tests are performed at different damage status of the beam: firstly in the initial or undamaged condition; secondly after the first flexural cracks; then, after shear cracking; and finally in the full damaged condition. The dynamic excitation is performed with an impact load at fixed location on the top of the beam and the vibration data is recorded by three different systems. The first one is a cost-effective and open source monitoring equipment, consisting of seven low-cost accelerometers. The second system is based on five trusted high performance accelerometers. The last one is a commercial alternative consisting of four high accuracy piezoelectric accelerometers. Acceleration data is analysed afterwards using Operational Modal Analysis techniques to obtain modal frequencies, modal shapes and damping of the structure in the different states. The obtained dynamic behaviour of the structure and its results are discussed and compared. It is concluded that a change in the frequency of the first flexural mode is only observed when the damage in the beam is very significant, while no changes are observed with the occurrence of flexural and shear cracks.","Dynamic Characterization; Prestressed Concrete; Operational Modal Analysis; Cost-Effective Devices; Monitoring; Shear Failure","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-03","","","Concrete Structures","","",""
"uuid:6f97dc89-fa94-4c77-b170-15efca914a68","http://resolver.tudelft.nl/uuid:6f97dc89-fa94-4c77-b170-15efca914a68","VR Storytelling to Prime Uncertainty Avoidance","Gong, Z. (University of Oulu); Gonçalves, M. (TU Delft Creative Processes); Nanjappan, Vijayakumar (University of Oulu); Georgiev, Georgi V. (Student TU Delft)","Holloway-Attaway, Lissa (editor); Murray, John T. (editor)","2023","In recent years, there has been a growing interest among researchers in the field of virtual reality (VR) storytelling. There is a lack of studies on using VR storytelling to prime culture-related content. The cultural aspects, particularly the tendency to avoid uncertainty, have yet to be thoroughly examined within VR. Therefore, we developed VR storytelling intending to prime individuals’ uncertainty avoidance values. An experiment was conducted to assess the efficacy of VR storytelling in priming individuals’ uncertainty avoidance values. The participants’ encounter with VR storytelling was assessed through various parameters, including but not limited to their experience of presence and engagement in the virtual environment. The study provides evidence that VR storytelling has the capacity to influence individuals’ cultural values, particularly their inclination to uncertainty avoidance. Furthermore, the feedback provided by the participants revealed that they had positive emotions, a feeling of being present, engagement, and immersion while engaging with such VR storytelling.","cultural value; priming; uncertainty avoidance; VR storytelling","en","conference paper","Springer","","","","","","","2024-05-01","","","Creative Processes","","",""
"uuid:dbab6487-5652-479d-86d8-aa6087c39400","http://resolver.tudelft.nl/uuid:dbab6487-5652-479d-86d8-aa6087c39400","A General-Purpose Protocol for Multi-agent Based Explanations","Ciatto, Giovanni (Alma Mater Studiorum – Universitá di Bologna); Magnini, Matteo (Alma Mater Studiorum – Universitá di Bologna); Buzcu, Berk (Özyeğin University); Aydoğan, Reyhan (TU Delft Interactive Intelligence; Özyeğin University); Omicini, Andrea (Alma Mater Studiorum – Universitá di Bologna)","Calvaresi, Davide (editor); Najjar, Amro (editor); Omicini, Andrea (editor); Carli, Rachele (editor); Ciatto, Giovanni (editor); Aydogan, Reyhan (editor); Mualla, Yazan (editor); Främling, Kary (editor)","2023","Building on prior works on explanation negotiation protocols, this paper proposes a general-purpose protocol for multi-agent systems where recommender agents may need to provide explanations for their recommendations. The protocol specifies the roles and responsibilities of the explainee and the explainer agent and the types of information that should be exchanged between them to ensure a clear and effective explanation. However, it does not prescribe any particular sort of recommendation or explanation, hence remaining agnostic w.r.t. such notions. Novelty lays in the extended support for both ordinary and contrastive explanations, as well as for the situation where no explanation is needed as none is requested by the explainee. Accordingly, we formally present and analyse the protocol, motivating its design and discussing its generality. We also discuss the reification of the protocol into a re-usable software library, namely PyXMas, which is meant to support developers willing to build explainable MAS leveraging our protocol. Finally, we discuss how custom notions of recommendation and explanation can be easily plugged into PyXMas.","explanation protocols; multi-agent systems; PyXMas; recommender systems; Spade; XAI","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-01","","","Interactive Intelligence","","",""
"uuid:69dc8546-2de9-4228-9b15-6c1de41828f3","http://resolver.tudelft.nl/uuid:69dc8546-2de9-4228-9b15-6c1de41828f3","An Efficient Game-Theoretic Planner for Automated Lane Merging with Multi-Modal Behavior Understanding","Zhang, L. (TU Delft Team Sergio Grammatico); Han, S. (Student TU Delft); Grammatico, S. (TU Delft Team Sergio Grammatico; TU Delft Team Bart De Schutter)","","2023","In this paper, we propose a novel behavior planner that combines game theory with search-based planning for automated lane merging. Specifically, inspired by human drivers, we model the interaction between vehicles as a gap selection process. To overcome the challenge of multi-modal behavior exhibited by the surrounding vehicles, we formulate the trajectory selection as a matrix game and compute an equilibrium. Next, we validate our proposed planner in the high-fidelity simulator CARLA and demonstrate its effectiveness in handling interactions in dense traffic scenarios.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","Team Sergio Grammatico","","",""
"uuid:3fc138cc-9f37-417f-ad48-fb2133c32333","http://resolver.tudelft.nl/uuid:3fc138cc-9f37-417f-ad48-fb2133c32333","Automatically Create Digital Elevation Model from Photos Captured by a Low-Cost UAV-Based System","Nguyen, Kiet Tuan (Ho Chi Minh City University of Technology (HCMUT); Vietnam National University); Phan, Anh Thu Thi (Ho Chi Minh City University of Technology (HCMUT); Vietnam National University); Truong-Hong, Linh (TU Delft Optical and Laser Remote Sensing)","Reddy, J. N. (editor); Wang, Chien Ming (editor); Luong, Van Hai (editor); Le, Anh Tuan (editor)","2023","Unmanned aerial vehicles (UAVs) are commonly utilized as cost-effective devices for data collection by capturing photos of target objects. UAV images have been used for many applications, such as civil engineering, transportation, architecture, surveying, and mapping. Although commercial UAV image data processing software is suitable for generating orthoimages and dense point clouds of surfaces, it still requires extensive labor to prepare the appropriate point cloud to create a digital elevation model (DEM). This study proposes a method to automatically create DEM from a point cloud generated from UAV images. The proposed method composes of three main steps: (1) Candidate ground points, (2) Ground points extraction, and (3) Creation of a DEM model. The proposed method was tested on three datasets, covering a total area of approximately 45 hectares from 200 images captured by DJI Phantom 4 drone. As a result, the DEMs are successfully created with a spatial resolution of 1.0 m.","Digital Elevation Models; Structure from Motion; UAV Photogrammetry; Unmanned Aerial Vehicles","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-12","","","Optical and Laser Remote Sensing","","",""
"uuid:0fab51a9-b4af-4bc5-8b6b-cd11589aeffa","http://resolver.tudelft.nl/uuid:0fab51a9-b4af-4bc5-8b6b-cd11589aeffa","Resto Quest – A Serious Game on the Restorative Effects of Immersive Virtual Environments","Chen, Weilun (Student TU Delft); Hoogerwaard, Conchita Martin (Student TU Delft); Lim, Jeffrey (Student TU Delft); Polderdijk, Tim (Student TU Delft); Saveur, Tom (Student TU Delft); Wali, Asror (Student TU Delft); Brinkman, Suzanne (Universiteit Leiden); van der Ham, Ineke J.M. (Universiteit Leiden); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","Harteveld, Casper (editor); Troiano, Giovanni (editor); Sutherland, Steven (editor); Lukosch, Heide (editor); Meijer, Sebastiaan (editor)","2023","Mostly, restorative environments, like parks and forests, are only thought of in the real world. However, one can wonder whether their restorative effects translate to a virtual world; and whether the environment itself makes any difference. In order to assess the possible translation of restorative properties from the real world to a virtual setting, we developed Resto Quest, a single-player, first-person exploration game, designed to investigate the possible restorative effects of both natural and urban virtual environments. Resto Quest is playable on a normal personal computer, and its main game play loop consists of exploring the environment, locating in it a task to accomplish, and completing a simple minigame. After completion of each minigame, a positive change in the scenery takes place. Evaluation of Resto Quest has shown that players found its game mechanics relaxing, and that the minigames offer balanced difficulty between two interchangeable environments.","Attention Restoration Theory; Restorative Environments; Serious Games; Virtual environments","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Computer Graphics and Visualisation","","",""
"uuid:0d212c07-8ba6-43a9-bd5f-f35c844c6d60","http://resolver.tudelft.nl/uuid:0d212c07-8ba6-43a9-bd5f-f35c844c6d60","A Neural Network Approach for ETA Prediction in Inland Waterway Transport","Wenzel, P.A. (TU Delft Transport Engineering and Logistics); Jovanovic, Raka (Hamad bin Khalifa University); Schulte, F. (TU Delft Transport Engineering and Logistics)","Daduna, Joachim R. (editor); Liedtke, Gernot (editor); Shi, Xiaoning (editor); Voß, Stefan (editor)","2023","Ensuring the accuracy of the estimated time of arrival (ETA) information for ships approaching ports and inland terminals is increasingly critical today. Waterway transportation plays a vital role in freight transportation and has a significant ecological impact. Improving the accuracy of ETA predictions can enhance the reliability of inland waterway shipping, increasing the acceptance of this eco-friendly mode of transportation. This study compares the industry-standard approach for predicting the ETA based on average travel times with a neural network (NN) trained using real-world historical data. This study generates and trains two NN models using historical ship position data. These models are then assessed and contrasted with the conventional method of calculating average travel times for two specific areas in the Netherlands and Germany. The results indicate by using specific input features, the quality of ETA predictions can improve by an average of 20.6% for short trips, 4.8% for medium-length trips, and 13.4% for long-haul journeys when compared to the average calculation.","Estimated Time of Arrival Prediction; Inland Waterway Transport; Machine Learning; Neural Networks","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-07","","","Transport Engineering and Logistics","","",""
"uuid:bcccfa5e-f406-4ac6-8cf2-71eb00b07d07","http://resolver.tudelft.nl/uuid:bcccfa5e-f406-4ac6-8cf2-71eb00b07d07","The Quarrel of Local Post-hoc Explainers for Moral Values Classification in Natural Language Processing","Agiollo, A. (TU Delft Interactive Intelligence; Alma Mater Studiorum – Universitá di Bologna); Cavalcante Siebert, L. (TU Delft Interactive Intelligence); Murukannaiah, P.K. (TU Delft Interactive Intelligence); Omicini, Andrea (Alma Mater Studiorum – Universitá di Bologna)","Calvaresi, Davide (editor); Najjar, Amro (editor); Omicini, Andrea (editor); Carli, Rachele (editor); Ciatto, Giovanni (editor); Aydogan, Reyhan (editor); Mualla, Yazan (editor); Främling, Kary (editor)","2023","Although popular and effective, large language models (LLM) are characterised by a performance vs. transparency trade-off that hinders their applicability to sensitive scenarios. This is the main reason behind many approaches focusing on local post-hoc explanations recently proposed by the XAI community. However, to the best of our knowledge, a thorough comparison among available explainability techniques is currently missing, mainly for the lack of a general metric to measure their benefits. We compare state-of-the-art local post-hoc explanation mechanisms for models trained over moral value classification tasks based on a measure of correlation. By relying on a novel framework for comparing global impact scores, our experiments show how most local post-hoc explainers are loosely correlated, and highlight huge discrepancies in their results—their “quarrel” about explanations. Finally, we compare the impact scores distribution obtained from each local post-hoc explainer with human-made dictionaries, and point out that there is no correlation between explanation outputs and the concepts humans consider as salient.","eXplainable Artificial Intelligence; Local Post-hoc Explanations; Moral Values Classification; Natural Language Processing","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-01","","","Interactive Intelligence","","",""
"uuid:d81c6379-b276-40ee-b21a-f5f71c350d84","http://resolver.tudelft.nl/uuid:d81c6379-b276-40ee-b21a-f5f71c350d84","A View on Model Misspecification in Uncertainty Quantification","Kato, Y. (TU Delft Pattern Recognition and Bioinformatics); Tax, D.M.J. (TU Delft Pattern Recognition and Bioinformatics); Loog, M. (TU Delft Pattern Recognition and Bioinformatics; Radboud Universiteit Nijmegen)","Calders, Toon (editor); Goethals, Bart (editor); Vens, Celine (editor); Lijffijt, Jefrey (editor)","2023","Estimating uncertainty of machine learning models is essential to assess the quality of the predictions that these models provide. However, there are several factors that influence the quality of uncertainty estimates, one of which is the amount of model misspecification. Model misspecification always exists as models are mere simplifications or approximations to reality. The question arises whether the estimated uncertainty under model misspecification is reliable or not. In this paper, we argue that model misspecification should receive more attention, by providing thought experiments and contextualizing these with relevant literature.","Epistemic and Aleatoric uncertainty; Model misspecification; Uncertainty quantification","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-01","","","Pattern Recognition and Bioinformatics","","",""
"uuid:66f4f472-6355-4d57-a057-23017c4846f0","http://resolver.tudelft.nl/uuid:66f4f472-6355-4d57-a057-23017c4846f0","Explaining Two Strange Learning Curves","Chen, Zhiyi (ETH Zürich; Student TU Delft); Loog, Marco (Radboud Universiteit Nijmegen); Krijthe, J.H. (TU Delft Pattern Recognition and Bioinformatics)","Calders, Toon (editor); Goethals, Bart (editor); Vens, Celine (editor); Lijffijt, Jefrey (editor)","2023","Learning curves illustrate how generalization performance of a learner evolves with more training data. While this is a useful tool to characterize learners, not all learning curve behavior is well understood. For instance, it is sometimes assumed that the more training data provided, the better the learner performs. However, counter-examples exist for both classical machine learning algorithms and deep neural networks, where errors do not monotonically decrease with training set size. Loog et al. [12] describe this monotonicity problem, and present several regression examples where simple empirical risk minimizers display unexpected learning curve behaviors. In this paper, we will study two of these proposed problems in detail and explain what caused the odd learning curves. For the first, we use a bias-variance decomposition to show that the monotonic increase in the learning curve is caused by an increase in the variance, which we explain by a mismatch between the model and the data generating process. For the second problem, we explain the recurring increases in the learning curve by showing only two solutions are attainable by the learner. The probability of obtaining a configuration of training objects that leads to the high risk solution typically decreases as the training set size increases. However, for particular training set sizes, additional configurations that produce the high risk solution become possible. We prove that these additional configurations increase the probability of the high risk solution and therefore explain the unusual learning curve. These examples contribute to a more complete understanding of learning curves and the possibilities and reasons behind their various behaviors.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-01","","","Pattern Recognition and Bioinformatics","","",""
"uuid:c60641bd-1519-41e8-836b-caa768d1bb97","http://resolver.tudelft.nl/uuid:c60641bd-1519-41e8-836b-caa768d1bb97","Role of Multimodal Learning Systems in Technology-Enhanced Learning (TEL): A Scoping Review","Lee, Y. (TU Delft Web Information Systems); Limbu, B.H. (Universität Duisburg-Essen); Rusak, Z. (TU Delft Internet of Things); Specht, M.M. (TU Delft Web Information Systems)","Viberg, Olga (editor); Jivet, Ioana (editor); Muñoz-Merino, Pedro J. (editor); Perifanou, Maria (editor); Papathoma, Tina (editor)","2023","Technology-enhanced learning systems, specifically multimodal learning technologies, use sensors to collect data from multiple modalities to provide personalized learning support beyond traditional learning settings. However, many studies surrounding such multimodal learning systems mostly focus on technical aspects concerning data collection and exploitation and therefore overlook theoretical and instructional design aspects such as feedback design in multimodal settings. This paper explores multimodal learning systems as a critical part of technology-enhanced learning used for capturing and analyzing the learning process to exploit the collected multimodal data to generate feedback in multimodal settings. By investigating various studies, we aim to reveal the roles of multimodality in technology-enhanced learning across various learning domains. Our scoping review outlines the conceptual landscape of multimodal learning systems, identifies potential gaps, and provides new perspectives on adaptive multimodal system design: intertwining learning data for meaningful insights into learning, designing effective feedback, and implementing them in diverse learning domains.","Learning Domains; Multimodal Learning Analytics (MMLA); Sensor-based Technology","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-28","","","Web Information Systems","","",""
"uuid:ec09a5cd-87da-41ef-9197-589de07c6d6d","http://resolver.tudelft.nl/uuid:ec09a5cd-87da-41ef-9197-589de07c6d6d","Investigation of the Structural Performance of Masonry Wharf Cellars in Utrecht Using the Distinct Element Method","Oktiovan, Y.P. (TU Delft Applied Mechanics); Mehrotra, A.A. (TU Delft Applied Mechanics); Messali, F. (TU Delft Applied Mechanics); Rots, J.G. (TU Delft Applied Mechanics)","Endo, Yohei (editor); Hanazato, Toshikazu (editor)","2023","One of the characteristic features of the city of Utrecht is its extensive system of canals and wharf cellars, whose constructions date back as early as the 1200s, and which are now considered as one of the historical properties of the city. A typical wharf cellar in Utrecht comprises a masonry barrel vault with multi-layered rings for the cellar interior, masonry piers which are interconnected to the other wharf cellars, and spandrel walls for the façades. Due to increased traffic volume and urbanization which caused the increase of dead load and traffic load, it is important to assess the structural safety and state of maintenance of these historical structures. In this paper, a novel safety assessment framework for these structures is presented and applied to the analysis of a typical masonry wharf cellar in central Utrecht. The geometry of the cellar is first parametrically generated, which is then used to create a block-based numerical model for analysis using the Distinct Element Method (DEM), where bricks units are modelled as discrete blocks separated by zero thickness interfaces. Traffic loads in accordance with the Dutch Standard traffic model for regular vehicles and emergency service vehicles are calculated and the dispersion through the filling soil is modelled. The ultimate load due to these load configurations is then assessed. The analysis results can be used to identify the critical load cases and the failure mechanisms of the wharf cellar, while also providing general insights into the safety and stability of the cellars, thus aiding engineers in their efforts to extend the lifespan of these historical structures.","Utrecht Wharf Cellar; Distinct Element Method; Traffic Load; Barrel Vault; Boussinesq theory","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-02","","","Applied Mechanics","","",""
"uuid:5ac4d7db-09e5-4275-82de-82375f26f53e","http://resolver.tudelft.nl/uuid:5ac4d7db-09e5-4275-82de-82375f26f53e","Accurate and Efficient 2D Modelling of Historical Masonry Buildings Subjected to Settlements in Comparison to 3D Approaches","Prosperi, A. (TU Delft Applied Mechanics); Longo, M. (TU Delft Applied Mechanics); Korswagen, Paul A. (TU Delft Applied Mechanics); Korff, M. (TU Delft Geo-engineering; Deltares)","Endo, Yohei (editor); Hanazato, Toshikazu (editor)","2023","This paper presents an improved 2D modelling strategy which aims to represent the behavior of historical unreinforced masonry buildings on shallow foundations subjected to ground settlements. The application is presented with reference to a two-storey building, typical of the Dutch built heritage. The novelty comprises the inclusion of the effect of the lateral house-to-house separation walls of such old buildings. Additionally, the masonry strip foundation is modelled and supported by a boundary interface representing the interaction between the soil and the foundation. Two realistic hogging and sagging settlement configurations are applied to the model and their intensity is characterized using the angular distortion of the settlement shape. The response in terms of damage and deformations of the proposed modelling strategy is compared with the ones of five selected approaches based on the state of the art. For all the selected models, the damage severity is quantified objectively by means of a scalar parameter, which is computed considering the cracks’ number, length, and width.
The results of the proposed 2D model agree in terms of displacements, crack patterns and damage with the 3D models. On the contrary, the façade models that do not include the effect of the lateral walls do not exhibit the same cracking and damage, resulting in lower damage and deformations for the same applied angular distortion. Accordingly, the proposed modelling strategy requires less modelling complexity and the analyses are 9 to 28 times faster to run with respect to the 3D models. The efficient and accurate model allows performing a wide number of analyses to easily investigate the role of the various building’s features.","Settlements; Numerical modelling; Masonry structures; Damage","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-02","","","Applied Mechanics","","",""
"uuid:5ceb8a14-0425-4672-9ebe-db06eb25b722","http://resolver.tudelft.nl/uuid:5ceb8a14-0425-4672-9ebe-db06eb25b722","Data-Driven Support Vector Machine to Predict Thin-Walled Tube Energy Absorbers Behavior","Ghasemi, Mostafa (Isfahan University of Technology); Silani, Mohammad (Isfahan University of Technology; Free University of Bozen-Bolzano); Yaghoubi Nasrabadi, V. (TU Delft Structural Integrity & Composites); Concli, Franco (Free University of Bozen-Bolzano)","Borgianni, Yuri (editor); Matt, Dominik T. (editor); Molinaro, Margherita (editor); Orzes, Guido (editor)","2023","To design a more efficient energy absorber, it is critical to evaluate how changing the design parameters affects its performance, and also determine each one’s order of significance. In this paper, using a new approach, the behavior and response of straight, double-tapered, and triple-tapered thin-walled tubes with rectangular cross sections under axial and dynamic loading are investigated by performing a sensitivity analysis on a support vector machine (SVM) as a surrogate machine learning model. First, a finite element model of the energy absorber is constructed and validated with available experimental and theoretical studies. Next, a design of experiments was developed using the Sobol series sampling method and an appropriate dataset was created. This information is then used to develop an SVM model to predict the initial peak load and mean load of tubes. The accuracy of the machine learning created in this study is then assessed, and it is demonstrated that the developed model can precisely predict the performance of the absorber. The machine learning model is then subjected to a Sobol sensitivity analysis, and the outcomes are compared to those of the parametric study. The results suggest that the thickness of the tube has a stronger effect on the absorber performance than other geometric parameters. Comparing the effects of different material parameters on the behavior of tubes, the results show that yield strength has the greatest impact on the response of the energy absorber. It is also observed that the tapered tubes have a much lower initial peak load compared to straight ones.","Energy absorber; Finite element; Machine learning; Sensitivity analysis; Surrogate model; SVM; Thin-walled tube","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-01","","","Structural Integrity & Composites","","",""
"uuid:0e6a3cec-c162-4cc6-befe-10cb9c487416","http://resolver.tudelft.nl/uuid:0e6a3cec-c162-4cc6-befe-10cb9c487416","A Simulation Study on Characterizing Transfer Functions of Railway Tracks Using Train-Borne Laser Doppler Vibrometer","Zeng, Y. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","Limongelli, Maria Pina (editor); Giordano, Pier Francesco (editor); Gentile, Carmelo (editor); Quqa, Said (editor); Cigada, Alfredo (editor)","2023","Due to train load and aging, the dynamic properties of railway tracks degrade over time and deviate over space, which should be monitored to facilitate track maintenance decisions. A train-borne laser Doppler vibrometer (LDV) can directly measure track vibrations in response to the moving train load, which can be potentially applied to large-scale rail infrastructure monitoring. This paper characterizes track structures as a distributed system by estimating transfer functions between the wheel-rail force and the response of each sleeper measured by a train-borne LDV. A challenge with this technique is that a train-borne LDV measures only a fragment of the response for each sleeper while the train load is moving. To investigate the feasibility of this technique and the influence of key factors, we perform numerical simulations using a vehicle-track model and analyze the estimation performance through comparison with simulated impact hammer tests. We find that the transfer function estimated under a moving excitation is close to but noisier than that estimated under an impact load. Partial measurement affects the estimation performance significantly, and a wider sleeper provides a better estimate and a higher frequency resolution. Train speed is a crucial factor for a train-borne LDV system. As the vehicle speed increases, the estimation performance gets better at high frequencies but worse at low frequencies.","Laser Doppler Vibrometer; Moving Load; Railway Tracks; Transfer Function Estimation; Vibration Measurement","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-02","","","Railway Engineering","","",""
"uuid:be654e40-f369-4808-a304-a422e3861d2b","http://resolver.tudelft.nl/uuid:be654e40-f369-4808-a304-a422e3861d2b","Intercept and Inject: DNS Response Manipulation in the Wild","Nosyk, Yevheniya (Université Grenoble Alpes); Lone, Qasim (RIPE NCC); Zhauniarovich, Y. (TU Delft Organisation & Governance); Hernandez Ganan, C. (TU Delft Organisation & Governance; ICANN, Los Angeles); Aben, Emile (RIPE NCC); Moura, Giovane C. M. (TU Delft Cyber Security; SIDN Labs); Tajalizadehkhoob, Samaneh (ICANN, Los Angeles); Duda, Andrzej (Université Grenoble Alpes); Korczyński, Maciej (Université Grenoble Alpes)","Brunstrom, Anna (editor); Flores, Marcel (editor); Fiore, Marco (editor)","2023","DNS is a protocol responsible for translating human-readable domain names into IP addresses. Despite being essential for many Internet services to work properly, it is inherently vulnerable to manipulation. In November 2021, users from Mexico received bogus DNS responses when resolving whatsapp.net. It appeared that a BGP route leak diverged DNS queries to the local instance of the k-root located in China. Those queries, in turn, encountered middleboxes that injected fake DNS responses. In this paper, we analyze that event from the RIPE Atlas point of view and observe that its impact was more significant than initially thought—the Chinese root server instance was reachable from at least 15 countries several months before being reported. We then launch a nine-month longitudinal measurement campaign using RIPE Atlas probes and locate 11 probes outside China reaching the same instance, although this time over IPv6. More broadly, motivated by the November 2021 event, we study the extent of DNS response injection when contacting root servers. While only less than 1% of queries are impacted, they originate from 7% of RIPE Atlas probes in 66 countries. We conclude by discussing several countermeasures that limit the probability of DNS manipulation.","BGP route leaks; DNS; DNS censorship; DNS manipulation; Root servers","en","conference paper","Springer","","","","","","","","","","Organisation & Governance","","",""
"uuid:b733214c-cb3b-42e8-ba4e-11e2d79a894b","http://resolver.tudelft.nl/uuid:b733214c-cb3b-42e8-ba4e-11e2d79a894b","LCDB 1.0: An Extensive Learning Curves Database for Classification Tasks","Mohr, Felix (Universidad de La Sabana, Chia); Viering, T.J. (TU Delft Pattern Recognition and Bioinformatics); Loog, M. (TU Delft Pattern Recognition and Bioinformatics; University of Copenhagen); van Rijn, Jan N. (Universiteit Leiden)","Amini, Massih-Reza (editor); Canu, Stéphane (editor); Fischer, Asja (editor); Guns, Tias (editor); Kralj Novak, Petra (editor); Tsoumakas, Grigorios (editor)","2023","The use of learning curves for decision making in supervised machine learning is standard practice, yet understanding of their behavior is rather limited. To facilitate a deepening of our knowledge, we introduce the Learning Curve Database (LCDB), which contains empirical learning curves of 20 classification algorithms on 246 datasets. One of the LCDB’s unique strength is that it contains all (probabilistic) predictions, which allows for building learning curves of arbitrary metrics. Moreover, it unifies the properties of similar high quality databases in that it (i) defines clean splits between training, validation, and test data, (ii) provides training times, and (iii) provides an API for convenient access (pip install lcdb). We demonstrate the utility of LCDB by analyzing some learning curve phenomena, such as convexity, monotonicity, peaking, and curve shapes. Improving our understanding of these matters is essential for efficient use of learning curves for model selection, speeding up model training, and to determine the value of more training data.","AutoML; Learning curves; Meta-learning","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-18","","","Pattern Recognition and Bioinformatics","","",""
"uuid:d0b1f88a-ceec-45e4-9507-dc691e8e9746","http://resolver.tudelft.nl/uuid:d0b1f88a-ceec-45e4-9507-dc691e8e9746","How Ready is DNS for an IPv6-Only World?","Streibelt, Florian (Max Planck Institut für Informatik); Sattler, Patrick (Technische Universität München); Lichtblau, Franziska (Max Planck Institut für Informatik); Hernandez Ganan, C. (TU Delft Organisation & Governance); Feldmann, Anja (Max Planck Institut für Informatik); Gasser, Oliver (Max Planck Institut für Informatik); Fiebig, Tobias (Max Planck Institut für Informatik)","Brunstrom, Anna (editor); Flores, Marcel (editor); Fiore, Marco (editor)","2023","DNS is one of the core building blocks of the Internet. In this paper, we investigate DNS resolution in a strict IPv6-only scenario and find that a substantial fraction of zones cannot be resolved. We point out, that the presence of an AAAA resource record for a zone’s nameserver does not necessarily imply that it is resolvable in an IPv6-only environment since the full DNS delegation chain must resolve via IPv6 as well. Hence, in an IPv6-only setting zones may experience an effect similar to what is commonly referred to as lame delegation. Our longitudinal study shows that the continuing centralization of the Internet has a large impact on IPv6 readiness, i.e., a small number of large DNS providers has, and still can, influence IPv6 readiness for a large number of zones. A single operator that enabled IPv6 DNS resolution–by adding IPv6 glue records–was responsible for around 20.3% of all zones in our dataset not resolving over IPv6 until January 2017. Even today, 10% of DNS operators are responsible for more than 97.5% of all zones that do not resolve using IPv6.","","en","conference paper","Springer","","","","","","","","","","Organisation & Governance","","",""
"uuid:cd21f660-e081-4fae-8bbb-6c38b20ba293","http://resolver.tudelft.nl/uuid:cd21f660-e081-4fae-8bbb-6c38b20ba293","Finite Element Modeling of Shear Failure in Prestressed Girders with a Continuous Cast-In-Situ Deck Slab","Tai, Ricky K. (TNO); Slobbe, Arthur T. (TNO); Roosen, M.A. (TU Delft Concrete Structures; Rijkswaterstaat)","Ilki, Alper (editor); Çavunt, Derya (editor); Çavunt, Yavuz Selim (editor)","2023","For structures whose structural safety cannot be demonstrated using the applicable code regulations, a nonlinear finite element analysis (NLFEA) can be used to investigate the structural behavior and maximum load capacity. The Dutch Ministry of Infrastructure and Water Management uses a dedicated guideline for NLFEA in such an assessment. This guideline lacks validation on multi-span girder bridges with continuous deck slabs. Particularly, the modeling of the concrete-to-concrete interface between girders and slab deserves attention, since the interface shear transfer can significantly affect the structural behavior. In this paper we investigate the impact of different interface constitutive relations, and validate the selected modeling approach on three experimental shear tests of continuous girders. The finite element models accurately describe the failure processes and predict, on average, a 10% lower shear capacity as observed in the tests. In anticipation of future research, this is an indication that the modeling approach is suitable to be used in engineering practice.","concrete-to-concrete interface; continuous prestressed girder bridge; nonlinear finite element analysis; shear failure","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-03","","","Concrete Structures","","",""
"uuid:51a2d05c-c035-452c-8676-55c2aa0549f0","http://resolver.tudelft.nl/uuid:51a2d05c-c035-452c-8676-55c2aa0549f0","A GNN-Based Architecture for Group Detection from Spatio-Temporal Trajectory Data","Nasri, Maedeh (Universiteit Leiden); Fang, Zhizhou (Universiteit Leiden); Baratchi, Mitra (Universiteit Leiden); Englebienne, Gwenn (University of Twente); Wang, Shenghui (University of Twente); Koutamanis, A. (TU Delft Design & Construction Management); Rieffe, Carolien (Universiteit Leiden; University of Twente; University College London (UCL))","Crémilleux, Bruno (editor); Hess, Sibylle (editor); Nijssen, Siegfried (editor)","2023","Detecting and analyzing group behavior from spatio-temporal trajectories is an interesting topic in various domains, such as autonomous driving, urban computing, and social sciences. This paper revisits the group detection problem from spatio-temporal trajectories and proposes “WavenetNRI”, a graph neural network (GNN) based method. The proposed WavenetNRI extends the previously proposed neural relational inference (NRI) method (an unsupervised learning approach for inferring interactions from observational data) in two directions: (1) symmetric edge features and edge updating processes are applied to generate symmetric edge representations corresponding to the symmetric binary group relationships; (2) a gated dilated residual causal convolutional (GD-RCC) block is adopted to capture both short and long dependency of the edge feature sequences. We evaluated the performance of the proposed model on three simulation datasets and three real-world pedestrian datasets, using the Group Mitre metric to measure the quality of the predicted groups. We compared WavenetNRI with four baseline methods, including two clustering-based and two classification-based methods. In these experiments, NRI and WavenetNRI outperformed all other baselines on the group-interaction simulation datasets, while NRI performed slightly better than WavenetNRI. On the pedestrian datasets, the WavenetNRI outperformed other classification-based baselines. However, it did not compete against the clustering-based methods. Our ablation study showed that while both proposed changes cannot be effective at the same time, either of them can improve the performance of the original NRI on one dataset type.","Deep learning; Group detection; Spatio-temporal data","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-01","","","Design & Construction Management","","",""
"uuid:966faa2d-f51c-4ded-acd8-6a0c3ecf3dc0","http://resolver.tudelft.nl/uuid:966faa2d-f51c-4ded-acd8-6a0c3ecf3dc0","Fully-Coupled Multiscale Poromechanical Simulation Relevant for Underground Gas Storage","Ramesh Kumar, K. (TU Delft Reservoir Engineering); Tasinafo Honório, H. (TU Delft Reservoir Engineering); Hajibeygi, H. (TU Delft Reservoir Engineering)","Barla, Marco (editor); Insana, Alessandra (editor); Di Donna, Alice (editor); Sterpi, Donatella (editor)","2023","Successful transition to renewable energy supply depends on the development of cost-effective large-scale energy storage technologies. Renewable energy can be converted to (or produced directly in the form of) green gases, such as hydrogen. Subsurface formations offer feasible solutions to store large-scale compressed hydrogen. These reservoirs act as seasonal storage or buffer to guarantee a reliable supply of green energy in the network. The vital ingredients that need to be considered for safe and efficient underground hydrogen storage include reliable estimations of the in-situ state of the stress, especially to avoid failure, induced seismicity and surface subsidence (or uplift). Geological formations are often highly heterogeneous over their large (km) length scales, and entail complex nonlinear rock deformation physics, especially under cyclic loading. We develop a multiscale simulation strategy to address these challenges and allow for efficient, yet accurate, simulation of nonlinear elastoplastic deformation of rocks under cyclic loading. A coarse-scale system is constructed for the given fine-scale detailed nonlinear deformation model. The multiscale method is developed algebraically to allow for convenient uncertainty quantifications and sensitivity analyses.","Algebraic multiscale method; Energy storage; Inelasticity; Poromechanics","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Reservoir Engineering","","",""
"uuid:cc42d036-dbe8-4ed5-b03a-1b8a619f47d0","http://resolver.tudelft.nl/uuid:cc42d036-dbe8-4ed5-b03a-1b8a619f47d0","Time Series Predictive Models for Opponent Behavior Modeling in Bilateral Negotiations","Yesevi, Gevher (Özyeğin University); Keskin, M.O. (TU Delft Interactive Intelligence; Özyeğin University); Doğru, Anıl (Özyeğin University); Aydoğan, Reyhan (TU Delft Interactive Intelligence; Özyeğin University)","Aydoğan, Reyhan (editor); Criado, Natalia (editor); Sanchez-Anguix, Victor (editor); Lang, Jérôme (editor); Serramia, Marc (editor)","2023","In agent-based negotiations, it is crucial to understand the opponent’s behavior and predict its bidding pattern to act strategically. Foreseeing the utility of the opponent’s coming offer provides valuable insight to the agent so that it can decide its next move wisely. Accordingly, this paper addresses predicting the opponent’s coming offers by employing two deep learning-based approaches: Long Short-Term Memory Networks and Transformers. The learning process has three different targets: estimating the agent’s utility of the opponent’s coming offer, estimating the agent’s utility of that without using opponent-related variables, and estimating the opponent’s utility of that by using opponent-related variables. This work reports the performances of these models that are evaluated in various negotiation scenarios. Our evaluation showed promising results regarding the prediction performance of the proposed methods.","Automated negotiation; Multi-agent systems; Time-series prediction; Utility prediction","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Interactive Intelligence","","",""
"uuid:468bf9f0-f5fb-40dd-853b-a5a7124adf39","http://resolver.tudelft.nl/uuid:468bf9f0-f5fb-40dd-853b-a5a7124adf39","Walking Accessibility to the Public Transport Network in Montevideo, Uruguay","Perera, Sara (Universidad de la Republica); Massobrio, R.M. (TU Delft Transport and Planning; Universidad de la Republica; University of Cadiz)","Nesmachnow, Sergio (editor); Hernández Callejo, Luis (editor)","2023","Public transport plays a key role in expanding the distances that people can travel using active modes of transport. Studying walking accessibility to public transportation systems is highly relevant, since the walk to stops/stations can be particularly challenging for children, the elderly, citizens with disabilities, and for the general population during bad weather conditions or in pedestrian-unfriendly cities. This work presents a study on walking accessibility for the public transport system in Montevideo, Uruguay. The proposed methodology combines information of the bus stops and lines that operate in the city, the road infrastructure, and demographic information of the city to compute walking accessibility indicators to the public transport system. The results of the analysis suggest that over 95.5% of the population can access at least one stop when walking up to 400 m. However, these values are not evenly distributed among the population, with young citizens and men showing lower levels of coverage compared to their counterparts.","Accessibility; Public transport; Walking","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-26","","","Transport and Planning","","",""
"uuid:7ac58bc5-097f-4fd5-8d2d-63502e20be1c","http://resolver.tudelft.nl/uuid:7ac58bc5-097f-4fd5-8d2d-63502e20be1c","Explanation-Based Negotiation Protocol for Nutrition Virtual Coaching","Buzcu, Berk (Özyeğin University); Varadhajaran, Vanitha (University of Luxembourg); Tchappi, Igor (University of Luxembourg); Najjar, Amro (Luxembourg Institute of Science and Technology); Calvaresi, Davide (University of Applied Sciences and Arts Western Switzerland); Aydoğan, Reyhan (TU Delft Interactive Intelligence; Özyeğin University)","Aydoğan, Reyhan (editor); Criado, Natalia (editor); Sanchez-Anguix, Victor (editor); Lang, Jérôme (editor); Serramia, Marc (editor)","2023","People’s awareness about the importance of healthy lifestyles is rising. This opens new possibilities for personalized intelligent health and coaching applications. In particular, there is a need for more than simple recommendations and mechanistic interactions. Recent studies have identified nutrition virtual coaching systems (NVC) as a technological solution, possibly bridging technologies such as recommender, informative, persuasive, and argumentation systems. Enabling NVC to explain recommendations and discuss (argument) dietary solutions and alternative items or behaviors is crucial to improve the transparency of these applications and enhance user acceptability and retain their engagement. This study primarily focuses on virtual agents personalizing the generation of food recipes recommendation according to users’ allergies, eating habits, lifestyles, nutritional values, etc. Although the agent would nudge the user to consume healthier food, users may tend to object in favor of tastier food. To resolve this divergence, we propose a user-agent negotiation interacting over the revision of the recommendation (via feedback and explanations) or convincing (via explainable arguments) the user of its benefits and importance. Finally, the paper presents our initial findings on the acceptability and usability of such a system obtained via tests with real users. Our preliminary experimental results show that the majority of the participants appreciate the ability to express their feedback as well as receive explanations of the recommendations, while there is still room for improvement in the persuasiveness of the explanations.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Interactive Intelligence","","",""
"uuid:2da3155b-47d4-4eeb-a960-350cb7a0a3b9","http://resolver.tudelft.nl/uuid:2da3155b-47d4-4eeb-a960-350cb7a0a3b9","A Review on Non-destructive Evaluation of Civil Structures Using Magnetic Sensors","Eslamlou, A.D. (Iran University of Science and Technology); Ghaderiaram, A. (TU Delft Materials and Environment); Fotouhi, M. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment)","Rizzo, Piervincenzo (editor); Milazzo, Alberto (editor)","2023","The growing demand towards life cycle sustainability has created a tremendous interest in non-destructive evaluation (NDE) to minimize manufacturing defects and waste, and to improve maintenance and extend service life. Applications of Magnetic Sensors (MSs) in NDE of civil engineering structures have become of great interest in recent years due to their non-contact data collection, and their high sensitivity under the influence of external stimuli such as strain, temperature, and humidity, to detect damage and deficiencies. There have been several advancements in MSs over the years for strain evaluation, corrosion monitoring, etc. based on the magnetic property changes. However, these MSs are at their nascent stages of development, and thus, there are several challenges that exist. This paper summarizes the recent advancements in MSs and their applications in civil engineering. Principle functions of different MSs are discussed, and their comparative characteristics are presented. The research challenges are highlighted and the roadmap towards high technology readiness level is discussed.","Civil engineering; Eddy Current; Hall effect sensor; Magnetic flux leakage; Magnetoresistve sensor; Non-destructive evaluation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-22","","","Materials and Environment","","",""
"uuid:1915f606-f00a-451b-8ecf-02c6a15b6c0f","http://resolver.tudelft.nl/uuid:1915f606-f00a-451b-8ecf-02c6a15b6c0f","Acoustic Emission-Based Detection in Restricted-Access Areas Using Multiple PZT Disc Sensors","Cheng, L. (TU Delft Steel & Composite Structures); Nokhbatolfoghahai, A. (TU Delft Structural Integrity & Composites); Groves, R.M. (TU Delft Structural Integrity & Composites); Veljkovic, M. (TU Delft Steel & Composite Structures)","Rizzo, Piervincenzo (editor); Milazzo, Alberto (editor)","2023","The performance of the Acoustic Emission (AE) technique is significantly dependent on the sensors attached to the structural surface. Although conventional commercially AE sensors, like R15a and WSa sensors, have been extensively employed in monitoring many different structures, they are unavailable in restricted-assess areas. In contrast, thin PZT sensors are small, inexpensive and lightweight. These thin PZT sensors have a great potential for passive sensing to detect AE signals. However, their utility in AE monitoring is limited due to their low signal-to-noise ratio and information incompleteness because of their simple construction. This work discusses the issues and possible solutions with regards to the specific selection and application of thin PZT sensors for passive sensing. The compatibility of different thin PZT sensors and conventional bulky sensors is investigated using pencil break lead (PBL) tests. The comparison between the recorded signals is carried out in both the time domain and frequency domain for these sensors. To improve the reliability and performance of the thin PZT sensors, a methodology employing multiple thin PZT sensors of different sizes is proposed based on machine learning techniques and sensor data fusion.","Acoustic emission technique; Data fusion; Machine learning; Restricted-assess areas; Thin PZT sensors","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-26","","","Steel & Composite Structures","","",""
"uuid:6b57c079-7f35-4957-b726-ac455b957997","http://resolver.tudelft.nl/uuid:6b57c079-7f35-4957-b726-ac455b957997","Implementing Dynamic Boundary Conditions with the Material Point Method","Do, Phuong Chinh (Student TU Delft); Vardon, P.J. (TU Delft Geo-engineering); Gonzalez Acosta, J.L. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering)","Barla, Marco (editor); Insana, Alessandra (editor); Di Donna, Alice (editor); Sterpi, Donatella (editor)","2023","The material point method (MPM) is gaining an increasing amount of attention due to its capacity to solve geotechnical problems involving large deformations. Large deformations in geotechnics usually involve the failure process and therefore dynamic analyses are often carried out. However, simulating the (infinite) continuous domain using typical Dirichlet (fixed) boundary conditions induces spurious reflections, causing (1) unrealistic stress increments at the domain boundary and (2) the appearance of multiple unnatural stress waves in the domain. Aiming to eliminate this numerical artifact in MPM, two solutions for absorbing boundary conditions found in FEM are implemented and investigated; these are (1) a viscous boundary condition and (2) a viscoelastic boundary condition. The use of such dynamic boundary conditions in MPM is scarce and no validation of them has yet been presented in the literature. In this paper, these absorbing conditions are implemented alongside recent mapping and integration techniques, improving numerical stability and accuracy.","Double mapping–generalized composite MPM; Dynamic boundaries; Implicit MPM; Shallow foundation; Wave propagation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-31","","","Geo-engineering","","",""
"uuid:ec2c3da5-c217-45e2-a45a-a5a15fa82fd9","http://resolver.tudelft.nl/uuid:ec2c3da5-c217-45e2-a45a-a5a15fa82fd9","Influences of Element Types on Nonlinear Finite Element Analysis of a Concrete Column Under Near-Field Blast Loading","Xu, Jie (National University of Defense Technology; Hunan Key Laboratory of Intelligent Planning and Simulation for Aerospace Missions); Hendriks, M.A.N. (TU Delft Concrete Structures); Rots, J.G. (TU Delft Applied Mechanics); Tsouvalas, A. (TU Delft Dynamics of Structures; TU Delft Offshore Engineering)","Yadav, Sanjay (editor); Kumar, Harish (editor); Wan, Meher (editor); Arora, Pawan Kumar (editor); Yusof, Yusri (editor)","2023","Due to the accompanying severe consequences of explosions, the blast puts a great threat to public security. Nonlinear finite element analysis is a possible method for civil engineers to check the integrity of the structures under blast loading without underestimating the limit of the structures. However, different choices of element types would generally put a great influence on the analytical results and the corresponding computational expenses. Therefore, how should civil engineers simplify their physical model into finite element models to gain relatively accurate numerical results with acceptable computational expenses is of great interest. In this article, 6 different types of elements are discussed with different orders and shapes for a certain physical situation, and the corresponding experimental results and the numerical results for a very detailed finite element model are used as the baseline for judgement, which could be helpful for civil engineers to make proper simplifications in the set-up of finite element models.","Blast; Nonlinear finite element analysis; Solution strategy","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","","Concrete Structures","","",""
"uuid:7819e2e3-a3b5-4c73-a290-096d060252d9","http://resolver.tudelft.nl/uuid:7819e2e3-a3b5-4c73-a290-096d060252d9","Measurement of Restraint Moment Effect on Lab Specimens with Precast Girders Made Continuous","Ibrahim, M.S. (TU Delft Concrete Structures); Poliotti, M. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Hendriks, M.A.N. (TU Delft Concrete Structures)","Ilki, Alper (editor); Çavunt, Derya (editor); Çavunt, Yavuz Selim (editor)","2023","Typically precast girders are designed and utilized as simple supported members. Alternatively, the precast girders can be made continuous at the intermediate support using cast-in-place concrete topping. Once the girders are made continuous, time-dependent restraint moments will occur. The magnitude of the restraint moment is mainly affected by the creep and shrinkage behaviour of the concrete and the age of the girders at continuity. The developing restraint moment may affect the stress conditions near the support region and, in extreme cases, result in the loss of the integrity of the structural member. Currently, full-scale experimental campaign is underway on the shear behaviour precast continuous girders at Delft University of Technology. Inverted T girders are individually cast and later made continuous after a certain period. To investigate the influence of restrained action and quantify the prestress losses, fiber optic sensors (FOS) are embedded in the girders. By utilizing the FOS, the evolution of the concrete strain is monitored. This paper presents the measurement of the time-dependent strains. Furthermore, the concrete strains are analysed to evaluate the prestress loss and time-dependent restraint moment effect.","Creep; Differential shrinkage; Fiber optic sensors; Precast girders; Restraint moments","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-03","","","Concrete Structures","","",""
"uuid:ec7402be-c101-4c9b-b446-b62acd66ea5d","http://resolver.tudelft.nl/uuid:ec7402be-c101-4c9b-b446-b62acd66ea5d","Structural Behaviour of Slender Geopolymer Concrete BeamsWithout Stirrups","Lu, J. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); van der Ham, H.W.M. (TU Delft Concrete Structures; Royal Boskalis Westminster); Fu, Danny (Royal Boskalis Westminster)","Ilki, Alper (editor); Çavunt, Derya (editor); Çavunt, Yavuz Selim (editor)","2023","Geopolymer concrete is a new alternative material to conventional concrete with less carbon dioxide emissions. Researchers have reported much research on the material properties of geopolymer concrete. However, research on the behaviour of this newmaterial at the structural level is still limited, especially at a full-scale structural level. Three geopolymer concrete beams with a total height of 700mm were tested till the shear failure. The first two specimens were subjected to the monotonically increasing load until the shear failure. The third specimen was first loaded under sustained load at the level of 80 kN for three weeks to investigate the influence of shrinkage and creep on the cracking behaviour. Then the specimen was then unloaded and reloaded again to failure. Digital Image Correlation (DIC) measurement was used to measure the surface deformation of the whole span of the beam. The crack spacing, crack width and crack development were investigated using the DIC measurement. The experimental results showed that the shear capacity of tested geopolymer concrete beams is lower than the calculated result based on the Eurocode.","Full-scale test; Geopolymer concrete; Shear behaviour in beams without stirrups; Digital image correlation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-03","","","Concrete Structures","","",""
"uuid:4cd69d72-840f-4e7b-8f4a-91f09ab6fc9b","http://resolver.tudelft.nl/uuid:4cd69d72-840f-4e7b-8f4a-91f09ab6fc9b","ricME: Long-Read Based Mobile Element Variant Detection Using Sequence Realignment and Identity Calculation","Ma, Huidong (Guangxi University; Key Laboratory of Parallel, Distributed and Intelligent Computing in Guangxi Universities and Colleges); Zhong, Cheng (Guangxi University; Key Laboratory of Parallel, Distributed and Intelligent Computing in Guangxi Universities and Colleges); Sun, Hui (Nankai University); Chen, Danyang (Guangxi University; Key Laboratory of Parallel, Distributed and Intelligent Computing in Guangxi Universities and Colleges); Lin, H.X. (TU Delft Mathematical Physics)","Guo, Xuan (editor); Mangul, Serghei (editor); Patterson, Murray (editor); Zelikovsky, Alexander (editor)","2023","The mobile element variant is a very important structural variant, accounting for a quarter of structural variants, and it is closely related to many issues such as genetic diseases and species diversity. However, few detection algorithms of mobile element variants have been developed on third-generation sequencing data. We propose an algorithm ricME that combines sequence realignment and identity calculation for detecting mobile element variants. The ricME first performs an initial detection to obtain the positions of insertions and deletions, and extracts the variant sequences; then applies sequence realignment and identity calculation to obtain the transposon classes related to the variant sequences; finally, adopts a multi-level judgment rule to achieve accurate detection of mobile element variants based on the transposon classes and identities. Compared with a representative long-read based mobile element variant detection algorithm rMETL, the ricME improves the F1-score by 11.5 and 21.7% on simulated datasets and real datasets, respectively.","identity calculation; mobile element variants; sequence realignment; third-generation sequencing data","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-08","","","Mathematical Physics","","",""
"uuid:3bb1793a-63c5-43f4-9e8d-3d6b896f0da1","http://resolver.tudelft.nl/uuid:3bb1793a-63c5-43f4-9e8d-3d6b896f0da1","H 2 Formation on Interstellar Dust Grains: The Viewpoints of Theory, Experiments, Models and Observations","Cazaux, S.M. (TU Delft Astrodynamics & Space Missions; Universiteit Leiden)","Mennella, Vito (editor); Joblin, Christine (editor)","2023","Molecular hydrogen (H 2 ) is the most abundant molecule in the Universe. Its formation involves catalytic reactions occurring on the surface of interstellar dust grains, but also involving polycyclic aromatic hydrocarbons (PAHs). Experiments and theoretical calculations have been performed to determine the processes governing the formation of H 2 on dust and involving PAHs. These studies were recently brought in a review paper (Wakelam et al. 2017), from which this contribution is based.","ISM; Molecular hydrogen; Molecules","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-01","","","Astrodynamics & Space Missions","","",""
"uuid:3b917e67-c763-4fdd-8005-f1a88bbced8b","http://resolver.tudelft.nl/uuid:3b917e67-c763-4fdd-8005-f1a88bbced8b","Why and How Should We Explain AI?","Buijsman, S.N.R. (TU Delft Values Technology and Innovation; TU Delft Ethics & Philosophy of Technology)","Chetouani, Mohamed (editor); Dignum, Virginia (editor); Lukowicz, Paul (editor); Sierra, Carles (editor)","2023","Why should we explain opaque algorithms? Here four papers are discussed that argue that, in fact, we don’t have to. Explainability, according to them, isn’t needed for trust in algorithms, nor is it needed for other goals we might have. I give a critical overview of these arguments, showing that there is still room to think that explainability is required for responsible AI. With that in mind, the second part of the paper looks at how we might achieve this end goal. I proceed not from technical tools in explainability, but rather highlight accounts of explanation in philosophy that might inform what those technical tools should ultimately deliver. While there is disagreement here on what constitutes an explanation, the three accounts surveyed offer a good overview of the current theoretical landscape in philosophy and of what information might constitute an explanation. As such, they can hopefully inspire improvements to the technical explainability tools.","AI ethics; Explainability; Trust","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-04","","Values Technology and Innovation","Ethics & Philosophy of Technology","","",""
"uuid:ede075a6-d376-4841-8859-a3ec54b87dc6","http://resolver.tudelft.nl/uuid:ede075a6-d376-4841-8859-a3ec54b87dc6","Computational Modeling of Multilevel Organizational Learning: From Conceptual to Computational Mechanisms","Canbaloğlu, Gülay (Koç University); Treur, J. (TU Delft Safety and Security Science; Vrije Universiteit Amsterdam); Wiewiora, Anna (Queensland University of Technology)","Shukla, Anupam (editor); Hasteer, Nitasha (editor); Murthy, B.K. (editor); VanBelle, Jean-Paul (editor)","2023","This paper addresses formalization and computational modeling of multilevel organizational learning, which is one of the major challenges for the area of organizational learning. It is discussed how various conceptual mechanisms in multilevel organizational learning as identified in the literature, can be formalized by computational mechanisms which provide mathematical formalizations that enable computer simulation. The formalizations have been expressed using a self-modeling network modeling approach.","Computational modeling; Mechanisms; Organizational learning; Self-modeling networks","en","conference paper","Springer","","","","","","","2023-08-16","","","Safety and Security Science","","",""
"uuid:2c3b7f1b-55e6-4141-9020-fc7ca524a221","http://resolver.tudelft.nl/uuid:2c3b7f1b-55e6-4141-9020-fc7ca524a221","Liquefaction Assessment and Soil Spatial Variation","Gonzalez Acosta, J.L. (TU Delft Geo-engineering); van den Eijnden, A.P. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering)","Barla, Marco (editor); Insana, Alessandra (editor); Di Donna, Alice (editor); Sterpi, Donatella (editor)","2023","Soil liquefaction is investigated considering a saturated soil deposit and by implementing standard techniques of random field theory to distribute initial void ratio values and assess liquefaction risk. The soil domain is represented in a 2-dimensional (2D) random finite element model for the dynamic analysis of coupled behavior. Multiple Monte Carlo realizations are subjected to a base acceleration, while cyclic and small strain soil behaviours are achieved through a hypoplastic constitutive model. This investigation demonstrates that 2D stochastic simulations converge to 2D deterministic simulations when small standard deviations and/or small scales of fluctuation are used. However, large standard deviations combined with relatively large scales of fluctuation may cause significant uncertainty in the response of the soil deposit. Finally, common techniques employed to assess soil liquefaction are evaluated based on the results of the deterministic and random field analyses.","Coupled behaviour; Earthquakes; Hypoplasticity; Liquefaction; Random fields","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Geo-engineering","","",""
"uuid:c886c0c4-6e49-4e43-a66c-decaf38858de","http://resolver.tudelft.nl/uuid:c886c0c4-6e49-4e43-a66c-decaf38858de","Probing BERT for Ranking Abilities","Wallat, Jonas (L3S); Beringer, Fabian (L3S); Anand, Abhijit (L3S); Anand, A. (TU Delft Web Information Systems; L3S)","Kamps, Jaap (editor); Goeuriot, Lorraine (editor); Crestani, Fabio (editor); Maistro, Maria (editor); Joho, Hideo (editor); Davis, Brian (editor); Gurrin, Cathal (editor); Caputo, Annalina (editor); Kruschwitz, Udo (editor)","2023","Contextual models like BERT are highly effective in numerous text-ranking tasks. However, it is still unclear as to whether contextual models understand well-established notions of relevance that are central to IR. In this paper, we use probing, a recent approach used to analyze language models, to investigate the ranking abilities of BERT-based rankers. Most of the probing literature has focussed on linguistic and knowledge-aware capabilities of models or axiomatic analysis of ranking models. In this paper, we fill an important gap in the information retrieval literature by conducting a layer-wise probing analysis using four probes based on lexical matching, semantic similarity as well as linguistic properties like coreference resolution and named entity recognition. Our experiments show an interesting trend that BERT-rankers better encode ranking abilities at intermediate layers. Based on our observations, we train a ranking model by augmenting the ranking data with the probe data to show initial yet consistent performance improvements (The code is available at https://github.com/yolomeus/probing-search/ ).","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-17","","","Web Information Systems","","",""
"uuid:97474e2b-cf73-4eaf-846b-7501370852e6","http://resolver.tudelft.nl/uuid:97474e2b-cf73-4eaf-846b-7501370852e6","Dynamic Pile Response During Vibratory Driving and Modal-Based Strain Field Mapping","Sánchez Gómez, S. (TU Delft Dynamics of Structures); Tsetas, A. (TU Delft Dynamics of Structures); Tsouvalas, A. (TU Delft Dynamics of Structures; TU Delft Offshore Engineering); Metrikine, A. (TU Delft Offshore Engineering; TU Delft Engineering Structures)","Dimitrovová, Zuzana (editor); Gonçalves, Rodrigo (editor); Dimitrovová, Zuzana (editor); Biswas, Paritosh (editor); Silva, Tiago (editor)","2023","For offshore wind turbines (OWTs), the monopile comprises the most common type of foundation and vibratory driving is one of the main techniques for monopile installation (and decommissioning). In practice, prior to pile installation, a pile driving analysis is performed to select the appropriate installation device and the relevant settings. However, pile penetration results from a complicated vibrator-pile-soil interaction and better understanding of the latter is necessary for an efficient installation process. During the course of installation, the interface and boundary conditions of the pile continuously alter due to the soil layering and the non-linearity of the soil reaction. In this paper, a set of experimental data from an onshore experimental campaign are employed in a numerical scheme to identify the pile strain field based on in vacuo modes of simpler yet related systems. By mapping the pile strain field onto physically-based shape functions, the evolution of the soil reaction during pile installation can be studied, in order to facilitate the back-analysis of driving records and, by extension, improve pile drivability and vibro-acoustics predictions.","Mode-matching; Pile driving; Soil-structure interaction; Vibrations of shells","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Engineering Structures","Dynamics of Structures","","",""
"uuid:bd5ceccd-8c00-4387-9eb5-2e8b7ee71a38","http://resolver.tudelft.nl/uuid:bd5ceccd-8c00-4387-9eb5-2e8b7ee71a38","Shaping a Data Commoning Polity: Prospects and Challenges of a European Digital Sovereignty","Calzati, S. (TU Delft Urban Data Science)","Edelmann, Noella (editor); Novak, Anna-Sophie (editor); Danneels, Lieselot (editor); Panagiotopoulos, Panos (editor); Susha, Iryna (editor)","2023","The concept of “digital sovereignty” has gained momentum due to the emergence of a multipolar geopolitical scenario based upon different visions of today’s digital society. In this scenario, the United States, China, and the European Union are major players, each pursuing their understanding of digital sovereignty and their approach to digital transformation. The EU conceives of digital sovereignty as technological autonomy from other competitors, and to achieve this it has carved for itself the role of international regulator. De facto, however, the EU enacts an individual-centric and economic-driven digital strategy that hinders the possibility of a fully-fledged European digital sovereignty. Notably, the concept fails to embed the collective-level dimension proper to sovereignty as such. To tackle this, the paper explores data commoning as the basis for shaping a well-formed European polity, key to its digital sovereignty.","data commons; digital sovereignty; European Union","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-25","","","Urban Data Science","","",""
"uuid:691514b3-285d-4a75-af82-12d53b3e16ce","http://resolver.tudelft.nl/uuid:691514b3-285d-4a75-af82-12d53b3e16ce","Maverick Matters: Client Contribution and Selection in Federated Learning","Huang, J. (TU Delft Data-Intensive Systems); Hong, C. (TU Delft Data-Intensive Systems); Liu, Yang (Tsinghua University); Chen, Lydia Y. (TU Delft Data-Intensive Systems); Roos, S. (TU Delft Data-Intensive Systems)","Kashima, Hisashi (editor); Ide, Tsuyoshi (editor); Peng, Wen-Chih (editor)","2023","Federated learning (FL) enables collaborative learning between parties, called clients, without sharing the original and potentially sensitive data. To ensure fast convergence in the presence of such heterogeneous clients, it is imperative to timely select clients who can effectively contribute to learning. A realistic but overlooked case of heterogeneous clients are Mavericks, who monopolize the possession of certain data types, e.g., children hospitals possess most of the data on pediatric cardiology. In this paper, we address the importance and tackle the challenges of Mavericks by exploring two types of client selection strategies. First, we show theoretically and through simulations that the common contribution-based approach, Shapley Value, underestimates the contribution of Mavericks and is hence not effective as a measure to select clients. Then, we propose FedEMD, an adaptive strategy with competitive overhead based on the Wasserstein distance, supported by a proven convergence bound. As FedEMD adapts the selection probability such that Mavericks are preferably selected when the model benefits from improvement on rare classes, it consistently ensures the fast convergence in the presence of different types of Mavericks. Compared to existing strategies, including Shapley Value-based ones, FedEMD improves the convergence speed of neural network classifiers with FedAvg aggregation by 26.9% and its performance is consistent across various levels of heterogeneity.","client selection; data heterogeneity; Federated learning; shapley value; wasserstein distance","en","conference paper","Springer","","","","","","","","","","Data-Intensive Systems","","",""
"uuid:ca037206-7dec-4494-9341-c73e31d0e392","http://resolver.tudelft.nl/uuid:ca037206-7dec-4494-9341-c73e31d0e392","About Dinosaurs in Laboratories - Evaluation of the Serious Game Cards for Biosafety","Freese, M. (TU Delft Policy Analysis; Otto-von-Guericke University); Bekebrede, G. (TU Delft Organisation & Governance)","Harteveld, Casper (editor); Troiano, Giovanni (editor); Sutherland, Steven (editor); Lukosch, Heide (editor); Meijer, Sebastiaan (editor)","2023","Cards for Biosafety is a serious game, which was developed as part of a national research project. The aim of this game is to let young biotechnology researchers learn about risks and mitigation measures in different biotechnology environments. To evaluate the game and its learning objective, an online questionnaire was developed and distributed to national and international biosafety experts who had received a print version of the game. In total, 17 participants completed the questionnaire. The results show that Cards for Biosafety supports learning on different cognitive levels of the revised version of Bloom’s taxonomy. Especially the influence of fun and humor on the game play and the learning process was emphasized by the respondents. In addition, the creativity of the participants plays a major role in learning. Future research is needed to draw valid conclusions about the effectiveness of learning after playing Cards for Biosafety in comparison to traditional tools.","Biosafety; Biotechnology; Cards for Biosafety; Evaluation; Fun; Learning; Serious Games","en","conference paper","Springer","","","","","","","","","","Policy Analysis","","",""
"uuid:7f77ccfb-7978-47e3-bb8c-fcbefc620067","http://resolver.tudelft.nl/uuid:7f77ccfb-7978-47e3-bb8c-fcbefc620067","Percolate: An Exponential Family JIVE Model to Design DNA-Based Predictors of Drug Response","Mourragui, S.M.C. (TU Delft Pattern Recognition and Bioinformatics; Netherlands Cancer Institute); Loog, M. (TU Delft Pattern Recognition and Bioinformatics; University of Copenhagen); van Nee, Mirrelijn (Amsterdam UMC); de Wiel, Mark A.van (Amsterdam UMC; University of Cambridge); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Wessels, L.F.A. (TU Delft Pattern Recognition and Bioinformatics; Netherlands Cancer Institute)","Tang, Haixu (editor)","2023","Motivation: Anti-cancer drugs may elicit resistance or sensitivity through mechanisms which involve several genomic layers. Nevertheless, we have demonstrated that gene expression contains most of the predictive capacity compared to the remaining omic data types. Unfortunately, this comes at a price: gene expression biomarkers are often hard to interpret and show poor robustness. Results: To capture the best of both worlds, i.e. the accuracy of gene expression and the robustness of other genomic levels, such as mutations, copy-number or methylation, we developed Percolate, a computational approach which extracts the joint signal between gene expression and the other omic data types. We developed an out-of-sample extension of Percolate which allows predictions on unseen samples without the necessity to recompute the joint signal on all data. We employed Percolate to extract the joint signal between gene expression and either mutations, copy-number or methylation, and used the out-of sample extension to perform response prediction on unseen samples. We showed that the joint signal recapitulates, and sometimes exceeds, the predictive performance achieved with each data type individually. Importantly, molecular signatures created by Percolate do not require gene expression to be evaluated, rendering them suitable to clinical applications where only one data type is available. Availability: Percolate is available as a Python 3.7 package and the scripts to reproduce the results are available here.","","en","conference paper","Springer","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:3c2b1e32-4020-45f1-980e-548824f7ba61","http://resolver.tudelft.nl/uuid:3c2b1e32-4020-45f1-980e-548824f7ba61","Strain Hardening Cementitious Composite in Reinforced Concrete Cover Zone for Crack Width Control","He, S. (TU Delft Materials and Environment); Lukovic, M. (TU Delft Concrete Structures); Schlangen, E. (TU Delft Materials and Environment)","Ilki, Alper (editor); Çavunt, Derya (editor); Çavunt, Yavuz Selim (editor)","2023","In the current study, experiments were carried out to investigate the cracking behaviour of reinforced concrete beams consisting of 1-cm-thick layer of Strain Hardening Cementitious Composite (SHCC) in the concrete cover zone. The hybrid SHCC/concrete beams with different types of interfaces were tested and compared with control reinforced concrete beams without a SHCC layer. A new SHCC/concrete interface that features a weakened chemical adhesion but an enhanced mechanical bonding was also developed to facilitate the activation of SHCC. The beams were tested in four-point bending configuration, while Digital Image Correlation (DIC) was used to evaluate crack pattern development and crack widths. Results show that hybrid beams possessed similar load bearing capacity but exhibited an improved cracking behaviour as compared to the control beam. The maximum crack width of the best performing hybrid beams exceeded 0.3 mm at approximately 53.3 kN load, whereas in the control beam it exceeded 0.3 mm at only 32.5 kN load. It is thus expected that the hybrid beams developed in the current study will possess an improved durability and enhanced self-healing potential as a result of having smaller cracks, leading to an extended service life at the expense of minimal additional cost.","Crack width control; DIC; interface; SHCC","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Materials and Environment","","",""
"uuid:113699a7-6bf2-465c-86e1-3fbb04fc1c66","http://resolver.tudelft.nl/uuid:113699a7-6bf2-465c-86e1-3fbb04fc1c66","Incorporating Trust into Context-Aware Services","Shishkov, B.B. (IMI Bulgarian Academy of Sciences, Sofia; University of Library Studies and Information Technologies; Interdisciplinary Institute for Collaboration and Research on Enterprise Systems and Technology (IICREST)); Fill, Hans Georg (University of Fribourg); Ivanova, Krassimira (IMI Bulgarian Academy of Sciences, Sofia); van Sinderen, Marten (University of Twente); Verbraeck, A. (TU Delft Policy Analysis)","Shishkov, Boris (editor); Shishkov, Boris (editor); Shishkov, Boris (editor)","2023","Enabling technologies concerning hardware, networking, and sensing have inspired the development of context-aware IT services. These adapt to the situation of the user, such that service provisioning is specific to his/her corresponding needs. We have seen successful applications of context-aware services in healthcare, well-being, and smart homes. It is, however, always a question what level of trust the users can place in the fulfillment of their needs by a certain IT-service. Trust has two major variants: policy-based, where a reputed institution provides guarantees about the service, and reputation-based, where other users of the service provide insight into the level of fulfillment of user needs. Services that are accessible to a small and known set of users typically use policy-based trust only. Services that have a wide community of users can use reputation-based trust, policy-based trust, or a combination. For both types of trust, however, context awareness poses a problem. Policy-based trust works within certain boundaries, outside of which no guarantees can be given about satisfying the user needs, and context awareness can push a service out of these boundaries. For reputation-based trust, the fact that users in a certain context were adequately served, does not mean that the same would happen when the service adapts to another user’s needs. In this paper we consider the incorporation of trust into context-aware services, by proposing an ontological conceptualization for user-system trust. Analyzing service usage data for context parameters combined with the ability to fulfill user needs can help in eliciting components for the ontology.","Context awareness; Data analytics; Trust","en","conference paper","Springer","","","","","","","","","","Policy Analysis","","",""
"uuid:a366ab69-08ba-4d31-ad05-722949edb417","http://resolver.tudelft.nl/uuid:a366ab69-08ba-4d31-ad05-722949edb417","Stop Work: Serious Games as Intervention Method to Enhance Safety Behavior","Freese, M. (TU Delft Policy Analysis; Otto-von-Guericke University; Raccoon Serious Games); van Vliet, Karen (Quattor P)","Harteveld, Casper (editor); Troiano, Giovanni (editor); Sutherland, Steven (editor); Lukosch, Heide (editor); Meijer, Sebastiaan (editor)","2023","Organizations will go through great lengths to prevent accidents from occurring. This is shown in the implementation of safety management systems in which all procedures are captured describing how work can be done safely. Stopping the work is seen as one of the last barriers in risk management. Our theoretical analyses and conducted interviews have shown that no interactive, innovative and analogue tools exist that effectively enable the use of the Stop Work Policy in a safe space. Serious games and the associated provision of a safe environment make it possible to let personnel speak up about perceived unsafe situations, as there are no consequences to fear. The present paper describes and discusses the development of the two serious games Dare to Repair and Danger Dialogue that aim to support the implementation of the Stop Work Policy effectively and thus enhancing the dialogue on working safely.","Human Errors; Intervention; Learning; Safety; Serious Games; Stop Work Policy","en","conference paper","Springer","","","","","","","","","","Policy Analysis","","",""
"uuid:82a4df06-5614-4c81-86ee-d7b2a5ed7089","http://resolver.tudelft.nl/uuid:82a4df06-5614-4c81-86ee-d7b2a5ed7089","Principal Vectors for Spatial Dynamical Analysis by Fischer","Stutzmann, S. (TU Delft Mechatronic Systems Design); van der Wijk, V. (TU Delft Mechatronic Systems Design)","Laribi, Med Amine (editor); Nelson, Carl A. (editor); Ceccarelli, Marco (editor); Zeghloul, Saïd (editor)","2023","Otto Fischer was during the late 19th and early 20th century the founder of 3-D human gait analysis. From motion recordings he calculated by hand the inverse dynamics of humans in motion, for which he discovered and used the principal vectors of a system of moving bodies. With the principal vectors the equations of motion and the kinetic energy can be written in a specific simple form with full geometric meaning and with reduced mass models with which system dynamics can be investigated in a simple way at link level. Fischer applied his theory mainly in its planar form. He also presented the theory of the spatial form by example of a serial two-link chain, however the explanations in the original texts in German are challenging to understand. This paper presents Fischer’s spatial form in a modern and understandable way.","equations of motion; kinetic energy; Principal vectors","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-16","","","Mechatronic Systems Design","","",""
"uuid:c021e759-4ade-4543-ade0-62d1b0886053","http://resolver.tudelft.nl/uuid:c021e759-4ade-4543-ade0-62d1b0886053","Topio: An Open-Source Web Platform for Trading Geospatial Data","Ionescu, A. (TU Delft Web Information Systems); Patroumpas, Kostas (Athena Research Center); Psarakis, K. (TU Delft Web Information Systems); Chatzigeorgakidis, Georgios (Athena Research Center); Collarana, Diego (IAIS-Fraunhofer); Barenscher, Kai (WIGeoGIS); Skoutas, Dimitrios (Athena Research Center); Katsifodimos, A (TU Delft Web Information Systems); Athanasiou, Spiros (Athena Research Center)","Garrigós, Irene (editor); Rodríguez, Juan Manuel Murillo (editor); Wimmer, Manuel (editor)","2023","The increasing need for data trading across businesses nowadays has created a demand for data marketplaces. However, despite the intentions of both data providers and consumers, today’s data marketplaces remain mere data catalogs. We believe that marketplaces of the future require a set of value-added services, such as advanced search and discovery, that have been proposed in the database research community for years, but are not yet put to practice. With this paper, we report on the effort to engineer and develop an open-source modular data market platform to enable both entrepreneurs and researchers to setup and experiment with data marketplaces. To this end, we implemented and extended existing methods for data profiling, dataset search & discovery, and data recommendation. These methods are available as open-source libraries. In this paper we report on how those tools were assembled together to build topio.market, a real-world web platform for trading geospatial data, that is currently in a beta phase.","Web platform; Data trading; Data marketplace; Open-source","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-16","","","Web Information Systems","","",""
"uuid:35fe02e7-e3c1-4aea-809e-98e3b7f486f3","http://resolver.tudelft.nl/uuid:35fe02e7-e3c1-4aea-809e-98e3b7f486f3","Beyond Cargo Hitching: Combined People and Freight Transport Using Dynamically Configurable Autonomous Vehicles","Kortekaas, Joris J.A. (Student TU Delft); Beirigo, Breno A. (University of Twente); Schulte, F. (TU Delft Transport Engineering and Logistics)","Daduna, Joachim R. (editor); Liedtke, Gernot (editor); Shi, Xiaoning (editor); Voß, Stefan (editor)","2023","A Dynamically Configurable Autonomous Vehicle (DCAV) is a new class of autonomous vehicle concept using a separable design of lower and upper parts—carriers and modules—to allow more flexible operation. A fleet of DCAVs consists of a set of carriers and a set of compatible modules. Different, possibly crowd-sourced, modules can increase the number of use-cases for DCAVs, possibly leading to disruptive changes in the transport sector. This study investigates the use of DCAV system operating on an Autonomous Mobility-on-Demand (AMoD) scenario, combining passenger and freight transport flows. The novel problem is denoted as the Dynamically Configurable Autonomous Vehicle Pickup and Delivery Problem (DCAVPDP). We propose a mixed-integer linear programming (MILP) model aiming to minimize DCAV-fleet size and distance traveled. We compare the performance of a DCAV fleet to the performance of a typical single-purpose fleet (consisting of dedicated passenger and freight vehicles). The numerical study, with 360 instances for each fleet type, considering four people-and-freight demand distribution scenarios, the inclusion of ridesharing, module-and-carrier (de)coupling locations, and different simulation horizon lengths, shows that the proposed modular DCAV system can fulfill a mixed people-and-freight demand using, on average, 18.77% fewer carriers than a regular AMoD system comprised of single-purpose vehicles while increasing on-duty fleet utilization by 4.82%.","Cargo Hitching; Combined Passenger and Freight Transport; Dynamically Configurable Autonomous Vehicles; Ridesharing; Shared Autonomous Vehicles","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-07","","","Transport Engineering and Logistics","","",""
"uuid:891559b8-76fb-425a-8d72-a6d234a9de73","http://resolver.tudelft.nl/uuid:891559b8-76fb-425a-8d72-a6d234a9de73","Generating 2D Building Floors from 3D Point Clouds","Phan, Anh Thu Thi (Ho Chi Minh City University of Technology (HCMUT); Vietnam National University); Huynh, Thi Ngoc (Ho Chi Minh City University of Technology (HCMUT); Vietnam National University); Truong-Hong, Linh (TU Delft Optical and Laser Remote Sensing)","Reddy, J. N. (editor); Wang, Chien Ming (editor); Luong, Van Hai (editor); Le, Anh Tuan (editor)","2023","Laser scanning (LS) is an effective technology for accurately capturing point clouds of visible surfaces of objects in 3D scenes. The point clouds were subsequently used for various applications, for example, generating 2D drawings of the floor or building information models (BIM) and structural inspection. However, in practice, the products from point cloud are created mainly by using commercial software, in which the quality primarily depends on users’ experiences and may contain the error caused by technician carelessness. This paper proposed a new method to automatically extract the point clouds of the floor and create a 2D drawing of floor slabs. This method analyses features of the points within cells of a 2D cell grid in the xy plane to extract candidate points of the building and each floor, while the cell- and point-based region growing segmentations were employed to extract the final points of the floor and each edge of the floor, respectively. The proposed method was successfully tested on 7.5 million points of a concrete, two-story building with 17 m long x 7m width x 7m height.","2D drawings; As-built BIM; Floor extraction; Point cloud","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-12","","","Optical and Laser Remote Sensing","","",""
"uuid:997a2de8-5c0d-4073-b674-51a174ab598c","http://resolver.tudelft.nl/uuid:997a2de8-5c0d-4073-b674-51a174ab598c","Preface","Turrin, M. (TU Delft Digital Technologies); Andriotis, C. (TU Delft Architectural Technology); Rafiee, A. (TU Delft Digital Technologies)","Turrin, Michela (editor); Andriotis, Charalampos (editor); Rafiee, Azarakhsh (editor)","2023","CAAD Futures is a biennial international conference on Computer-Aided Architectural Design under the umbrella of the CAAD Futures Foundation, and it is active world-wide in advancing and documenting related research. On 5–7 July 2023, the 20th CAAD Futures conference was hosted at Delft University of Technology. The CAAD Futures Foundation was established in 1985, holding the first conference on 18–19 September of that year at the very same University. The return of the conference to Delft for its 20thedition offered a chance to reflect on the past, present and future role of Computation in Architecture and the Built Environment. With reference to the theme of “INTERCONNECTIONS: Co-computing beyond boundaries”, CAAD Futures 2023 reflected on the role of computation to interconnect in and for Architectural Design.","","en","conference paper","Springer","","","","","","","","","","Digital Technologies","","",""
"uuid:8abcbfb3-d741-40dd-959f-95c27759188d","http://resolver.tudelft.nl/uuid:8abcbfb3-d741-40dd-959f-95c27759188d","Convolutional Autoencoder for the Spatiotemporal Latent Representation of Turbulence","Doan, Nguyen Anh Khoa (TU Delft Aerodynamics); Racca, Alberto (University of Cambridge; Imperial College London); Magri, Luca (Imperial College London; The Alan Turing Institute)","Kozyrev, Dmitry V. (editor)","2023","Turbulence is characterised by chaotic dynamics and a high-dimensional state space, which make this phenomenon challenging to predict. However, turbulent flows are often characterised by coherent spatiotemporal structures, such as vortices or large-scale modes, which can help obtain a latent description of turbulent flows. However, current approaches are often limited by either the need to use some form of thresholding on quantities defining the isosurfaces to which the flow structures are associated or the linearity of traditional modal flow decomposition approaches, such as those based on proper orthogonal decomposition. This problem is exacerbated in flows that exhibit extreme events, which are rare and sudden changes in a turbulent state. The goal of this paper is to obtain an efficient and accurate reduced-order latent representation of a turbulent flow that exhibits extreme events. Specifically, we employ a three-dimensional multiscale convolutional autoencoder (CAE) to obtain such latent representation. We apply it to a three-dimensional turbulent flow. We show that the Multiscale CAE is efficient, requiring less than 10% degrees of freedom than proper orthogonal decomposition for compressing the data and is able to accurately reconstruct flow states related to extreme events. The proposed deep learning architecture opens opportunities for nonlinear reduced-order modeling of turbulent flows from data.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Aerodynamics","","",""
"uuid:79e0ac50-fab4-4db4-af3f-cca1d280bbd3","http://resolver.tudelft.nl/uuid:79e0ac50-fab4-4db4-af3f-cca1d280bbd3","A Self-supervised Classification Algorithm for Sensor Fault Identification for Robust Structural Health Monitoring","Oncescu, Andreea Maria (University of Oxford); Cicirello, A. (TU Delft Engineering Structures; TU Delft Mechanics and Physics of Structures)","Rizzo, Piervincenzo (editor); Milazzo, Alberto (editor)","2023","A self-supervised classification algorithm is proposed for detecting and isolating sensor faults of health monitoring devices. This is achieved by automatically extracting information from failure investigations. This approach uses (i) failure reports for extracting comprehensive failure labels; (ii) recorded data of a faulty monitoring device and the information of the failure type for selecting fault-sensitive features. The features-label pairs are then used to train a classification algorithm, so that when a new set of measurements becomes available, the algorithm is capable of identifying with a high accuracy one of the possible failure types included in the training data set. The proposed approach is successfully applied to the failure investigations conducted on a low-cost wearable device, displaying similar challenges encountered in SHM.","Monitoring device failure; Natural language processing; Self-supervised machine learning; Sensor failures; SHM","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-19","","Engineering Structures","Mechanics and Physics of Structures","","",""
"uuid:ef65aeeb-0372-41d7-89b7-89771a72708f","http://resolver.tudelft.nl/uuid:ef65aeeb-0372-41d7-89b7-89771a72708f","Hierarchical Upscaling of Data-Driven Damage Diagnostics for Stiffened Composite Aircraft Structures","Broer, Agnes A.R. (TU Delft Structural Integrity & Composites); Yue, N. (TU Delft Structural Integrity & Composites); Galanopoulos, Georgios (University of Patras); Benedictus, R. (TU Delft Structural Integrity & Composites); Loutas, Theodoros (University of Patras); Zarouchas, D. (TU Delft Structural Integrity & Composites)","Rizzo, Piervincenzo (editor); Milazzo, Alberto (editor)","2023","To move towards a condition-based maintenance practice for aircraft structures, design of reliable health management methodologies is required. Development of diagnostic methodologies is commonly realised on simplified sample structures with assumptions that methodologies can be adapted for application to realistic aircraft structures under in-service conditions. Yet such actual applications are not conducted. In this work, we study the development of diagnostic methodologies to training structures and their application to dissimilar testing structures. A heterogeneous population is considered, consisting of single-stiffener composite panels for methodology development and training and a multi-stiffener composite panel for application and testing. Characteristics as its composite material, lay-up, and temperature condition are constant while topologies and applied loads differ between the dissimilar structures. Damage in the structural panels is monitored on multiple diagnostic levels using a variety of structural health monitoring (SHM) techniques, including acoustic emission and distributed strain sensing. Specifically, we develop diagnostic methods for localising and monitoring disbond growth after impact using strain data collected during fatigue testing of multiple single-stiffener panels and apply these for disbond monitoring in an upscaled version of a multi-stiffener panel. In this manner, this study aids in the maturement and application of SHM methodologies to realistic aircraft structures.","Aircraft; Composite structures; Damage diagnostics; Population; Upscaling","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-01","","","Structural Integrity & Composites","","",""
"uuid:d85f5616-debe-48f7-a88a-4fd2bfdd661f","http://resolver.tudelft.nl/uuid:d85f5616-debe-48f7-a88a-4fd2bfdd661f","A Survey of Decision Support Mechanisms for Negotiation","Aydoğan, Reyhan (TU Delft Interactive Intelligence; Özyeğin University); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden)","Hadfi, Rafik (editor); Ito, Takayuki (editor); Arisaka, Ryuta (editor); Aydoğan, Reyhan (editor)","2023","This paper introduces a dependency analysis and a categorization of conceptualized and existing economic decision support mechanisms for negotiation. The focus of our survey is on economic decision support mechanisms, although some behavioural support mechanisms were included, to recognize the important work in that area. We categorize support mechanisms from four different aspects: (i) economic versus behavioral decision support, (ii) analytical versus strategical support, (iii) active versus passive support and (iv) implicit versus explicit support. Our survey suggests that active mechanisms would be more effective than passive ones, and that implicit mechanisms can shield the user from mathematical complexities. Furthermore, we provide a list of existing economic support mechanisms.","Economic decision support; Negotiation support; Survey","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-21","","","Interactive Intelligence","","",""
"uuid:5902ef49-936e-46b2-86e2-ca53d98f0347","http://resolver.tudelft.nl/uuid:5902ef49-936e-46b2-86e2-ca53d98f0347","The 13th International Automated Negotiating Agent Competition Challenges and Results","Aydoğan, Reyhan (TU Delft Interactive Intelligence; Özyeğin University); Baarslag, T. (Centrum Wiskunde & Informatica (CWI); Universiteit Utrecht); Fujita, Katsuhide (Tokyo University of Agriculture and Technology; National Institute of Advanced Industrial Science and Technology (AIST)); Hoos, Holger H. (Rheinisch-Westfälische Technische Hochschule; Universiteit Leiden; University of British Columbia); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden); Mohammad, Yasser (National Institute of Advanced Industrial Science and Technology (AIST); NEC Corporation); Renting, B.M. (TU Delft Interactive Intelligence; Universiteit Leiden)","Hadfi, Rafik (editor); Ito, Takayuki (editor); Arisaka, Ryuta (editor); Aydoğan, Reyhan (editor)","2023","An international competition for negotiating agents has been organized for years to facilitate research in agent-based negotiation and to encourage the design of negotiating agents that can operate in various scenarios. The 13th International Automated Negotiating Agents Competition (ANAC 2022) was held in conjunction with IJCAI2022. In ANAC2022, we had two leagues: Automated Negotiation League (ANL) and Supply Chain Management League (SCML). For the ANL, the participants designed a negotiation agent that can learn from the previous bilateral negotiation sessions it was involved in. In contrast, the research challenge was to make the right decisions to maximize the overall profit in a supply chain environment, such as determining with whom and when to negotiate. This chapter describes the overview of ANL and SCML in ANAC2022, and reports the results of each league, respectively.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-21","","","Interactive Intelligence","","",""
"uuid:c5fa3db0-69b5-4c62-96e5-34875a7c4769","http://resolver.tudelft.nl/uuid:c5fa3db0-69b5-4c62-96e5-34875a7c4769","Back-to-the-Future Whois: An IP Address Attribution Service for Working with Historic Datasets","Streibelt, Florian (Max Planck Institut für Informatik); Lindorfer, Martina (Technische Universität Wien); Gürses, F.S. (TU Delft Organisation & Governance); Hernandez Ganan, C. (TU Delft Organisation & Governance); Fiebig, T. (TU Delft Information and Communication Technology; Max Planck Institut für Informatik)","Brunstrom, Anna (editor); Flores, Marcel (editor); Fiore, Marco (editor)","2023","Researchers and practitioners often face the issue of having to attribute an IP address to an organization. For current data this is comparably easy, using services like whois or other databases. Similarly, for historic data, several entities like the RIPE NCC provide websites that provide access to historic records. For large-scale network measurement work, though, researchers often have to attribute millions of addresses. For current data, Team Cymru provides a bulk whois service which allows bulk address attribution. However, at the time of writing, there is no service available that allows historic bulk attribution of IP addresses. Hence, in this paper, we introduce and evaluate our ‘Back-to-the-Future whois’ service, allowing historic bulk attribution of IP addresses on a daily granularity based on CAIDA Routeviews aggregates. We provide this service to the community for free, and also share our implementation so researchers can run instances themselves.","","en","conference paper","Springer","","","","","","","","","","Organisation & Governance","","",""
"uuid:da467e66-9eeb-4ce2-93c4-ac0a66143f59","http://resolver.tudelft.nl/uuid:da467e66-9eeb-4ce2-93c4-ac0a66143f59","AhBuNe Agent: Winner of the Eleventh International Automated Negotiating Agent Competition (ANAC 2020)","Yildirim, Ahmet Burak (Özyeğin University); Sunman, Nezih (Özyeğin University; Siemens AS); Aydoğan, Reyhan (TU Delft Interactive Intelligence; Özyeğin University)","Hadfi, Rafik (editor); Ito, Takayuki (editor); Arisaka, Ryuta (editor); Aydoğan, Reyhan (editor)","2023","The International Automated Negotiating Agent Competition introduces a new challenge each year to facilitate the research on agent-based negotiation and provide a test benchmark. ANAC 2020 addressed the problem of designing effective agents that do not know their users’ complete preferences in addition to their opponent’s negotiation strategy. Accordingly, this paper presents the negotiation strategy of the winner agent called “AhBuNe Agent”. The proposed heuristic-based bidding strategy checks whether it has sufficient orderings to reason about its complete preferences and accordingly decides whether to sacrifice some utility in return for preference elicitation. While making an offer, it uses the most-desired known outcome as a reference and modifies the content of the bid by adopting a concession-based strategy. By analyzing the content of the given ordered bids, the importance ranking of the issues is estimated. As our agent adopts a fixed time-based concession strategy and takes the estimated issue importance ranks into account, it determines to what extent the issues are to be modified. The evaluation results of the ANAC 2020 show that our agent beats the other participating agents in terms of the received individual score.","Agent competition; Automated negotiation; Negotiation strategy; Partial preference ordering","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public","","2023-09-21","","","Interactive Intelligence","","",""
"uuid:6cf9c3d2-40b4-4a3f-92a7-8d48dde4c74d","http://resolver.tudelft.nl/uuid:6cf9c3d2-40b4-4a3f-92a7-8d48dde4c74d","Penalized FTRL with Time-Varying Constraints","Leith, Douglas J. (Trinity College Dublin); Iosifidis, G. (TU Delft Networked Systems)","Amini, Massih-Reza (editor); Canu, Stéphane (editor); Fischer, Asja (editor); Guns, Tias (editor); Kralj Novak, Petra (editor); Tsoumakas, Grigorios (editor)","2023","In this paper we extend the classical Follow-The-Regularized-Leader (FTRL) algorithm to encompass time-varying constraints, through adaptive penalization. We establish sufficient conditions for the proposed Penalized FTRL algorithm to achieve O(t) regret and violation with respect to a strong benchmark X^tmax. Lacking prior knowledge of the constraints, this is probably the largest benchmark set that we can reasonably hope for. Our sufficient conditions are necessary in the sense that when they are violated there exist examples where O(t) regret and violation is not achieved. Compared to the best existing primal-dual algorithms, Penalized FTRL substantially extends the class of problems for which O(t) regret and violation performance is achievable.","Constrained optimization; FTRL; Online convex optimization","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-17","","","Networked Systems","","",""
"uuid:e6245999-4884-497d-a53d-5b7294b64cc6","http://resolver.tudelft.nl/uuid:e6245999-4884-497d-a53d-5b7294b64cc6","Formation Control of Skid-Steered Vehicles Based on Distributed Model Predictive Control","Wang, Yiping (Beijing Institute of Technology); Li, Xueyuan (Beijing Institute of Technology); Liu, Qi (Beijing Institute of Technology); Li, Songhao (Beijing Institute of Technology); Luan, Tian (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology)","Fu, Wenxing (editor); Gu, Mancang (editor); Niu, Yifeng (editor)","2023","The skid-steered vehicle has the advantages of simple structure and strong maneuverability. Its formation driving can effectively improve safety, reduce energy consumption and exert its benefits, and has wide application prospects in military and civilian fields. Differential skid steering has strong horizontal and vertical coupling characteristics, so the tracking performance of the vehicle is poor. Therefore, it is of great significance to study horizontal and vertical joint control. Firstly, the mathematical model of the vehicle platoon is established to realize the formation control of skid-steered vehicles. Then, a combined horizontal and vertical control strategy for skid-steered vehicle formation is proposed, and a distributed model predictive controller is designed. Finally, simulation experiments verified that the designed method has good feasibility and stability.","Distributed model predictive control; Formation driving; Lateral and longitudinal control; Skid-steered vehicle","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-10","","","Transport and Planning","","",""
"uuid:977dd986-58c9-4df9-9336-7bcb31a1b58a","http://resolver.tudelft.nl/uuid:977dd986-58c9-4df9-9336-7bcb31a1b58a","Improving Adaptive Learning Models Using Prosodic Speech Features","Wilschut, Thomas (University Medical Center Groningen); Sense, Florian (LLC); Scharenborg, O.E. (TU Delft Multimedia Computing); van Rijn, Hedderik (University Medical Center Groningen)","Wang, Ning (editor); Rebolledo-Mendez, Genaro (editor); Matsuda, Noboru (editor); Santos, Olga C. (editor); Dimitrova, Vania (editor)","2023","Cognitive models of memory retrieval aim to describe human learning and forgetting over time. Such models have been successfully applied in digital systems that aid in memorizing information by adapting to the needs of individual learners. The memory models used in these systems typically measure the accuracy and latency of typed retrieval attempts. However, recent advances in speech technology have led to the development of learning systems that allow for spoken inputs. Here, we explore the possibility of improving a cognitive model of memory retrieval by using information present in speech signals during spoken retrieval attempts. We asked 44 participants to study vocabulary items by spoken rehearsal, and automatically extracted high-level prosodic speech features—patterns of stress and intonation—such as pitch dynamics, speaking speed and intensity from over 7,000 utterances. We demonstrate that some prosodic speech features are associated with accuracy and response latency for retrieval attempts, and that speech feature informed memory models make better predictions of future performance relative to models that only use accuracy and response latency. Our results have theoretical relevance, as they show how memory strength is reflected in a specific speech signature. They also have important practical implications as they contribute to the development of memory models for spoken retrieval that have numerous real-world applications.","Adaptive Learning; Automatic Speech Recognition; Cognitive Modeling; Intensity; Machine learning; Pitch; Speaking Speed; Speech prosody","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Multimedia Computing","","",""
"uuid:65aef4b0-2bbd-49b9-83eb-982964c7c97c","http://resolver.tudelft.nl/uuid:65aef4b0-2bbd-49b9-83eb-982964c7c97c","Encounter-Based Density Approximation Using Multi-step and Quantum-Inspired Random Walks","Wezeman, Robert S. (TNO); Neumann, N.M.P. (TNO); Phillipson, F. (TNO; Universiteit Maastricht); Kooij, Robert (TU Delft Quantum & Computer Engineering; TNO)","Arai, Kohei (editor)","2023","In this paper we study encounter-based density estimation using different random walks and analyse the effects of the step-size on the convergence of the density approximation. Furthermore, we analyse different types of random walks, namely, a uniform random walk, with every position equally likely to be visited next, a classical random walk and a quantum-inspired random walk, where the probability distribution for the next state is sampled from a quantum random walk. We find that walks with additional steps lead to faster convergence, but that the type of step, quantum-inspired or classical, has only a marginal effect.","Agent based Modeling; Population Density Estimation; Quantum Random Walk","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-01","","Quantum & Computer Engineering","","","",""
"uuid:f1aaee37-2828-4498-ada5-4804a8aee967","http://resolver.tudelft.nl/uuid:f1aaee37-2828-4498-ada5-4804a8aee967","Climate Adaptation in Urban Regeneration: A Cross-Scale Digital Design Workflow","Ricci, Diletta (TU Delft Design & Construction Management; Sapienza University of Rome); Morganti, Michele (Sapienza University of Rome)","","2023","Urban vulnerability has many facets. Among these, urban texture and plot pattern, building massing and density, greatly affect the microclimate. Thence, redefining urban regeneration design criteria for climate neutrality is crucial, including environmental factors in the design process at different scales. In the light of climate change, despite this urgent call, adaptive design approaches useful to assess trade-offs between urban regeneration scenarios and microclimate quality are lacking. This paper introduces a novel digital design workflow that integrates climate quality and associated indicators in urban and building design, adopting a cross-scale approach. The main goal is to increase the resilience of the built environment in the foresight of future scenarios, by promoting climate-sensitive design solutions. Environmental performances were analysed using digital tools and implemented in a design workflow, allowing urban microclimate analysis. Performance metrics were calculated using Urban Weather Generator and Energy Plus. With the former tool a climate performance comparative study has been run in different scenarios, by varying morphological parameters and computing the intensity of the Urban Heat Island. While, Energy Plus was used to simulate the impact of building form and UHI on building energy demand, highlighting the interdependence of different design scales and addressing optimal building performance. The results provide additional levels of knowledge, both in terms of analysis and design scenario evaluation: urban metrics and climate impacts, building form and envelope design, adaptation solutions. This workflow is tested and a scenario suitability for the Mediterranean city is shown, exploiting the research-by-design transformations of 22@ Innovation District of Barcelona. The paper highlights the correlation between microclimate and design solutions and lays the foundations for a climate/design cross-talk to help policymakers and practitioners achieve urban climate adaptation goals.","Adaptive design; urban microclimate; Climate change; urban vulnerability","en","conference paper","Springer","","","","","","","","","","Design & Construction Management","","",""
"uuid:43a78aba-15ad-4150-875b-6adf0c1e5b64","http://resolver.tudelft.nl/uuid:43a78aba-15ad-4150-875b-6adf0c1e5b64","Listwise Explanations for Ranking Models Using Multiple Explainers","Lyu, L. (TU Delft Web Information Systems; Leibniz University Hannover); Anand, A. (TU Delft Web Information Systems)","Kamps, Jaap (editor); Goeuriot, Lorraine (editor); Crestani, Fabio (editor); Maistro, Maria (editor); Joho, Hideo (editor); Davis, Brian (editor); Gurrin, Cathal (editor); Caputo, Annalina (editor); Kruschwitz, Udo (editor)","2023","This paper proposes a novel approach towards better interpretability of a trained text-based ranking model in a post-hoc manner. A popular approach for post-hoc interpretability text ranking models are based on locally approximating the model behavior using a simple ranker. Since rankings have multiple relevance factors and are aggregations of predictions, existing approaches that use a single ranker might not be sufficient to approximate a complex model, resulting in low fidelity. In this paper, we overcome this problem by considering multiple simple rankers to better approximate the entire ranking list from a black-box ranking model. We pose the problem of local approximation as a Generalized Preference Coverage (GPC) problem that incorporates multiple simple rankers towards the listwise explanation of ranking models. Our method Multiplex uses a linear programming approach to judiciously extract the explanation terms, so that to explain the entire ranking list. We conduct extensive experiments on a variety of ranking models and report fidelity improvements of 37%–54% over existing competitors. We finally compare explanations in terms of multiple relevance factors and topic aspects to better understand the logic of ranking decisions, showcasing our explainers’ practical utility.","Explanation; List-wise; Neural; Post-hoc; Ranking","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-17","","","Web Information Systems","","",""
"uuid:46c3a74e-7314-4438-8618-51bacae24f19","http://resolver.tudelft.nl/uuid:46c3a74e-7314-4438-8618-51bacae24f19","Distributed Multi-agent Negotiation for Wi-Fi Channel Assignment","Tejedor-Romero, Marino (Universidad de Alcalá); Murukannaiah, P.K. (TU Delft Interactive Intelligence); Gimenez-Guzman, Jose Manuel (Universitat Politécnica de Valencia); Marsa-Maestre, Ivan (Universidad de Alcalá); Jonker, C.M. (TU Delft Interactive Intelligence)","Hadfi, Rafik (editor); Ito, Takayuki (editor); Arisaka, Ryuta (editor); Aydoğan, Reyhan (editor)","2023","Channel allocation in dense, decentralized Wi-Fi networks is a challenging due to the highly nonlinear solution space and the difficulty to estimate the opponent’s utility model. So far, only centralized or mediated approaches have succeeded in applying negotiation to this setting. We propose the first two fully-distributed negotiation approaches for Wi-Fi channel assignment. Both of them leverage a pre-sampling of the utility space with simulated annealing and a noisy estimation of the Wi-Fi utility function. Regarding negotiation protocols, one of the approaches makes use of the Alternating Offers protocol, while the other uses the novel Multiple Offers Protocol for Multilateral Negotiations with Partial Consensus (MOPaC), which naturally matches the problem peculiarities. We compare the performance of our proposed approaches with the previous mediated approach, based on simple text mediation. Our experiments show that our approaches yield better utility outcomes, better fairness and less information disclosure than the mediated approach.","Automated negotiation; Simulated annealing; Wi-Fi networks","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-21","","","Interactive Intelligence","","",""
"uuid:7355bb78-1ecb-40c3-8916-ad4abb758824","http://resolver.tudelft.nl/uuid:7355bb78-1ecb-40c3-8916-ad4abb758824","Social Processes: Self-supervised Meta-learning Over Conversational Groups for Forecasting Nonverbal Social Cues","Raman, C.A. (TU Delft Pattern Recognition and Bioinformatics); Hung, H.S. (TU Delft Pattern Recognition and Bioinformatics); Loog, M. (TU Delft Pattern Recognition and Bioinformatics; University of Copenhagen)","Karlinsky, Leonid (editor); Michaeli, Tomer (editor); Nishino, Ko (editor)","2023","Free-standing social conversations constitute a yet underexplored setting for human behavior forecasting. While the task of predicting pedestrian trajectories has received much recent attention, an intrinsic difference between these settings is how groups form and disband. Evidence from social psychology suggests that group members in a conversation explicitly self-organize to sustain the interaction by adapting to one another’s behaviors. Crucially, the same individual is unlikely to adapt similarly across different groups; contextual factors such as perceived relationships, attraction, rapport, etc., influence the entire spectrum of participants’ behaviors. A question arises: how can we jointly forecast the mutually dependent futures of conversation partners by modeling the dynamics unique to every group? In this paper, we propose the Social Process (SP) models, taking a novel meta-learning and stochastic perspective of group dynamics. Training group-specific forecasting models hinders generalization to unseen groups and is challenging given limited conversation data. In contrast, our SP models treat interaction sequences from a single group as a meta-dataset: we condition forecasts for a sequence from a given group on other observed-future sequence pairs from the same group. In this way, an SP model learns to adapt its forecasts to the unique dynamics of the interacting partners, generalizing to unseen groups in a data-efficient manner. Additionally, we first rethink the task formulation itself, motivating task requirements from social science literature that prior formulations have overlooked. For our formulation of Social Cue Forecasting, we evaluate the empirical performance of our SP models against both non-meta-learning and meta-learning approaches with similar assumptions. The SP models yield improved performance on synthetic and real-world behavior datasets.","Behavior forecasting; Nonverbal cues; Social interactions","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-28","","","Pattern Recognition and Bioinformatics","","",""
"uuid:a1c50eea-b124-4e9f-9bd1-437f6365415c","http://resolver.tudelft.nl/uuid:a1c50eea-b124-4e9f-9bd1-437f6365415c","A Multi-Modal Feedback Communication Interface for Human Working Posture Adjustments","Thirani, Kushal (Student TU Delft); Abbink, D.A. (TU Delft Human-Robot Interaction); Peternel, L. (TU Delft Human-Robot Interaction)","Borja, Pablo (editor); Della Santina, Cosimo (editor); Peternel, Luka (editor); Torta, Elena (editor)","2023","This paper studies non-physical feedback mechanisms to guide human workers toward ergonomic body postures. Specifically, the focus is to solve the tasks that involve no direct physical interaction between the human and the robotic system, therefore tactile guidance by the robot body is not feasible. We propose a multi-modal ergonomic posture guidance system that comprises visual feedback and speech-based audio feedback. We hypothesise that the proposed multi-modal system leads to better performance compared to uni-modal feedback systems when trying to guide users from one pose to another. To test the hypothesis we conducted an experiment that compared conditions with only audio feedback, only visual feedback and multi-modal feedback. In addition, we examined speech-based audio guidance in joint space and in endpoint space. The results showed that the speech-based feedback in joint space came out as the preferred audio feedback due to its ability to allow users to carry out efficient and coordinated inter-joint movements, especially in cases of high redundancy. Furthermore, the proposed multi-modal feedback system was superior compared to the other feedback modalities both in terms of objective measures and subjective measures.","Audio feedback; Ergonomics; Human factors; Human-machine interaction; Visual feedback","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-02","","","Human-Robot Interaction","","",""
"uuid:8103a568-48bb-48f5-aea9-6d9c535d47c8","http://resolver.tudelft.nl/uuid:8103a568-48bb-48f5-aea9-6d9c535d47c8","Damping Design for Robot Manipulators","Coleman, T. (TU Delft Learning & Autonomous Control); Franzese, G. (TU Delft Learning & Autonomous Control); Borja Rosales, L.P. (TU Delft Learning & Autonomous Control)","Borja, Pablo (editor); Della Santina, Cosimo (editor); Peternel, Luka (editor); Torta, Elena (editor)","2023","This paper studies the tuning process of controllers for fully actuated manipulators. To this end, we propose a methodology to design the desired damping matrix—alternatively, the derivative gain of a PD controller—of the closed-loop system such that n second-order systems can approximate its behavior with a prescribed damping coefficient, where n denotes the degrees of freedom of the system. The proposed approach is based on the linearization of the closed-loop system around the desired configuration and is suitable for different control approaches, such as PD control plus gravity compensation, impedance control, and passivity-based control. Furthermore, we extensively analyze simulations and experimental results in a cobot.","Damping coefficient; Impedance control; PD control; Performance","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-02","","","Learning & Autonomous Control","","",""
"uuid:38d694f2-52a3-4a00-88ea-02e09c721708","http://resolver.tudelft.nl/uuid:38d694f2-52a3-4a00-88ea-02e09c721708","Viewpoint Diversity in Search Results","Draws, T.A. (TU Delft Web Information Systems); Roy, N. (TU Delft Web Information Systems); Inel, Oana (University of Zürich); Rieger, A. (TU Delft Web Information Systems); Hada, Rishav (Microsoft Research); Yalcin, Mehmet Orcun (Independent researcher); Timmermans, Benjamin (IBM Benelux); Tintarev, N. (Universiteit Maastricht)","Kamps, Jaap (editor); Goeuriot, Lorraine (editor); Crestani, Fabio (editor); Maistro, Maria (editor); Joho, Hideo (editor); Davis, Brian (editor); Gurrin, Cathal (editor); Caputo, Annalina (editor); Kruschwitz, Udo (editor)","2023","Adverse phenomena such as the search engine manipulation effect (SEME), where web search users change their attitude on a topic following whatever most highly-ranked search results promote, represent crucial challenges for research and industry. However, the current lack of automatic methods to comprehensively measure or increase viewpoint diversity in search results complicates the understanding and mitigation of such effects. This paper proposes a viewpoint bias metric that evaluates the divergence from a pre-defined scenario of ideal viewpoint diversity considering two essential viewpoint dimensions (i.e., stance and logic of evaluation). In a case study, we apply this metric to actual search results and find considerable viewpoint bias in search results across queries, topics, and search engines that could lead to adverse effects such as SEME. We subsequently demonstrate that viewpoint diversity in search results can be dramatically increased using existing diversification algorithms. The methods proposed in this paper can assist researchers and practitioners in evaluating and improving viewpoint diversity in search results.","Bias; Evaluation; Metric; Search results; Viewpoint diversity","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-17","","","Web Information Systems","","",""
"uuid:cc985c12-ac55-4fc6-9bd5-d6a197571e75","http://resolver.tudelft.nl/uuid:cc985c12-ac55-4fc6-9bd5-d6a197571e75","Do the Findings of Document and Passage Retrieval Generalize to the Retrieval of Responses for Dialogues?","Penha, G. (TU Delft Web Information Systems); Hauff, C. (TU Delft Web Information Systems)","Kamps, Jaap (editor); Goeuriot, Lorraine (editor); Crestani, Fabio (editor); Maistro, Maria (editor); Joho, Hideo (editor); Davis, Brian (editor); Gurrin, Cathal (editor); Caputo, Annalina (editor); Kruschwitz, Udo (editor)","2023","A number of learned sparse and dense retrieval approaches have recently been proposed and proven effective in tasks such as passage retrieval and document retrieval. In this paper we analyze with a replicability study if the lessons learned generalize to the retrieval of responses for dialogues, an important task for the increasingly popular field of conversational search. Unlike passage and document retrieval where documents are usually longer than queries, in response ranking for dialogues the queries (dialogue contexts) are often longer than the documents (responses). Additionally, dialogues have a particular structure, i.e. multiple utterances by different users. With these differences in mind, we here evaluate how generalizable the following major findings from previous works are: (F1) query expansion outperforms a no-expansion baseline; (F2) document expansion outperforms a no-expansion baseline; (F3) zero-shot dense retrieval underperforms sparse baselines; (F4) dense retrieval outperforms sparse baselines; (F5) hard negative sampling is better than random sampling for training dense models. Our experiments (https://github.com/Guzpenha/transformer_rankers/tree/full_rank_retrieval_dialogues.)—based on three different information-seeking dialogue datasets—reveal that four out of five findings (F2–F5) generalize to our domain.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-16","","","Web Information Systems","","",""
"uuid:593daea0-ccb1-417d-99b7-25542168d266","http://resolver.tudelft.nl/uuid:593daea0-ccb1-417d-99b7-25542168d266","Multi-objective Learning Using HV Maximization","Deist, Timo M. (Centrum Wiskunde & Informatica (CWI)); Grewal, M. (Centrum Wiskunde & Informatica (CWI)); Dankers, Frank J.W.M. (Leiden University Medical Center); Alderliesten, T. (Leiden University Medical Center); Bosman, P.A.N. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","Emmerich, Michael (editor); Deutz, André (editor); Wang, Hao (editor); Kononova, Anna V. (editor); Naujoks, Boris (editor); Li, Ke (editor); Miettinen, Kaisa (editor); Yevseyeva, Iryna (editor)","2023","Real-world problems are often multi-objective, with decision-makers unable to specify a priori which trade-off between the conflicting objectives is preferable. Intuitively, building machine learning solutions in such cases would entail providing multiple predictions that span and uniformly cover the Pareto front of all optimal trade-off solutions. We propose a novel approach for multi-objective training of neural networks to approximate the Pareto front during inference. In our approach, we train the neural networks multi-objectively using a dynamic loss function, wherein each network’s losses (corresponding to multiple objectives) are weighted by their hypervolume maximizing gradients. Experiments on different multi-objective problems show that our approach returns well-spread outputs across different trade-offs on the approximated Pareto front without requiring the trade-off vectors to be specified a priori. Further, results of comparisons with the state-of-the-art approaches highlight the added value of our proposed approach, especially in cases where the Pareto front is asymmetric.","Hypervolume; Multi-objective learning; Multi-objective optimization; Neural networks; Pareto front","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-09","","","Algorithmics","","",""
"uuid:2b8140f4-236f-4cf4-8fe2-63b5fbdd2e5f","http://resolver.tudelft.nl/uuid:2b8140f4-236f-4cf4-8fe2-63b5fbdd2e5f","QKSA: Quantum Knowledge Seeking Agent","Sarkar, A. (TU Delft Quantum & Computer Engineering; QBee.eu); Al-Ars, Z. (TU Delft Quantum & Computer Engineering; TU Delft Computer Engineering); Bertels, K.L.M. (TU Delft QCD/Almudever Lab; QBee.eu)","Goertzel, Ben (editor); Iklé, Matt (editor); Potapov, Alexey (editor); Ponomaryov, Denis (editor)","2023","In this research, we extend the universal reinforcement learning agent models of artificial general intelligence to quantum environments. The utility function of a classical exploratory stochastic Knowledge Seeking Agent, KL-KSA, is generalized to distance measures from quantum information theory on density matrices. Quantum process tomography (QPT) algorithms form a tractable subset of programs for modeling environmental dynamics. The optimal QPT policy is selected based on a mutable cost function based on algorithmic complexity as well as computational resource complexity. The entire agent design is encapsulated in a self-replicating quine which mutates the cost function based on the predictive value of the optimal policy choosing scheme. Thus, multiple agents with pareto-optimal QPT policies evolve using genetic programming, mimicking the development of physical theories each with different resource trade-offs. This formal framework, termed Quantum Knowledge Seeking Agent (QKSA), is a resource-bounded participatory observer modification to the recently proposed algorithmic information-based reconstruction of quantum mechanics. A proof-of-concept is implemented and available as open-sourced software.","Algorithmic information theory; Mutating quine; Quantum computing; Reinforcement learning","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-17","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:5f1b0d12-fc13-4ac0-a0d6-212330c570b1","http://resolver.tudelft.nl/uuid:5f1b0d12-fc13-4ac0-a0d6-212330c570b1","Experimental and Numerical Assessment of Historical Steel-Concrete Composite Bridge Decks Without Mechanical Connectors","Poliotti, M. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); De Boer, Ane (Municipality of Amsterdam; Ane de Boer Technisch Advies)","Ilki, Alper (editor); Çavunt, Derya (editor); Çavunt, Yavuz Selim (editor)","2023","In old Dutch inner cities like Amsterdam a large number of steel-concrete bridge decks built between 1880 and 1960 remain in service nowadays and currently need assessment of their bearing capacity. A significant number of these decks were designed without any mechanical connectors like shear studs in the interface between concrete and steel. Moreover, the concrete decks were designed with only shrinkage reinforcement in both directions on the top layer of concrete. No additional transverse reinforcement was placed that can ensure proper (re)distribution of loads after cracking. In order to study the bearing capacity of this deck typology, two specimens of an existing bridge were taken to the Stevin Lab of TU Delft and tested until failure. In this work, the experimental results of both tests are presented. Then, finite element models including nonlinear behaviour of the materials and the interface are presented and compared with the experimental observations. Experimental results show that the bearing capacity is achieved after yielding of the steel beams. Nevertheless, the ductility and transverse load distribution of the elements is affected by the interface behaviour and the poor detailing. The finite element simulation strategy used shows good agreement with the experiment and can be used for future assessments.
C ) compared to the traditional cosine distance used in the literature.","Empirical Software Engineering; Search-Based Software Testing; Test Case Prioritization; Wordnet; Natural Language Processing","en","conference paper","Springer","","","","","","","2024-06-24","","","Software Engineering","","",""
"uuid:f59b5271-841b-4c1a-8e69-142bccefd08c","http://resolver.tudelft.nl/uuid:f59b5271-841b-4c1a-8e69-142bccefd08c","Design and Validation of a 3-DoF Wrist Perturbator Based on an Inverted Spatial Redundant 4-RUU Parallel Manipulator","Koene, Robbert (Student TU Delft); Meijaard, J.P. (TU Delft Mechatronic Systems Design); van de Ruit, M.L. (TU Delft Biomechatronics & Human-Machine Control); Mugge, W. (TU Delft Biomechatronics & Human-Machine Control); van der Wijk, V. (TU Delft Mechatronic Systems Design)","Okada, Masafumi (editor)","2023","Humans vary the stiffness in their joints depending on tasks and circumstances. For posture control a high joint stiffness is required to withstand perturbations, whereas for force control a low joint stiffness is required. To investigate how humans vary their joint stiffness precisely for moving an arm, a wearable device is needed that can generate small force perturbations at the wrist while measuring the resulting muscular reactions. The majority of the state-of-the-art devices either offer too little versatility or impede the free movement of the arm. Based on a 3-DoF spatial redundant 4-RUU parallel manipulator applied in an inverted way where the original base with actuators has become the moving platform and the original moving platform is attached to the wrist as a bracelet, a versatile, 0.175 kg lightweight, low impedance, and compact wearable device was developed that can generate perturbation forces in X-, Y-, and Z-direction. The design and a prototype of the device are presented with experimental tests showing controlled perturbations in the order of 4 N with frequencies up to 12 Hz.","Bracelet; Force perturbation; Human arm; Mechatronics; Parallel manipulator; System identification; Wearable device","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-05","","","Mechatronic Systems Design","","",""
"uuid:6e356928-555c-44b3-af79-507d0102d267","http://resolver.tudelft.nl/uuid:6e356928-555c-44b3-af79-507d0102d267","Mechanics Informed Approach to Online Prognosis of Composite Airframe Element: Stiffness Monitoring with SHM Data and Data-Driven RUL Prediction","Yue, N. (TU Delft Structural Integrity & Composites); Galanopoulos, Georgios (University of Patras); Loutas, Theodoros (University of Patras); Zarouchas, D. (TU Delft Structural Integrity & Composites)","Rizzo, Piervincenzo (editor); Milazzo, Alberto (editor)","2023","During the service of composite airframes, damage initiates and accumulates due to the manufacturing imperfections, impact damage and cyclic loadings, leading to the degradation in its load-bearing capacity. The nature of the degradation process is complicated due to the multi-mode damage propagation and complexity in the structural details of airframes. In the condition-based health management of airframe structures, the degradation is expressed in the concept of remaining useful life (RUL). Online prognostic health management is an emerging field dedicated to the timely prediction of RUL using onboard sensors. This work presents a mechanics-informed approach to the prognosis of a typical airframe element, stiffened CFRP composite panel, under compression-compression fatigue. The fatigue degradation of axial stiffness is monitored by Lamb wave velocity and utilised for online RUL prediction via particle filter.","Airframe; Composite; Lamb wave; Particle filter; PHM; RUL; SHM; Stiffness degradation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Structural Integrity & Composites","","",""
"uuid:8dbb5bd0-730c-4f74-9a4d-0c7947ec9bdb","http://resolver.tudelft.nl/uuid:8dbb5bd0-730c-4f74-9a4d-0c7947ec9bdb","Where a Little Change Makes a Big Difference: A Preliminary Exploration of Children’s Queries","Pera, M.S. (TU Delft Web Information Systems); Murgia, Emiliana (University of Milan); Landoni, Monica (University of Lugano); Huibers, Theo (University of Twente); Aliannejadi, Mohammad (Universiteit van Amsterdam)","Kamps, Jaap (editor); Goeuriot, Lorraine (editor); Crestani, Fabio (editor); Maistro, Maria (editor); Joho, Hideo (editor); Davis, Brian (editor); Gurrin, Cathal (editor); Caputo, Annalina (editor); Kruschwitz, Udo (editor)","2023","This paper contributes to the discussion initiated in a recent SIGIR paper describing a gap in the information retrieval (IR) literature on query understanding–where they come from and whether they serve their purpose. Particularly the connection between query variability and search engines regarding consistent and equitable access to all users. We focus on a user group typically underserved: children. Using preliminary experiments (based on logs collected in the classroom context) and arguments grounded in children IR literature, we emphasize the importance of dedicating research efforts to interpreting queries formulated by children and the information needs they elicit. We also outline open problems and possible research directions to advance knowledge in this area, not just for children but also for other often-overlooked user groups and contexts.","Children; Queries; Query processing; Search","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-17","","","Web Information Systems","","",""
"uuid:1851cb70-b30d-435d-b124-fc354d33e01f","http://resolver.tudelft.nl/uuid:1851cb70-b30d-435d-b124-fc354d33e01f","ID-Based Self-encryption via Hyperledger Fabric Based Smart Contract","Grishkov, I. (TU Delft Cyber Security); Kromes, R.G. (TU Delft Cyber Security); Giannetsos, Thanassis (Ubitech Ltd.); Liang, K. (TU Delft Cyber Security)","Meng, Weizhi (editor); Li, Wenjuan (editor)","2023","This paper offers a prototype of a Hyperledger Fabric-IPFS based network architecture including a smart contract based encryption scheme that meant to improve the security of user’s data that is being uploaded to the distributed ledger. A new extension to the self-encryption scheme was deployed by integrating data owner’s identity into the encryption process. Such integration allows to permanently preserve ownership of the original file and link it to the person/entity who originally uploaded it. Moreover, self-encryption provides strong security guarantees that decryption of a file is computationally not feasible under the condition that the encrypted file and the key are safely stored.","Blockchain; Hyperledger Fabric; IPFS; Security; Self-Encryption","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-30","","","Cyber Security","","",""
"uuid:1c6c2732-6fa7-4356-a71e-6387048932d2","http://resolver.tudelft.nl/uuid:1c6c2732-6fa7-4356-a71e-6387048932d2","SECLEDS: Sequence Clustering in Evolving Data Streams via Multiple Medoids and Medoid Voting","Nadeem, A. (TU Delft Cyber Security); Verwer, S.E. (TU Delft Cyber Security)","Amini, Massih-Reza (editor); Canu, Stéphane (editor); Fischer, Asja (editor); Guns, Tias (editor); Kralj Novak, Petra (editor); Tsoumakas, Grigorios (editor)","2023","Sequence clustering in a streaming environment is challenging because it is computationally expensive, and the sequences may evolve over time. K-medoids or Partitioning Around Medoids (PAM) is commonly used to cluster sequences since it supports alignment-based distances, and the k-centers being actual data items helps with cluster interpretability. However, offline k-medoids has no support for concept drift, while also being prohibitively expensive for clustering data streams. We therefore propose SECLEDS, a streaming variant of the k-medoids algorithm with constant memory footprint. SECLEDS has two unique properties: i) it uses multiple medoids per cluster, producing stable highquality clusters, and ii) it handles concept drift using an intuitive Medoid Voting scheme for approximating cluster distances. Unlike existing adaptive algorithms that create new clusters for new concepts, SECLEDS follows a fundamentally different approach, where the clusters themselves evolve with an evolving stream. Using real and synthetic datasets, we empirically demonstrate that SECLEDS produces high-quality clusters regardless of drift, stream size, data dimensionality, and number of clusters. We compare against three popular stream and batch clustering algorithms. The state-of-the-art BanditPAM is used as an offline benchmark. SECLEDS achieves comparable F1 score to BanditPAM while reducing the number of required distance computations by 83.7%. Importantly, SECLEDS outperforms all baselines by 138.7% when the stream contains drift. We also cluster real network traffic, and provide evidence that SECLEDS can support network bandwidths of up to 1.08 Gbps while using the (expensive) dynamic time warping distance.","Sequence Clustering; k-medoids; stream processing; network traffic sampling","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-17","","","Cyber Security","","",""
"uuid:f19fba42-7582-4b15-acee-7c015db68c91","http://resolver.tudelft.nl/uuid:f19fba42-7582-4b15-acee-7c015db68c91","Parareal Method for Anisotropic Diffusion Denoising","Shan, X. (TU Delft Numerical Analysis); van Gijzen, M.B. (TU Delft Numerical Analysis)","Wyrzykowski, Roman (editor); Dongarra, Jack (editor); Deelman, Ewa (editor); Karczewski, Konrad (editor)","2023","This paper studies time-domain parallelisation using Parareal to speed up the computations of anisotropic diffusion filtering. We consider both explicit and implicit Euler based method for the propagation in time for Parareal. The Preconditioned Conjugate Gradient (PCG) method is used to solve the systems that arise in the implicit based method. The estimation of the iteration numbers of PCG allows us to predict the running time of Parareal calculation, which further guides us in the experimental stage. Parallelisation of the method is implemented using Coarray Fortran. We illustrate the experimental results on 3D low-field MRI images using up to 960 cores. The computational improvement in time is achieved.","High performance computing; Image denoising; Nonlinear Diffusion equation; Parareal","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-30","","","Numerical Analysis","","",""
"uuid:40e7c4d0-2541-4480-ba57-6419711eb3cc","http://resolver.tudelft.nl/uuid:40e7c4d0-2541-4480-ba57-6419711eb3cc","Combining ID’s, Attributes, and Policies in Hyperledger Fabric","Gordijn, Daan (Student TU Delft); Kromes, R.G. (TU Delft Cyber Security); Giannetsos, Thanassis (Ubitech Ltd.); Liang, K. (TU Delft Cyber Security)","Meng, Weizhi (editor); Li, Wenjuan (editor)","2023","This work aims to provide a more secure access control in Hyperledger Fabric blockchain by combining multiple ID’s, attributes, and policies with the components that regulate access control. The access control system currently used by Hyperledger Fabric is first completely analyzed. Next, a new implementation is proposed that builds upon the existing solution but provides users and developers with easier ways to make access control decisions based on combinations of multiple ID’s, attributes, and policies. Our proposed implementation encapsulates the Fabric CA client to facilitate attribute addition and simplify the process of registering and enrolling a newly created certificate (corresponding to a new user). This research, concludes that it is possible to combine multiple ID’s, attributes, and policies with the help of Hyperledger Fabric’s smart contract technology. Furthermore, it could be seen that the performance impact for real-world applications is negligible compared to the insecure case of always providing access to a resource without performing access control.","Blockchain; IPFS; Privacy; Security","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-30","","","Cyber Security","","",""
"uuid:3ac97883-01e6-4ac6-950d-fe12231b57f0","http://resolver.tudelft.nl/uuid:3ac97883-01e6-4ac6-950d-fe12231b57f0","Radar Sensing in Healthcare: Challenges and Achievements in Human Activity Classification & Vital Signs Monitoring","Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Guendel, Ronny (TU Delft Microwave Sensing, Signals & Systems); Kruse, N.C. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","Rojas, Ignacio (editor); Valenzuela, Olga (editor); Rojas Ruiz, Fernando (editor); Herrera, Luis Javier (editor); Ortuño, Francisco (editor)","2023","Driven by its contactless sensing capabilities and the lack of optical images being recorded, radar technology has been recently investigated in the context of human healthcare. This includes a broad range of applications, such as human activity classification, fall detection, gait and mobility analysis, and monitoring of vital signs such as respiration and heartbeat. In this paper, a review of notable achievements in these areas and open research challenges is provided, showing the potential of radar sensing for human healthcare and assisted living.","human activity classification; machine learning; Radar sensing; radar signal processing; vital signs monitoring","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-15","","","Microwave Sensing, Signals & Systems","","",""
"uuid:c8059100-8551-4eea-94df-66464389a655","http://resolver.tudelft.nl/uuid:c8059100-8551-4eea-94df-66464389a655","Notched Beam Test for SHCC-Concrete Interface","Mustafa, S. (TU Delft Concrete Structures); Harrass, Othman (Student TU Delft); Lukovic, M. (TU Delft Concrete Structures)","Ilki, Alper (editor); Çavunt, Derya (editor); Çavunt, Yavuz Selim (editor)","2023","The number of hybrid concrete structures is increasing due to the need for repairing/strengthening existing structures and the development of new hybrid concrete systems. The structural response of these hybrid structures might be governed by the strength of the interface between the two concretes, making it essential to characterize the mechanical response of the interface. In this research, a notch beam tests is proposed to investigate the structural behavior of the interface. Hybrid beams consisting of Strain Hardening Cementitious Composites (SHCC) and conventional concrete are designed with a notch at mid-span and are tested under a four-point bending configuration. The effect of interface treatment (i.e. surface roughness) and the curing condition is tested using two sets of hybrid beams. The first set has three beams which are cured in sealed conditions until the day of testing and the interface is varied between smooth, profiled and roughened. The second set has two beams with smooth interface where one beam is seal cured and the other one is exposed to drying in the laboratory. The opening of the interface is visualized using Digital Image Correlation (DIC) and quantified using Linear Variable Differential Transformers (LVTDs) during testing of the hybrid beams. It is observed that increasing the roughness of the interface leads to higher load-bearing capacity and controlled opening of the interface. The beam exposed to drying showed somewhat reduced capacity, possibly due to the pre-damage caused by differential shrinkage of the two concretes.","SHCC-Concrete Hybrid Beams; Interface; Notch-Beam Test; Composite structures","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-03","","","Concrete Structures","","",""
"uuid:4e0bc7dc-9f46-413c-881b-2613d1f01887","http://resolver.tudelft.nl/uuid:4e0bc7dc-9f46-413c-881b-2613d1f01887","Finite Element Analyses of Piled Foundations: Interaction Domains Under Undrained Conditions","Corigliano, Matteo (Politecnico di Milano); Flessati, L. (TU Delft Geo-engineering); di Prisco, Claudio (Politecnico di Milano)","Ferrari, Alessio (editor); Rosone, Marco (editor); Ziccarelli, Maurizio (editor); Gottardi, Guido (editor)","2023","Most of the bridges in Europe countries are now approaching their design life. Therefore, at present crucial is the choice of the most suitable retrofitting solution taking the current design standards into account. From an economic point of view the costs related to the foundations adaptation are not negligible at all, even because design approaches are in general over-conservative. For instance, in case of piled foundations, the presence of the raft is conventionally disregarded in the calculation of the pile group bearing capacity under general loading. In this work a pile group foundation embedded in a silty-clay soil stratum is studied to emphasise how the use of a non-standard approach may allow to make more sustainable the interventions. An extensive 3D pseudo-static finite element numerical analyses campaign, under general loading, accounting for the non-linear soil mechanical behaviour, was performed. The results were interpreted in terms of interaction domains for the piled foundation system (raft + piles).","3D finite element analysis; Bearing capacity; Combined loading; Failure mechanism; Piled foundation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-17","","","Geo-engineering","","",""
"uuid:c5426a9d-200e-4e3e-9624-56d5993b503d","http://resolver.tudelft.nl/uuid:c5426a9d-200e-4e3e-9624-56d5993b503d","Impact of Leader-Follower Behavior on Evacuation Performance: An Exploratory Modeling Approach","Irnich, Jakob (Student TU Delft); van der Wal, C.N. (TU Delft System Engineering); Duives, D.C. (TU Delft Transport and Planning); Auping, Willem L. (TU Delft Policy Analysis)","Squazzoni, Flaminio (editor)","2023","Different leader-follower behaviors may be observed in models, such as group gathering, backtracking, and changing between groups. However, a comparison of these behaviors resulting in possible substantially different estimates of optimal evacuation procedures is lacking. Hence, we developed an agent-based model in combination with exploratory modeling to compare backtracking, group gathering, and followers changing leaders and investigate their influence on the evacuation and response time. The simulation results showed that backtracking and changing of groups increased the evacuation time. Whereby group gathering increase the response time. In addition, the combination of behaviors increases the influence on evacuation and response time. Further research needs to test these results with empirical studies and investigate the impact of other leader-follower behavior. The found insights may be utilized in evacuation research for modeling this behavior and they provide a valuable basis for designing policies in buildings with a high distribution of leader-follower groups.","Agent-based modelling; EMA workbench; Evacuation; Leader-following behaviour; Uncertainty","en","conference paper","Springer","","","","","","","","","","System Engineering","","",""
"uuid:d8de1163-28a2-4b1b-b268-41e1562fba4b","http://resolver.tudelft.nl/uuid:d8de1163-28a2-4b1b-b268-41e1562fba4b","Enhanced Simulation of Guided Waves and Damage Localization in Composite Strips Using the Multiresolution Finite Wavelet Domain Method","Dimitriou, Dimitris (University of Patras); Nastos Konstantopoulos, C. (TU Delft Structural Integrity & Composites); Saravanos, Dimitris (University of Patras)","Rizzo, Piervincenzo (editor); Milazzo, Alberto (editor)","2023","A multiresolution finite wavelet domain method, that utilizes Daubechies wavelet and scaling functions for the hierarchical approximation of state variables, is presented. The multiresolution approximation yields a hierarchical set of equations of motion involving the coarse component of generalized displacements, while additional equations of finer components are subsequently added. A coarse solution is first calculated, and finer solutions can be sequentially superimposed on the coarse solution until convergence to the final solution is achieved. Moreover, it is shown that each resolution can model specific bandwidths of wavenumbers, thus providing a unique capability to separate coexisting wave modes and detect converted and reflected waves in the presence of damage. Two wavelet-based beam elements are explored, the first encompasses the Timoshenko shear beam theory and the second a high-order layerwise laminate theory for the accurate prediction of both symmetric and antisymmetric guided waves. Numerical results illustrate the inherent property of the method to a priori localize and isolate coexisting guided wave modes and their conversions, induced by different material regions and weak or debonded layer interfaces, thus demonstrating the method’s intrinsic capabilities towards the design of wave-based SHM systems.","Composite beams; Damage detection; Daubechies wavelets; Guided waves; Multiresolution analysis; Transient analysis","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Structural Integrity & Composites","","",""
"uuid:2382ca02-003c-45a0-ab5f-151a84b183e2","http://resolver.tudelft.nl/uuid:2382ca02-003c-45a0-ab5f-151a84b183e2","Assessing life-cycle seismic fragility of corroding reinforced concrete bridges through dynamic Bayesian networks","Molaioni, F. (University of Rome Tor Vergata); Rinaldi, Z. (University of Rome Tor Vergata); Andriotis, C. (TU Delft Architectural Technology)","Biondini, Fabio (editor); Frangopol, Dan M. (editor)","2023","Bridge structures are exposed to several chronic and abrupt stressors, among which the combined effects of corrosion and earthquakes pose a major threat to their long-term safety. Probabilistic risk assessment frameworks that quantify and propagate uncertainties inherent to these phenomena are necessary to mitigate this threat. This paper proposes a dynamic Bayesian network for state-dependent seismic fragility functions, capturing corrosion and seismic effects over time. Markovian transitions among deterioration states for different bridge components are developed, combining chloride diffusion and corrosion propagation models with non-stationary Gamma processes. State-dependent fragility curves are derived based on non-linear dynamic time-history analyses given possible degradation configurations of the structure, accounting for uncertainties in material, geometry, and deterioration parameters. Record-to-record variability is captured using synthetic ground motions. Results on a 4-span Gerber bridge showcase the suitability of the framework for describing life-cycle fragility, and its capacity for embedding in advanced algorithmic decision-making workflows is discussed.","","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","","","","","","Architectural Technology","","",""
"uuid:b2ed9dce-056e-4449-98cd-d4e31079402c","http://resolver.tudelft.nl/uuid:b2ed9dce-056e-4449-98cd-d4e31079402c","Xpandables: Single-filament Multi-property 3D Printing by Programmable Foaming","Ozdemir, Mehmet (TU Delft Mechatronic Design); Doubrovski, E.L. (TU Delft Mechatronic Design)","","2023","We propose a new approach to obtain local property variations in 3D-printed objects using a single-nozzle 3D printer and one filament. We use foaming filaments which expand at different rates due to different temperatures. We present an approach to harness this varying expansion by including parameters of the 3D printing process in the design space. This makes the foaming programmable and allows for achieving a wide variety of properties from a single material. We show how objects with locally varying shade, translucency, gloss, and texture can be fabricated. Our approach turns single-nozzle 3D printers into more versatile systems while eliminating the challenges of multi-material 3D printing. This is in contrast to the drive towards an increasing number of printable materials and more complex 3D printers. We demonstrate the capability of our approach by 3D printing objects with embedded barcodes, QR codes, and varying tactile properties.","3D printing; digital fabrication; foaming filaments; personal fabrication","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","","Mechatronic Design","","",""
"uuid:71ab7e25-229a-4059-aad7-3eecf6c67ac1","http://resolver.tudelft.nl/uuid:71ab7e25-229a-4059-aad7-3eecf6c67ac1","Feasibility of On-demand Additive Manufacturing of Spare Parts","van Oudheusden, A.A. (TU Delft Design for Sustainability); Buijserd, A.J. (Student TU Delft); Doubrovski, E.L. (TU Delft Mechatronic Design); Flipsen, Bas (TU Delft Design for Sustainability); Faludi, Jeremy (TU Delft Design for Sustainability); Balkenende, R. (TU Delft Design for Sustainability)","Niinimäki, Kirsi (editor); Cura, Kirsti (editor)","2023","Spare parts availability is crucial for extending the life of consumer products. However, long-term availability could lead to high stocks of spare parts, which might not be used. Instead, on-demand manufacturing of spare parts with additive manufacturing (AM) is a promising alternative. This paper presents a method to evaluate parts on their eligibility for AM spare parts. The parts evaluation is based on AM technology accessibility as well as part requirements. This method was tested by assessing all parts of the Dyson V11 broom-stick vacuum-cleaner and validated by printing and testing a selection of parts. For this, both plastic and metal spare parts were made through fused deposition modelling (FDM), stereolithography (SLA), binder jetting (BJ), material jetting (MJ), selective laser melting (SLM), selective laser sintering (SLS), and multi jet fusion (MJF), using both desktop FDM printers and off-site service providers. Based on these results, we conclude that currently only a small number of parts can be replaced by additive manufactured parts without considerable redesign efforts. AM parts can compete on price with the current stocked parts, but may be more expensive for other products. We also identified additional functional requirements for evaluating the eligibility of a spare part for AM.","additive manufacturing; 3D printing; repair; spare parts; sustainable design","en","conference paper","Aalto University","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-15","","","Design for Sustainability","","",""
"uuid:c4721bbd-466b-4f2c-9dc0-5cb8441597f3","http://resolver.tudelft.nl/uuid:c4721bbd-466b-4f2c-9dc0-5cb8441597f3","Effects of Carbonate Distribution Inhomogeneity on the Improvement Level of Bio-cemented Sands: A DEM Study","Zhang, A. (TU Delft Geo-engineering); Dieudonné, A.A.M. (TU Delft Geo-engineering)","Barla, Marco (editor); Insana, Alessandra (editor); Di Donna, Alice (editor); Sterpi, Donatella (editor)","2023","Microbially induced carbonate precipitation (MICP) involves bacteria to drive calcite precipitation and naturally cement soils, thereby improving soils performance. Experimental studies have shown that bio-cemented specimen can suffer from severe spatial inhomogeneity of the calcite content, leading to large uncertainty in treatment efficiency prediction. To evaluate the effect of inhomogeneity on the mechanical behaviour of bio-cemented soils, the discrete element method (DEM) is used to model bio-cemented samples with a single carbonate distribution pattern (i.e. either bridging or contact cementing) but different characteristics of inhomogeneity. Both drained triaxial compression and triaxial extension simulations are carried out to evaluate the impact of inhomogeneity along different loading paths. The results indicate that inhomogeneity has different effects on bio-cemented samples depending on the carbonate distribution patterns and the loading path. Specifically, the shear strength in compression of samples exhibiting bridging cementation is largely affected by inhomogeneity, while the effect on shear strength in extension is negligible. On the other hand, samples with contact cementing show limited sensitivity to the variation of inhomogeneity under both triaxial compression and triaxial extension tests.","Bio-cemented soil; DEM; Inhomogeneity; MICP; Shear strength","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Geo-engineering","","",""
"uuid:473f5538-6944-4e80-8991-971c2411ff14","http://resolver.tudelft.nl/uuid:473f5538-6944-4e80-8991-971c2411ff14","Reliability-Based Partial Factors Considering Spatial Variability of Strength Parameters","Varkey, D. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering); van den Eijnden, A.P. (TU Delft Geo-engineering)","Barla, Marco (editor); Insana, Alessandra (editor); Di Donna, Alice (editor); Sterpi, Donatella (editor)","2023","The stability of six regional dyke cross-sections in the Netherlands was re-assessed using the random finite element method (RFEM), which explicitly accounts for the spatial variability of strength parameters. The RFEM assessments of the cross-sections were shown to result in significantly narrower response distributions than those obtained by ignoring the spatial variability, and therefore would result in more economical designs. Given the complexity of RFEM for applications in daily engineering practice, the results obtained from the re-assessments of the six dyke cross-sections were used to propose partial factors that can be used in practice to achieve the desired reliability levels for regional dykes. When applied in a conventional semi-probabilistic assessment of a dyke cross-section, these partial factors would result in the same level of reliability as would have been obtained by carrying out an RFEM analysis of the same cross-section.","Dykes; Partial factors; Random fields; Reliability; Spatial variability","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Geo-engineering","","",""
"uuid:4ef8308f-ca44-4701-8253-87790026ce90","http://resolver.tudelft.nl/uuid:4ef8308f-ca44-4701-8253-87790026ce90","Photogrammetric Reconstruction of a Stolen Statue","Liu, Z. (TU Delft Emerging Materials; Centre for Perceptual and Interactive Intelligence Limited, Hong Kong); Doubrovski, E.L. (TU Delft Mechatronic Design); Geraedts, Jo M.P. (TU Delft Emerging Materials); Yam, Y (Centre for Perceptual and Interactive Intelligence Limited, Hong Kong); Wang, W. (The Chinese University of Hong Kong; Centre for Perceptual and Interactive Intelligence Limited, Hong Kong); Wang, C.C.L. (The University of Manchester)","Babaei, V. (editor); Skouras, M. (editor)","2023","In this paper, we propose a method to reconstruct a digital 3D model of a stolen/damaged statue using photogrammetric methods. This task is challenging because the number of available photos for a stolen statue is in general very limited – especially the side/back view photos. Besides using standard structure-from-motion and multi-view stereo methods, we match image pairs with low overlap using sliding windows and maximize the normalized cross-correlation (NCC) based patch-consistency so that the image pairs can be well aligned into a complete model to build the 3D mesh surface. Our method is based on the prior of the planar side on the statue’s pedestal, which can cover a large range of statues. We hope this work will motivate more research efforts for the reconstruction of those stolen/damaged statues and heritage preservation.","Computing methodologies; Reconstruction; Mesh models","en","conference paper","","","","","","","","","","","Mechatronic Design","","",""
"uuid:1662ab09-95dc-4289-8faf-13a6bb22ef3b","http://resolver.tudelft.nl/uuid:1662ab09-95dc-4289-8faf-13a6bb22ef3b","Cyano-Chromic Interface: Aligning Human-Microbe Temporalities Towards Noticing and Attending to Living Artefacts","Zhou, J. (TU Delft Mechatronic Design); Kim, Raphael (TU Delft Materials and Manufacturing); Doubrovski, E.L. (TU Delft Mechatronic Design); Soares de Oliveira Martins, J. (TU Delft Mechatronic Design); Giaccardi, Elisa (TU Delft Human Information Communication Design); Karana, E. (TU Delft Emerging Materials; Avans University of Applied Sciences)","Byrne, D. (editor); Martelaro, N. (editor)","2023","Microbes offer designers opportunities to endow artefacts with environmental sensing and adapting abilities, and unique expressions. However, microbe-embedded artefacts present a challenge of temporal dissonance, reflected by a “time lag” typically experienced by humans in noticing the gradual and minute shifts in microbial metabolism. This could compromise fluency of interactions and may hinder timely noticing and attending to microbes in living artefacts. In addressing this challenge, we introduce Cyano-chromic Interface, in which photosynthetic activity of cyanobacteria (Synechocystis sp. PCC6803) is timely surfaced by an electrochromic (EC) material through its monochromatic display. Grounded through interface performance characterization and design primitives, we developed application concepts through which we instantiate how the interface can be tuned for diverse functional and experiential outcomes in living artefacts. We further discuss the potential of aligning human-microbe temporalities for enriched interactions and reciprocal relationships with microbes, and beyond.","cyanobacteria; human-microbe interactions; temporality; living media interfaces; microbial displays; Biological-HCI; microorganisms; surfacing livingness","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Mechatronic Design","","",""
"uuid:5d873557-9ac5-4fdf-9dee-a35b15514d96","http://resolver.tudelft.nl/uuid:5d873557-9ac5-4fdf-9dee-a35b15514d96","Is it safe to be attractive?: Disentangling the influence of streetscape features on the perceived safety and attractiveness of city streets","Milias, V. (TU Delft Human-Centred Artificial Intelligence); Sharifi Noorian, S. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence); Psyllidis, A. (TU Delft Internet of Things)","van Oosterom, P. (editor); Ploeger, H. (editor); Mansourian, A. (editor); Scheider, S. (editor); Lemmens, R. (editor); van Loenen, B. (editor)","2023","City streets that feel safe and attractive motivate active travel behaviour and promote people’s well-being. However, determining what makes a street safe and attractive is a challenging task because subjective qualities of the streetscape are difficult to quantify. Existing evidence typically focuses on how different street features influence perceived safety or attractiveness, but little is known about what influences both. To fill this knowledge gap, we developed a crowdsourcing tool and conducted a study with 403 participants, who were asked to virtually navigate city streets in Frankfurt, Germany, through a sequence of street-level images, rate locations based on perceived safety and attractiveness, and explain their ratings. Our results contribute new insights regarding the key similarities and differences between the factors influencing perceived safety and attractiveness. We show that the presence of human activity is strongly related to perceived safety, whereas attractiveness is influenced primarily by aesthetic qualities, as well as the number and type of amenities along a street. Moreover, we demonstrate that the presence of construction sites and underpasses has a disproportionately negative impact on perceived safety and attractiveness, outweighing the influence of any other features. We use the results to make evidence-informed recommendations for designing safer and more attractive streets that encourage active travel modes and promote well-being.","Perceived safety; Perceived attractiveness; Streetscape features; Crowdsourcing; Street-level imagery","en","conference paper","Copernicus","","","","","","","","","","Human-Centred Artificial Intelligence","","",""
"uuid:6a10789e-55f4-43fb-8b83-8cdc5094f4bd","http://resolver.tudelft.nl/uuid:6a10789e-55f4-43fb-8b83-8cdc5094f4bd","Dataslip: How Far Does Your Personal Data Go?","Gomez Ortega, A. (TU Delft Internet of Things); Milias, V. (TU Delft Human-Centred Artificial Intelligence); Broadhead, J.S. (TU Delft Internet of Things); van der Valk, C.P. (TU Delft Human-Centred Artificial Intelligence); Bourgeois, Jacky (TU Delft Internet of Things)","Nocera, José Abdelnour (editor); Lárusdóttir, Marta Kristín (editor); Petrie, Helen (editor); Piccinno, Antonio (editor); Winckler, Marco (editor)","2023","As we navigate the physical and digital world, we unknowingly leave behind an immense trail of data. We are informed about this via lengthy documents (e.g., privacy policies) or short statements (e.g., cookie popups). However, even when we know that data is collected, we remain largely unaware of its nature; what information it contains and how it relates to us. Data is highly personal. It contains and reveals information about our behavior and experiences scattered over time, which can be abstract and opaque even to us. Dataslip is an interactive installation where the construct of personal data is translated into a material and tangible representation in the form of a receipt or ‘personal data slip’. The receipt contains detailed information and illustrative examples of the data generated from our interactions with five different categories of products and services: (1) personalized public transport cards, (2) supermarket loyalty cards, (3) credit and debit cards, (4) wearables, and (5) mobile apps. Its length is proportional to the amount of data collected about us. With dataslip, we aim to reduce the distance between individuals and their personal data, elicit confrontation and invite people to question their role within the personal data ecosystems in which they are embedded.","Personal Data; Data Literacy; Awareness","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-26","","","Internet of Things","","",""
"uuid:db407904-180e-4ae8-8e28-9d908f1a2295","http://resolver.tudelft.nl/uuid:db407904-180e-4ae8-8e28-9d908f1a2295","Individual Squash Training is More Effective and Social with a Humanoid Robotic Coach*","Ross, Martin K. (Heriot-Watt University); Broz, F. (TU Delft Interactive Intelligence); Baillie, Lynne (Heriot-Watt University)","","2023","With the aim of providing extra motivation to adhere to repetitive, individual sports training, this paper presents an autonomous robotic squash coach capable of high-level personalisation. The system was evaluated in person with 16 participants each conducting three 15-minute solo practice sessions. We compared a baseline, non-coaching robotic condition to two conditions in which the robot executed one of 12 different coaching policies, each of which was based on human coaching data. In one of the coaching conditions, the policy was selected based on categories for personalisation and in the other it was selected randomly among policies. The coaching policy conditions were found to be more enjoyable, more socially competent, and perceived as a more effective coach than the baseline.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-13","","","Interactive Intelligence","","",""
"uuid:1ba883cb-6fe7-456b-bbea-89d57e327be4","http://resolver.tudelft.nl/uuid:1ba883cb-6fe7-456b-bbea-89d57e327be4","Child’s Personality and Self-Disclosures to a Robot Persona “In-The-Wild”","Neerincx, Anouk (Universiteit Utrecht); Li, Y. (TU Delft Interactive Intelligence); van de Sande, Kelvin (Universiteit Utrecht); Broz, F. (TU Delft Interactive Intelligence); Neerincx, M.A. (TU Delft Interactive Intelligence); de Graaf, Maartje (Universiteit Utrecht)","","2023","Social robots can support children in their socio-emotional development [38]. To improve the cooperation between a child and a social robot, a good relationship is vital. Self-disclosure is an essential element for building personal relationships. Yet, knowledge about the effects of self-disclosure in child-robot interactions is still lacking. To investigate effects of robot persona, child personality, and self-disclosure category on self-disclosure in child-robot interaction, we have conducted a field study at a science festival in which children had a conversation with a robot that either behaved human-like or robot-like. The results show a significant difference in the amount of self-disclosure (in conversation duration) between the two robot personas. Additionally, significant relationships were found between conscientiousness and extraversion and amount of self-disclosure (in word count). The participant disclosed significantly more about the category `Attitudes and Opinions’ than about ‘School’. Finally, a thematic analysis shows that the content of the conversations can be categorised in five plus one themes. Between robot personas, the content of the conversations did not differ in terms of conversation themes. However, in both conditions, we found that children generally feel comfortable sharing unpleasant experiences about present themes (such as COVID) in a first encounter with a robot.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-13","","","Interactive Intelligence","","",""
"uuid:56c81d8b-5470-4a55-b575-42361addc59f","http://resolver.tudelft.nl/uuid:56c81d8b-5470-4a55-b575-42361addc59f","The EmpathiCH Workshop: Unraveling Empathy-Centric Design","Drouet, Luce (University of Luxembourg); Meijer, Wo (TU Delft Internet of Things); O' Kane, Aisling (University of Bristol); Singh, Aneesha (University College London (UCL)); Wambsganss, Thiemo (EPFL Switzerland); Mauri, Andrea (Université Claude Bernard Lyon 1); Verma, H. (TU Delft Human-Centred Artificial Intelligence)","","2023","EmpathiCH aims to bring together and blend a diverse set of expertise to develop a new research agenda in the context of ""Empathy-Centric Design"".
Building on the discussions that emerged in the previous edition, the main research objective is to form a comprehensive and coherent framework that utilizes empathy as a new dimension of human-factors research and practice. We aim to consolidate the existing theoretical and conceptual constructs of empathy from diverse domains to reflect on its temporality, materiality, and the risks related to its instrumentalization.
With a mix of author panels, expert discussion, and interactive activities, we aim to make this workshop the ideal venue to foster collaboration, expand the community, and shape the future direction of ""Empathy-Centric Design"".","empathy; empathy-centric design; assessment of empathy; at- tributes of empathy; ethics of empathy; collaboration","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","","Internet of Things","","",""
"uuid:d90a06f2-8e82-4e5f-92fe-36c3f283d060","http://resolver.tudelft.nl/uuid:d90a06f2-8e82-4e5f-92fe-36c3f283d060","Reflecting on Hybrid Events: Learning from a Year of Hybrid Experiences","Ansah, Alberta A. (University of New Hampshire); Vivacqua, Adriana S. (Universidade Federal do Rio de Janeiro); Zhong, Sailin (University of Fribourg; Massachusetts Institute of Technology); Boll, Susanne (University of Oldenburg); Constantinides, Marios; Verma, H. (TU Delft Human-Centred Artificial Intelligence); El Ali, Abdallah (Centrum Wiskunde & Informatica (CWI)); Lushnikova, Alina (University of Luxembourg); Alavi, Hamed (Universiteit van Amsterdam)","","2023","The COVID-19 pandemic led to a sudden shift to virtual work and events, with the last two years enabling an appropriated and rather simulated togetherness - the hybrid mode. As we return to in-person events, it is important to reflect on not only what we learned about technologies and social justice, but about the types of events we desire, and how to re-design them accordingly. This SIG aims to reflect on hybrid events and their execution: scaling them across sectors, communities, and industries; considering trade-offs when choosing technologies; studying best practices and defining measures of ""success""for hybrid events; and finally, identifying and charting the wider social, ethical, and legal implications of hybrid formats. This SIG will consolidate these topics by inviting participants to collaboratively reflect on previous hybrid experiences and what can be learned from them.","blended experiences; hybrid events; measurement; remote work; user experience","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","","Human-Centred Artificial Intelligence","","",""
"uuid:87b4d744-1bd2-4520-8b7d-91f88d9ce764","http://resolver.tudelft.nl/uuid:87b4d744-1bd2-4520-8b7d-91f88d9ce764","Fast Drink: Mediating Empathy for Gig Workers","Meijer, Wo (TU Delft Internet of Things); Verhoeff, Bent (Student TU Delft); Verma, H. (TU Delft Human-Centred Artificial Intelligence); Bourgeois, Jacky (TU Delft Internet of Things)","","2023","The digitization of services and global lock-downs have led an explosion of delivery services, which use gig-workers as delivery personnel. They can face apathy from both their employers and users of the service. Previous studies focused on mediating interactions between workers or workers and tasks. However, delivery presents the opportunity for HCI interventions to mediate the interaction between worker and users to increase their empathy. We conducted an empirical study where 63 participants ordered a drink with an app which presented a different level of information about the delivery person (nothing; name and photo; heart rate). Initial results show no significant impact on empathy measures between conditions, however post-hoc analysis showed that heart rate lead to increased Compassionate and decreased Affective empathy. This raises the question of what ""type""of empathy is beneficial for delivery personnel and the need to refine the concept and measures of empathy used in HCI.","biosignals; empathy; gig-work","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Internet of Things","","",""
"uuid:22531bf0-d535-4dec-bfab-636974e488ad","http://resolver.tudelft.nl/uuid:22531bf0-d535-4dec-bfab-636974e488ad","Investigating the adoption of autonomous processes in the context of organizations","Gomez Beldarrain, G. (TU Delft Responsible Marketing and Consumer Behavior); Kim, E.Y. (TU Delft Responsible Marketing and Consumer Behavior); Verma, H. (TU Delft Human-Centred Artificial Intelligence); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2023","","Package design; Printing technology; Food culture; Cultural progress; Media","en","conference paper","","","","","","","","","","","Responsible Marketing and Consumer Behavior","","",""
"uuid:05c5e305-f305-44db-8911-040339a6137e","http://resolver.tudelft.nl/uuid:05c5e305-f305-44db-8911-040339a6137e","Punching capacity of spread footings using aci 318-19 and the strip model","Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Ospina, Carlos E. (Simpson Gumpertz & Heger, Inc.); Alexander, Scott D.B. (University of Alberta)","Genikomsou, Aikaterini (editor); Hrynyk, Trevor (editor); Lantsoght, Eva (editor)","2023","In design, the sectional depth of reinforced concrete spread footings is usually governed by design code provisions for punching shear, which are derived primarily from experiments on slab-column connections. Previous experiments have shown that the punching behavior of concentrically loaded spread footings differs from that of slab-column connections. This paper describes punching of a concentrically loaded spread footing by combining conventional strut and tie modeling with the concept of an arch strip, part of the Strip Model. By itself, the Strip Model describes the behavior of slab-column connections under a variety of loading conditions. For spread footings, Strip Model concepts need to be combined with conventional strut and tie modeling to adequately describe load transfer in a concentrically loaded spread footing. Two methods are explored, each producing closed-form expressions for the footing capacity that agree well with experimental results (112 tests from the literature). The analyses make it possible to estimate the fraction of footing load that is carried by conventional strut and tie behavior. The experimental results are also compared to punching shear capacities in accordance with ACI 318-19. The Strip Model produces results with roughly the same average test-to-predicted ratio (in the order of 1.3) as ACI 318-19 but with a lower coefficient of variation (10.3% compared to 15.8%). This work shows how a lower-bound plasticity-based model can be used for the practical case of determining the capacity of reinforced concrete spread footings failing in punching shear.","arched strut; punching shear; reinforced concrete; spread footings; Strip Model","en","conference paper","American Concrete Institute","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-04","","","Concrete Structures","","",""
"uuid:475b63e5-c303-4817-b382-fc8b9d2a925c","http://resolver.tudelft.nl/uuid:475b63e5-c303-4817-b382-fc8b9d2a925c","Degradation of silicone-based sealing materials used in microelectronics","Yazdan Mehr, M. (TU Delft Electronic Components, Technology and Materials); Hajipour, Pejman (University of Alberta); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Cooremans, Thierry (Dow Silicones); De Buyl, Francois (Dow Silicones); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Adhesive bonding is a key joining technology in many industrial applications, including automotive, aerospace industries, biomedical devices, and microelectronic components. Adhesive bonding is gaining more and more attention due to the increasing demand for joining similar or dissimilar components, mostly within the framework of designing lightweight structures. Silicone sealant is widely used in engineering application due to its thermal stability, excellent energy absorption, and good damping characteristics. In those applications, sealant usually exposed to various environment stress, such as, high temperature, mechanical stress, humidity, light radiation, and chemical attack. Long-term stability and durability of sealant is crucial to the performance of the associated application. The main degrading factors for silicone in microelectronic applications are temperature, humidity, alkali, and mechanical loading. The focus in the present paper is to understand different failure mechanisms in silicone sealants and adhesives and to study how different environmental, mechanical, and service-related stresses attribute to the kinetics and extent of degradation in silicone sealants and adhesives. The impact of different failure mechanisms on the lifetime and reliability of microelectronic devices will be methodically investigated.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:5aee0e2b-507b-413a-a448-acd642061821","http://resolver.tudelft.nl/uuid:5aee0e2b-507b-413a-a448-acd642061821","Rethinking the Role of AI with Physicians in Oncology: Revealing Perspectives from Clinical and Research Workflows","Verma, H. (TU Delft Human-Centred Artificial Intelligence); Mlynar, Jakub (HES-SO)); Schaer, Roger (HES-SO)); Reichenbach, Julien (HES-SO)); Jreige, Mario (University of Lausanne); Prior, John (University of Lausanne); Evéquoz, Florian (HES-SO)); Depeursinge, Adrien (HES-SO))","","2023","Significant and rapid advancements in cancer research have been attributed to Artificial Intelligence (AI). However, AI’s role and impact on the clinical side has been limited. This discrepancy manifests due to the overlooked, yet profound, differences in the clinical and research practices in oncology. Our contribution seeks to scrutinize physicians' engagement with AI by interviewing 7 medical-imaging experts and disentangle its future alignment across the clinical and research workflows, diverging from the existing ""one-size-fits-all"" paradigm within Human-Centered AI discourses. Our analysis revealed that physicians' trust in AI is less dependent on their general acceptance of AI, but more on their contestable experiences with AI. Contestability, in clinical workflows, underpins the need for personal supervision of AI outcomes and processes, i.e., clinician-in-the-loop. Finally, we discuss tensions in the desired attributes of AI, such as explainability and control, contextualizing them within the divergent intentionality and scope of clinical and research workflows.","AI in Oncology; Clinical Adoption of AI; maginaries; Explainability; Contestability; Human-Centered AI; Human-In-The-Loop AI","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Human-Centred Artificial Intelligence","","",""
"uuid:63e734a7-403a-410d-a8fa-1d73fb2148cf","http://resolver.tudelft.nl/uuid:63e734a7-403a-410d-a8fa-1d73fb2148cf","Stockyard Storage Space Allocation in Dry Bulk Terminals Considering Mist Cannons and Energy Expenditure","Tang, X. (TU Delft Transport Engineering and Logistics); Schulte, F. (TU Delft Transport Engineering and Logistics)","Daduna, Joachim R. (editor); Liedtke, Gernot (editor); Shi, Xiaoning (editor); Voß, Stefan (editor)","2023","Storage space management in bulk terminals has become an important focus for research and practical operation due to the increasing demand for bulk cargo and limited storage space in stockyards. The study of storage space management in dry bulk terminals is less thorough and comprehensive, and the existing research investigates the storage space allocation problem with other operational problems like berth allocation problems, but little environmental consideration has been incorporated. We investigate the storage space allocation problem with the consideration of stacker-reclaimer assignment and mist cannon operation to deal with the dust generated during material stacking. A mixed integer programming model has been established with the aim of minimizing energy consumption to reflect the pursuit of the growing emphasis on climate-neutral operations and sustainability. We test the effectiveness of the model by conducting computational experiments. We use the commercial solver CPLEX to obtain the optimal solutions for most of the test instances. Useful managerial insights extracted from the computational results may serve as a reference for storage space management in dry bulk terminals.","Storage space allocation; Dry bulk terminal; Mist cannon operations; Stacker-reclaimer operations; Energy consumption","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-27","","","Transport Engineering and Logistics","","",""
"uuid:05a387d7-87a7-4b67-8409-a72ad083527c","http://resolver.tudelft.nl/uuid:05a387d7-87a7-4b67-8409-a72ad083527c","A Long-Term Study Of mmWave Sensing In An Outdoor Urban Scenario","Wang, Weizheng (TU Delft Embedded Systems); Vaidya, G. (TU Delft Networked Systems; Amsterdam Institute for Advanced Metropolitan Solutions (AMS)); Bhattacharjee, A.K. (TU Delft Networked Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Zuniga, Marco (TU Delft Networked Systems)","O'Conner, L. (editor)","2023","Sensing people with mmWave radars is gaining significant attention. This growing interest is due to two factors: radar monitoring provides more privacy than camera-based alternatives, and radio waves are not as easily blocked as light waves. Most mmWave studies, however, have three common characteristics. They are done indoors, without protecting the sensor (no casing), and the evaluation is performed for short periods of time. To assess the suitability of mmWave sensing in realistic outdoor scenarios, we deploy two nodes to track the flow of pedestrians over a period of three months. This longterm deployment provides three main contributions. First, we follow a detailed process to design a casing that can protect the sensors from harsh environmental conditions. Second, we install our nodes close to a set of cameras that were already deployed in the area. To compare the performance of both types of sensors, we propose a framework that considers the different coverage patterns of cameras and radars. Third, the time frame of our evaluation considers various types of weather, from sunny days to rainy and windy. Our results indicate that mmWave sensors need to be explored further outside the comfort zone of indoor spaces. To the best of our knowledge, this is the first long-term study assessing the reliability of radar sensors in the 60 GHz ISM band.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-27","","","Embedded Systems","","",""
"uuid:b44206c0-d56d-4d00-b8e4-cc1ec394e7d8","http://resolver.tudelft.nl/uuid:b44206c0-d56d-4d00-b8e4-cc1ec394e7d8","Firefly: Localizing Drones with Visible Light Communication and Sensor Fusion","Ampudia Hernandez, Ricardo (University of Twente); Xu, M. (TU Delft Networked Systems); Huang, Yanqiu (University of Twente); Zuniga, Marco (TU Delft Networked Systems)","O'Conner, L. (editor)","2023","In this paper, we propose a new approach where drones attain accurate localization by fusing information from artificial lighting and their embedded inertial and barometer sensors. Our system is able to provide accurate drone localization without the use of radios, GPS or cameras. We evaluate our framework, dubbed Firefly, with a testbed consisting of four light beacons and a mini-drone. Our results show that Firefly allows locating the drone within a few decimeters of the actual position; and compared to two state-of-the-art positioning methods that rely solely on lighting information, Firefly can reduce the localization error by 50 % and 80%.. respectively.","Visible Light Communication; Drone localization; Sensor fusion","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-27","","","Networked Systems","","",""
"uuid:1272a4cb-ef44-4ca5-87a4-d4c39ec99cc4","http://resolver.tudelft.nl/uuid:1272a4cb-ef44-4ca5-87a4-d4c39ec99cc4","Impact of Virtual Synchronous Generators on Power System Frequency Dynamics","Fu, A. (TU Delft Intelligent Electrical Power Grids); Lekić, A. (TU Delft Intelligent Electrical Power Grids); Kontis, Eleftherios O. (Aristotle University of Thessaloniki); Malamaki, Kyriaki-Nefeli D. (Aristotle University of Thessaloniki); Kryonidis, Georgios C. (Aristotle University of Thessaloniki); Mauricio, Juan Manuel (University of Seville); Demoulias, Charis S. (Aristotle University of Thessaloniki); Cvetkovic, M. (TU Delft Intelligent Electrical Power Grids)","","2023","This paper deals with a systematic assessment of the power system frequency dynamics under high penetration of converter-interfaced renewable energy sources (CI-RESs). Specifically, the concept of the virtual synchronous generator (VSG) is implemented in the CI-RESs located at the transmission system (TS) side and/or the distribution network (DN) side. Dynamic RMS simulations are performed on a testbed consisting of the IEEE 9-bus TS grid and the CIGRE medium-voltage DN grid under different CI-RES penetration levels and VSG control parameters to assess the VSG impact on the power system frequency dynamics. It is shown that the decommissioning of conventional power plants coupled via synchronous generators can be safely performed in case the VSG concept is adopted correctly.","Inertial response; primary frequency regulation; renewable energy resources; virtual synchronous generator","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-27","","","Intelligent Electrical Power Grids","","",""
"uuid:04f03a08-021c-46e3-9fc2-3c071471b953","http://resolver.tudelft.nl/uuid:04f03a08-021c-46e3-9fc2-3c071471b953","Distributed entanglement and teleportation on a quantum network","Hermans, S.L.N. (TU Delft QID/Hanson Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Pompili, M. (TU Delft QID/Hanson Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Baier, S. (TU Delft QID/Hanson Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Beukers, H.K.C. (TU Delft QID/Hanson Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Humphreys, P.C. (TU Delft QID/Hanson Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Schouten, R.N. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Vermeulen, R.F.L. (TU Delft ALG/General; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Tiggelman, M.J. (TU Delft BUS/Quantum Delft; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Dos Santos Martins, L. (TU Delft QID/Hanson Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Dirkse, B. (TU Delft QID/Wehner Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Borregaard, J. (TU Delft QN/Borregaard groep; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wehner, S.D.C. (TU Delft QID/Wehner Group; TU Delft Quantum Computer Science; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Hanson, R. (TU Delft QID/Hanson Lab; TU Delft QN/Hanson Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2023","We report on the realization of a multi-node quantum network. Using the network, we have demonstrated three protocols; generation of a entangled state shared by all nodes, entanglement swapping and quantum teleportation between non-neighboring nodes.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-27","","","QID/Hanson Lab","","",""
"uuid:995dd9ea-d934-41f7-954c-7219f86bffbd","http://resolver.tudelft.nl/uuid:995dd9ea-d934-41f7-954c-7219f86bffbd","Non-Unit DC Line Protection Method for Multi-Terminal MMC-HVDC System Based on Normalized Backward Traveling Waves","Xie, F. (TU Delft Intelligent Electrical Power Grids; Xi’an Jiaotong University); Liu, L. (TU Delft Intelligent Electrical Power Grids); Hao, Zhiguo (Xi’an Jiaotong University); Lekić, A. (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2023","Existing line protection methods for multi-terminal direct current (MTDC) systems are constrained by the placement and values of boundary elements. To overcome this limitation, this paper proposes a non-unit DC line protection method based on the normalized backward traveling waves (BTWs) of the 1-mode voltage. Firstly, this article studies the traveling wave characteristics and derives the expressions for the normalized BTWs. Then, the Levenberg-Marquardt algorithm is used for amplitude fitting and normalization calculation. Based on the normalized BTWs, a non-unit protection method is proposed. Finally, the proposed method is evaluated with a simulation model on the PSCAD/EMTDC platform. The results demonstrate that the proposed method can accurately identify faults of different resistances and distances without requiring boundary devices, and is robust against noise disturbances (35 dB).","Levenberg-Marquardt algorithm; Modular multilevel converter; Multi-terminal DC grid; Non-unit protection; Traveling wave","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Intelligent Electrical Power Grids","","",""
"uuid:1af54482-4ee1-4273-95e8-ba6c0293d9c7","http://resolver.tudelft.nl/uuid:1af54482-4ee1-4273-95e8-ba6c0293d9c7","Characterization of Gatun Lake's Hydrodynamic Behaviour and Water Quality","Castréllon Romero, M.G. (TU Delft Water Resources; IHE Delft Institute for Water Education); Popescu, I.I. (IHE Delft Institute for Water Education)","Habersack, Helmut (editor); Tritthart, Michael (editor); Waldenberger, Lisa (editor)","2023","Gatun Lake, located in the Panama Canal Watershed (PCW), is the main source of freshwater for the Canal's operations and it provides drinking water for nearly 600,000 people, which represents roughly 15% of the country's population. Since its creation at the beginning of the 20th century, Gatun Lake has slowly been transitioning from a swamp environment to a more saline-governed ecosystem. However, since the completion of the Canal's expansion project and inauguration of the Neo-Panamax locks in 2016, salinity in the lake has been increasing at a faster pace. The progressive salinization of this water body is not only a concern from the perspective of drinking water supply and human health, but for the lake's biodiversity as well. In order to understand the magnitude of this issue, evaluate the impact of climate change and design effective mitigation and management strategies, robust modelling tools are required. Nevertheless, these tools often require high volumes of high-quality data that are not always readily available. This paper illustrates the characterization of Gatun Lake's hydrodynamic behaviour and water quality condition using a numerical model built with Delft3D and publicly available open data, which includes bathymetry from GEBCO and hydrodynamic data from ACP's AQUARIUS web portal. Although further refinement of the model is still required, overall, it was demonstrated that reasonably good results can be obtained through a model built using publicly available open data.","Panama Canal; Gatun Lake; Saltwater Intrusion; Hydrodynamic Modelling; Delft3D","en","conference paper","International Association for Hydro-Environment Engineering and Research","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-28","","","Water Resources","","",""
"uuid:efd6ec44-cecf-4df8-878a-2823a86042aa","http://resolver.tudelft.nl/uuid:efd6ec44-cecf-4df8-878a-2823a86042aa","Salt Intrusion Around the World Under Influence of Climate Change","Kranenburg, W.M. (TU Delft Environmental Fluid Mechanics; Deltares); van Keulen, Daan (Deltares; Wageningen University & Research); Gerritsma, A. (TU Delft Mathematical Physics; Wageningen University & Research); Huismans, Y. (TU Delft Coastal Engineering; Deltares)","Habersack, Helmut (editor); Tritthart, Michael (editor); Waldenberger, Lisa (editor)","2023","We investigate the changes in surface water salinity intrusion lengths for estuaries around the world under influence of climate change. To do this, we make use of information from global data sets on present river geometry and present and predicted future river discharges, mean sea levels and tidal ranges, which we combine with various models for salt intrusion lengths. The used predictions are based on the RCP8.5 climate scenario and we use 2050 as time horizon, with the 10-percentile lowest discharge as representative value used as input in the intrusion length calculations. The salt intrusion models are two parametric descriptions and a semi-analytical model. With this, we calculate absolute and relative changes in salt intrusion length for a selection of estuaries around the world, to eventually scale up the analysis and develop a global map of changes in salt intrusion around the world under influence of climate change. The results so far indicate that many estuaries may be expected to experience a relative increase of salt intrusion length of over 10%. We also investigate which of the changing forcings most strongly affects the intrusion lengths and what type of estuary is most sensitive to changes. For most systems, the changes in river discharge characteristics are the most influential change, exceeding the influence of sea level rise. This study highlights the importance of studying the effect of climate change on estuarine salt intrusion in more detail, both in global analyses as in system specific detailed studies.","Salinity intrusion; Estuaries; Climate change; Projections; Droughts","en","conference paper","International Association for Hydro-Environment Engineering and Research","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-28","","","Environmental Fluid Mechanics","","",""
"uuid:99863cbd-fb5b-461b-b0ad-779242fb70c5","http://resolver.tudelft.nl/uuid:99863cbd-fb5b-461b-b0ad-779242fb70c5","Towards Real-Time Distinction of Power System Faults and Cyber Attacks","Abedi, A. (TU Delft Intelligent Electrical Power Grids); Subramaniam Rajkumar, Vetrivel (TU Delft Intelligent Electrical Power Grids); Stefanov, Alexandru (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy)","","2023","This paper presents a methodology to distinguish between three-phase faults and GOOSE cyber attacks, aimed at opening the circuit breakers in the power grid. We propose a scheme that utilizes Phasor Measurement Unit (PMU)-enabled monitoring of power grid states, and communication network packet logs in the substation. In this scheme, by leveraging both cyber and physical data correlations and applying a Seasonal Autoregressive Moving Average (SARMA) model, we successfully distinguish between 3-phase faults and cyber attacks. The proposed scheme is tested using the benchmark IEEE 9-bus system, and can distinguish cyber attacks from faults in less than 0.2s. This demonstrates the usefulness of the proposed scheme for power system cyber security analytics.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-25","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:222da218-cd1d-4a24-ad3b-0b8bd7c3f445","http://resolver.tudelft.nl/uuid:222da218-cd1d-4a24-ad3b-0b8bd7c3f445","Directions for a sustainable real estate strategy","de Jong, P. (TU Delft Design & Construction Management); Remøy, H.T. (TU Delft Real Estate Management); Heurkens, E.W.T.M. (TU Delft Urban Development Management)","","2023","Purpose – The Delft University of Technology adapted their sustainable mission and wants to apply it also to the corporate real estate management. To allow decision making based upon a more integral approach in a long term perspective a choice is made for total cost of ownership. The benevolent project managers, asset managers, facility managers and financial account managers then ask for data, where they actually need a compass to interpret that data. The purpose of this paper is to find that compass.
Design/methodology/approach – A theory-practice oriented approach is followed. Literature review is conducted to identify the need for a new economy that distances itself from the outdated neo-liberal models and gives space to a material-driven circular economy on the one hand and the more pragmatic life-cycle cost (total cost of ownership) approach on the other.
Findings – It seems that the donut economy offers the compass that the practice currently needs. It offers scope for making assumptions in a period in which people know which way things are going and at the same time want to have 'hard data'.
Quality/value – The study has the potential to support the university real estate management in its aim to meet their sustainable mission and to set a general approach. It will contribute to a larger research on this topic.","Total cost of ownership; Doughnut economics; Circular strategies","en","conference paper","","","","","","","","","","","Design & Construction Management","","",""
"uuid:b30a5dcc-81e6-436b-bdf3-c55155d6d82d","http://resolver.tudelft.nl/uuid:b30a5dcc-81e6-436b-bdf3-c55155d6d82d","Peculiarities and Experience of W-Band Cloud Radar Calibration","Yanovsky, Felix J. (National Aviation University); Pitertsev, Aleksander A. (National Aviation University); Unal, C.M.H. (TU Delft Atmospheric Remote Sensing); Russchenberg, H.W.J. (TU Delft Geoscience and Remote Sensing)","","2023","This paper is devoted to discussing peculiarities of W-band cloud radar calibration. After a brief overview of meteorological radar calibration methods for quantitative information retrieval, we focus on problems and their possible solutions with respect to mm-wave radar calibration. The experimental part of the research is based on multi-instrument measurements performed during several years in the Cabauw experimental meteorological site in the Netherlands. The accumulated data are used for comparison of 94 GHz radar rain measurements with non-radar droplet size distribution measurements, provided by laser disdrometers. Calculations are done taking into account data of other in situ meteorological measurements. A specialized MATLAB software tool for processing such complex data and radar calibration is developed and demonstrated.","data integrity; data processing; electromagnetic scattering; radar measurements; radar remote sensing; sensor fusion; signal processing","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","","","2024-03-28","","Geoscience and Remote Sensing","Atmospheric Remote Sensing","","",""
"uuid:74c6d99e-b600-47c5-bbd5-8a1ec8f8ac76","http://resolver.tudelft.nl/uuid:74c6d99e-b600-47c5-bbd5-8a1ec8f8ac76","Application of axial load tests in the Netherlands to offshore pile design","Duffy, K. (TU Delft Geo-engineering); Gavin, Kenneth (TU Delft Geo-engineering); de Lange, D.A. (Deltares); Korff, M. (Deltares)","","2023","This paper describes axial load tests on three full-scale driven precast piles in the Netherlands. The piles were founded in dense to very dense river-deposited sands, a soil that is widespread across the Dutch North Sea sector. The deposit is characterised by cone penetration test (CPT) tip resistances of up to 90 MPa and offers a detailed insight into pile response in realistic offshore conditions. Each test pile was incrementally loaded to failure under compression, while fibre optic sensors measured the changing deformation of the pile. The analysis and interpretation of the load test data focussed on how the three slender piles behaved at large shaft and base resistances. Notably, the piles mobilised base and shaft resistances greater than currently prescribed limiting resistances in design standards, thereby highlighting some overconservatism present when designing piles in dense sand.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-28","","","Geo-engineering","","",""
"uuid:26cc6a6a-c744-4510-8ca3-3a69b6b3b42f","http://resolver.tudelft.nl/uuid:26cc6a6a-c744-4510-8ca3-3a69b6b3b42f","Uncovering Variability in Human Driving Behavior Through Automatic Extraction of Similar Traffic Scenes from Large Naturalistic Datasets","Siebinga, O. (TU Delft Human-Robot Interaction); Zgonnikov, A. (TU Delft Human-Robot Interaction); Abbink, D.A. (TU Delft Human-Centred Artificial Intelligence; TU Delft Human-Robot Interaction)","","2023","Recently, multiple naturalistic traffic datasets of human-driven trajectories have been published (e.g., highD, NGSim, and pNEUMA). These datasets have been used in studies that investigate variability in human driving behavior, for example for scenario-based validation of autonomous vehicle (AV) behavior, modeling driver behavior, or validating driver models. Thus far, these studies focused on the variability on an operational level (e.g., velocity profiles during a lane change), not on a tactical level (i.e., to change lanes or not). Investigating the variability on both levels is necessary to develop driver models and AV s that include multiple tactical behaviors. To expose multi-level variability, the human responses to the same traffic scene could be investigated. However, no method exists to automatically extract similar scenes from datasets. Here, we present a four-step extraction method that uses the Hausdorff distance, a mathematical distance metric for sets. We performed a case study on the highD dataset that showed that the method is practically applicable. The human responses to the selected scenes exposed the variability on both the tactical and operational levels. With this new method, the variability in operational and tactical human behavior can be investigated, without the need for costly and time-consuming driving-simulator experiments.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Human-Robot Interaction","","",""
"uuid:a371e917-2298-4729-aa20-443e1dea5aa5","http://resolver.tudelft.nl/uuid:a371e917-2298-4729-aa20-443e1dea5aa5","Robotic Packaging Optimization with Reinforcement Learning","Drijver, Eveline (Student TU Delft); Pérez-Dattari, Rodrigo (TU Delft Learning & Autonomous Control); Kober, J. (TU Delft Learning & Autonomous Control); Della Santina, C. (TU Delft Learning & Autonomous Control); Ajanović, Z. (TU Delft Learning & Autonomous Control)","","2023","Intelligent manufacturing is becoming increasingly important due to the growing demand for maximizing productivity and flexibility while minimizing waste and lead times. This work investigates automated secondary robotic food packaging solutions that transfer food products from the conveyor belt into containers. A major problem in these solutions is varying product supply which can cause drastic productivity drops. Conventional rule-based approaches, used to address this issue, are often inadequate, leading to violation of the industry's requirements. Reinforcement learning, on the other hand, has the potential of solving this problem by learning responsive and predictive policy, based on experience. However, it is challenging to utilize it in highly complex control schemes. In this paper, we propose a reinforcement learning framework, designed to optimize the conveyor belt speed while minimizing interference with the rest of the control system. When tested on real-world data, the framework exceeds the performance requirements (99.8% packed products) and maintains quality (100% filled boxes). Compared to the existing solution, our proposed framework improves productivity, has smoother control, and reduces computation time.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-28","","","Learning & Autonomous Control","","",""
"uuid:239edf2e-8e04-4e9a-8fb9-c749e9dde5f9","http://resolver.tudelft.nl/uuid:239edf2e-8e04-4e9a-8fb9-c749e9dde5f9","Large Car-following Data Based on Lyft level-5 Open Dataset: Following Autonomous Vehicles vs. Human-driven Vehicles","Li, G. (TU Delft Transport and Planning); Jiao, Y. (TU Delft Transport and Planning); Knoop, V.L. (TU Delft Transport and Planning); Calvert, S.C. (TU Delft Transport and Planning); van Lint, J.W.C. (TU Delft Transport and Planning)","","2023","Car-Following (CF), as a fundamental driving behaviour, has significant influences on the safety and efficiency of traffic flow. Investigating how human drivers react differently when following autonomous vs. human-driven vehicles (HV) is thus critical for mixed traffic flow. Research in this field can be expedited with trajectory datasets collected by Autonomous Vehicles (AVs). However, trajectories collected by AVs are noisy and not readily applicable for studying CF behaviour. This paper extracts and enhances two categories of CF data, HV-following-AV (H-A) and HV-following-HV (H-H), from the open Lyft level-5 dataset. First, CF pairs are selected based on specific rules. Next, the quality of raw data is assessed by anomaly analysis. Then, the raw CF data is corrected and enhanced via motion planning, Kalman filtering, and wavelet denoising. As a result, 29k+ H-A and 42k+ H-H car-following segments are obtained, with a total driving distance of 150k+ km. A diversity assessment shows that the processed data cover complete CF regimes for calibrating CF models. This open and ready-to-use dataset provides the opportunity to investigate the CF behaviours of following AVs vs. HVs from real-world data. It can further facilitate studies on exploring the impact of AVs on mixed urban traffic.","Car-following; trajectory dataset; autonomous vehicle; driving behaviour","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-28","","","Transport and Planning","","",""
"uuid:1df3b8d1-e9fb-4bf5-808c-d3401e64282f","http://resolver.tudelft.nl/uuid:1df3b8d1-e9fb-4bf5-808c-d3401e64282f","Circular applications through selection strategies (CATSS): A methodology for identifying reuse applications for end-of-life wind turbine blades","Carrete, Israel A. (TU Delft Design for Sustainability); Joustra, J.J. (TU Delft Design for Sustainability); Balkenende, R. (TU Delft Design for Sustainability)","","2023","Wind turbines are crucial for the energy transition, but their end-of-life treatment presents a challenge. Most wind turbine blades, made from composites, are currently sent for disposal or recycled through methods that degrade the value of the material. Structural reuse through blade segmentation was introduced as a recovery method that maintains high material value throughout subsequent life cycles. Most recovery attempts focus on thermoset composites, but thermoplastics are becoming more common. Unlike thermosets, thermoplastics can be reshaped through thermoforming processes, which offers the opportunity of adapting the geometry of a blade to new reuse applications. This paper introduces selection strategies to identify secondary applications of reshaped thermoplastic blade sections. A new methodology is proposed based on Landru's selection strategies and the Material Driven Design method (MDD). The Circular Applications Through Selection Strategies (CATSS) methodology proposes understanding a material at different levels to identify applications. Each sectioning level of the blades yields different material characteristics, such as the reshapability, that are then put into Landru's three selection strategies: substitution, selection by function, and inverse selection. Substitution directly supplants other materials with blades in an existing application; selection by function compares material properties and performance indices to derive the most relevant functions (i.e. ""light-weight beams""); and inverse selection identifies suitable market sectors. The CATSS method is a systematic approach to exploring the reuse of blade sections across multiple life cycles, taking into consideration the changes in blade geometry introduced by each sectioning level. For example, the second use cycle might use blade segments for infrastructural applications like electrical transmission poles, while 3rd and 4th cycles reuse blade elements or blade units for urban furniture or automotive parts, respectively. Thus, by identifying multiple use cycle applications at various sectioning levels, we introduce structural reuse and reshaping as a long-lasting recovery pathway for decommissioned wind turbine blades. The selection strategies presented on the one hand can help identify new applications for thermoplastic composite products at their end-of-life, while on the other hand they indicate which aspects need to be considered in the original design, thus contributing to more circular practices in the composites industry.","","en","conference paper","","","","","","","","","","","Design for Sustainability","","",""
"uuid:3e944b78-00b7-4b2f-b378-ff9cc863d480","http://resolver.tudelft.nl/uuid:3e944b78-00b7-4b2f-b378-ff9cc863d480","A dynamic bandwidth tariff assessment in a Dutch distribution network using a novel scalable distributed simulation framework","van der Holst, Bart (Eindhoven University of Technology); Verhoeven, Gijs (Eindhoven University of Technology); Matthijssen, E.F. (TNO); Vrijlandt, Mark (TNO); van der Meer, A.A. (TU Delft Intelligent Electrical Power Grids); Plug, R.B.F. (TNO); Kok, Koen (Eindhoven University of Technology)","","2023","Due to the increasing penetration of distributed energy resources, congestion problems are already emerging in Dutch distribution grids. The available flexibility of assets in the built environment could have the potential to reduce congestion if prosumers are properly incentivized by distribution grid operators (DSOs). However, it is not yet clear what (combinations of) flexibility a ctivation mechanisms will be effective for congestion management in Dutch Distribution grids. To shed light on this issue, the GO-e consortium aims at performing large-scale agent-based simulations of up to 120 low-voltage networks and a large variety of possible instruments and scenarios. For this reason, we developed a novel scalable time-discrete simulation framework for distributed agent-based simulations of energy systems. We demonstrate the framework on a case-study in which we assess the effectiveness of a dynamic bandwidth tariff instrument on overloading problems in a low-voltage network containing solar panels, batteries, and heat pumps. It was shown that a dynamic bandwidth tariff can successfully resolve forecasted congestion if the associated costs are high enough compared to the day-ahead prices. However, the resulting load shifting can cause new congestion intra-day aswell.","","en","conference paper","IET","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-29","","","Intelligent Electrical Power Grids","","",""
"uuid:eb99ae51-d079-407c-812e-f07810bd16cd","http://resolver.tudelft.nl/uuid:eb99ae51-d079-407c-812e-f07810bd16cd","Supervised Learning for Fault Classification Using Hybrid Training Datasets","Ranganathan, Archana (Alliander); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids); Provoost, Frans (Qirion)","","2023","Electrical faults in the distribution system can lead to interruptions in customer power supply resulting in penalties that are borne by the distribution system operator. Accurate fault classification is an important step in locating the fault to achieve faster network restoration times. This paper presents a classification model in two parts: one determines the degree of stability in the fault waveforms and the second uses a machine learning model to classify real-world faults based on the number of fault phases. A set of business rules are developed to characterise instability by performing a windowed Fourier analysis and studying the strength of the fundamental frequency component of fault waveforms. Results show that the developed SVM model can differentiate between real-world instances of single-phase, two-phase and threephase stable faults with a classification accuracy of 95%. Additionally, we show that adding a small subset of synthetically developed faults to the training data improves classification accuracy.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-29","","","Intelligent Electrical Power Grids","","",""
"uuid:c7ef44ef-3502-4aa1-a810-b30fd576f6af","http://resolver.tudelft.nl/uuid:c7ef44ef-3502-4aa1-a810-b30fd576f6af","Brede welvaart: wat is de bijdrage van verkeersmanagement?","Taale, Henk (TU Delft Transport and Planning); Wilmink, Isabel (TNO); Vonk, Tanja (TNO)","","2022","Momenteel wordt binnen het vakgebied mobiliteit veel gesproken over brede welvaart en hoe vanuit de mobiliteitssector hieraan bijgedragen kan worden. Van
oudsher was het mobiliteitsbeleid vooral gericht op het verbeteren van de
bereikbaarheid. Naast het oplossen van knelpunten door de uitbreiding van de
infrastructuur speelde verkeersmanagement daarin een belangrijke rol. Dat
leidt tot de vraag hoe verkeersmanagement bijdraagt aan brede welvaart en of er
veranderingen nodig zijn om dit beter te doen. Om deze vragen te beantwoorden is er een literatuurscan gedaan en zijn er workshops met verschillende experts gehouden.
Uit de literatuur blijkt dat verkeersmanagementmaatregelen gericht zijn op een
bepaalde dimensie van brede welvaart (bereikbaarheid), zonder dat er een
afweging met de andere dimensies (veiligheid, leefbaarheid en gezondheid)
gemaakt wordt. Ook laat de literatuur zien dat gemotoriseerd verkeer onbedoelde negatieve effecten heeft op de dimensie gezondheid, van zowel reizigers als niet-reizigers. Daarnaast is er aandacht voor de verdeling van effecten in de gezondheids- en bereikbaarheidsdimensie.
De workshops lieten zien dat de bijdrage van verkeersmanagement aan brede
welvaart in de praktijk nog vrij smal is en dat het beleid de keuzes maakt en niet
de verkeersmanager, zodat er voor hem weinig speelruimte is. Ook is duidelijk
dat het geografisch schaalniveau van belang is, omdat het veel uitmaakt of je
verkeersmanagement toepast op autosnelwegen of in de stad. Verbreding
naar brede welvaart lijkt in ieder geval niet zo eenvoudig te zijn. Maar er zijn
wel mogelijkheden, zoals meer sturing en het versterken van de interactie
tussen verkeers- en mobiliteitsmanagement, de monitoring en evaluatie
uitbreiden voor brede welvaart en een verandering van zienswijze om te komen
tot een bredere denk- en werkwijze.","","nl","conference paper","","","","","","","","","","","Transport and Planning","","",""
"uuid:9bbf66aa-6e4c-4dfc-8a54-c1da4145ef5f","http://resolver.tudelft.nl/uuid:9bbf66aa-6e4c-4dfc-8a54-c1da4145ef5f","Rate-dependent behaviour of fracture propagation in salt rock: Proceedings of the 10th Conference on the Mechanical Behavior of Salt (SaltMech X), Utrecht, The Netherlands, 06-08 July 2022","Escanellas, Andreu (Universitat Politecnica de Catalunya); Cámara, Eduardo (Universitat Politecnica de Catalunya); Liaudat, J. (TU Delft Geo-engineering); Carol, Ignacio (Universitat Politecnica de Catalunya)","de Bresser, J.H.P. (editor); Drury, M.R. (editor); Fokker, P. A. (editor); Gazzani, M. (editor); Hangx, S.J.T. (editor); Niemeijer, A.R. (editor); Spiers, C.J. (editor)","2022","This paper describes an on-going experimental and numerical modelling
research project on salt rock specimens. The experimental part of the study consists of a number of Mode I fracture tests with the WST (Wedge-Splitting Test) configuration, which are performed at different loading rates and complemented by a series of standard uniaxial creep tests. The preliminary WST results show a greater mechanical fracture work accompanied with
lower force peaks, for the slower tests. As a first attempt to represent the experimental results, an in-house Finite Element model has been used, which combines an inviscid discrete fracture approach with a Maxwell chain model for the continuum material. The simulations show a decrease of the mechanical work needed for opening the fracture and higher peak force, as
foreseen by the ongoing experimental results, but not with the same intensity, which seems to indicate that work dissipation may not be caused exclusively by the bulk viscosity.","","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","","","","","","Geo-engineering","","",""
"uuid:4f1cb672-87f9-4f4f-94b8-8994dd7c4468","http://resolver.tudelft.nl/uuid:4f1cb672-87f9-4f4f-94b8-8994dd7c4468","The Daedalus Project: AWE Tether Engineering Method Substantiated","Bosman, Rigo (RIGO Ropes); Kruijff, Michiel (Ampyx Power BV)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:1d26a232-2328-4ddc-be41-0faddb8f5421","http://resolver.tudelft.nl/uuid:1d26a232-2328-4ddc-be41-0faddb8f5421","Multi-Element Airfoil Design for an AWE Rigid Kite","Porta Ko, Agustí (Delft University of Technology - Kitemill AS); Schmehl, Roland (Delft University of Technology); Smidt, Sture (Kitemill AS); Mandru, Manoj (Kitemill AS); Hornzee-Jones, Christopher (Aerotrope Ltd); Chen, Yimeng (Aerotrope Ltd)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:8763994b-763a-4990-b666-ab75b99060c6","http://resolver.tudelft.nl/uuid:8763994b-763a-4990-b666-ab75b99060c6","Circular AWE Farms With High Surface Power Density","Diehl, Moritz (University of Freiburg); Harzer, Jakob (University of Freiburg); De Schutter, Jochem (University of Freiburg)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:1ef9d8c7-c575-446b-86e6-c35fd9ec9ffb","http://resolver.tudelft.nl/uuid:1ef9d8c7-c575-446b-86e6-c35fd9ec9ffb","First Airborne Wind Energy Operation on a Tropical Island","Breuer, Joep (Kitepower BV); Peschel, Johannes (Kitepower BV); Ghilardi, Marcello (Kitepower BV)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:a99e8bc9-25d5-4613-800d-db4a1c9458e0","http://resolver.tudelft.nl/uuid:a99e8bc9-25d5-4613-800d-db4a1c9458e0","High Fidelity Fluid-Structure Interaction Simulation of a Multi-Megawatt Airborne Wind Energy Reference System","Pynaert, Niels (Ghent University); Wauters, Jolan (Ghent University); Crevecoeur, Guillaume (Ghent University); Degroote, Joris (Ghent University)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:470f7b59-bef2-4472-b435-14336be2364c","http://resolver.tudelft.nl/uuid:470f7b59-bef2-4472-b435-14336be2364c","Production Cycle Optimization for Pumping Airborne Wind Energy","Mathis, Rodolfo (Politecnico di Milano); Fagiano, Lorenzo (Politecnico di Milano)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:b3ed44d8-f5be-4a2d-ae7f-1457a0150b8e","http://resolver.tudelft.nl/uuid:b3ed44d8-f5be-4a2d-ae7f-1457a0150b8e","Power Smoothing in Utility-Scale Airborne Wind Energy Trajectory Optimization","De Schutter, Jochem (University of Freiburg); Leuthold, Rachel (University of Freiburg); Diehl, Moritz (University of Freiburg)","","2022","","","","conference paper","","","","","","","","","","","","","",""
"uuid:831814bb-bedd-4ed9-b734-376b6f4396c6","http://resolver.tudelft.nl/uuid:831814bb-bedd-4ed9-b734-376b6f4396c6","Shapewave: True 3D HD Webbing Inflatable Structures","Enserink, Rudo (enserinkdesign.com)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:b97ee815-36d6-4e6b-a60d-e815b7498634","http://resolver.tudelft.nl/uuid:b97ee815-36d6-4e6b-a60d-e815b7498634","Concepts for Obstruction Marking and Demand-Oriented Obstruction Avoidance to Ensure a Safe Operation of AWE Systems","Allgaier, Nicole (EnerKíte GmbH); Herschel, Hauke (EnerKíte GmbH)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:42f065ee-e6c0-43f8-b660-666e87641cf5","http://resolver.tudelft.nl/uuid:42f065ee-e6c0-43f8-b660-666e87641cf5","Combined Experimental and Numerical Aerodynamic Optimisation of High-Performance Rigid-Wing AWE Systems","Fischer, Denes (Technical University of Berlin); Church, Benjamin (Technical University of Berlin); Nayeri, C. Navid (Technical University of Berlin); Paschereit, C. Oliver (Technical University of Berlin)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:6e0f5af1-75ed-45c3-ace4-d5e37208429d","http://resolver.tudelft.nl/uuid:6e0f5af1-75ed-45c3-ace4-d5e37208429d","Life-Cycle Analysis of an Airborne Wind Energy System","Petrick, Kristian (Airborne Wind Europe); van Haagen, Luuk (Delft University of Technology); Schmehl, Roland (Delft University of Technology); Wilhem, Stefan (Ampyx Power BV); Kruiff, Michiel (Ampyx Power BV)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:34fb55ca-82f1-425c-a4cf-c1fe9fb14365","http://resolver.tudelft.nl/uuid:34fb55ca-82f1-425c-a4cf-c1fe9fb14365","Polar Wind Highways","Oficialdegui, Ignacio (Windsled Project)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:6d2dac78-6edb-461d-87b6-3475d8dd41fc","http://resolver.tudelft.nl/uuid:6d2dac78-6edb-461d-87b6-3475d8dd41fc","Some Modelling and Control Aspects of Rotational Starting and Landing","Ranneberg, Maximilian (EnerKíte GmbH); Kämpf, Bernhard (EnerKíte GmbH)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:bbc5276f-a5a1-4109-a5b2-b890bd69edde","http://resolver.tudelft.nl/uuid:bbc5276f-a5a1-4109-a5b2-b890bd69edde","Low and High Fidelity Aerodynamic Simulations for Airborne Wind Energy Box-Wings","Buendía, Gabriel (Delft University of Technology - Universidad Carlos III de Madrid); Eijkelhof, Dylan (Delft University of Technology); Schmehl, Roland (Delft University of Technology)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:075f8ccd-83df-46df-9b43-c65723b0c4a8","http://resolver.tudelft.nl/uuid:075f8ccd-83df-46df-9b43-c65723b0c4a8","Airborne Wind Energy Development Database","Schmehl, Roland (Delft University of Technology); Schmidt, Helena (Delft University of Technology); Salma, Volkan (Delft University of Technology - European Space Agency); Petrick, Kristian (Airborne Wind Europe); Thoms, Stefanie (Airborne Wind Europe)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:5d138a4f-527b-48fb-a268-af843279a197","http://resolver.tudelft.nl/uuid:5d138a4f-527b-48fb-a268-af843279a197","Practicalities of Site Selection for an Offshore AWE Demonstration: A Case Study for Ireland","Coca-Tagarro, Inés (BlueWise Marine); Politi, Giacomo (Ampyx Power BV); Morel, Quentin (Ampyx Power BV); O’Boyle, Louise (BlueWise Marine)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:8f9a0fd5-d75e-443d-b061-85a77816eb77","http://resolver.tudelft.nl/uuid:8f9a0fd5-d75e-443d-b061-85a77816eb77","Optimal Flight Path for Fly-Gen Airborne Wind Energy Systems","Trevisi, Filippo (Politecnico di Milano); Castro-Fernández, Iván (Universidad Carlos III de Madrid); Croce, Alessandro (Politecnico di Milano); Riboldi, Carlo E.D. (Politecnico di Milano); Pasquinelli, Gregorio (Politecnico di Milano)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:b6501043-2000-4867-84f9-9356d511f7d7","http://resolver.tudelft.nl/uuid:b6501043-2000-4867-84f9-9356d511f7d7","On Control of Phase Transitions in Airborne Wind Energy Systems","Kessler, Nicolas (Politecnico di Milano); Fagiano, Lorenzo (Politecnico di Milano)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:8270402a-bbd0-4e16-95b4-4207e3ef4440","http://resolver.tudelft.nl/uuid:8270402a-bbd0-4e16-95b4-4207e3ef4440","TwingTec’s Path to Commercialisation","Luchsinger, Rolf H. (TwingTec AG); Bezard, Florian (TwingTec AG); Costa, Dino (TwingTec AG); Galliot, Cédric (TwingTec AG); Gohl, Flavio (TwingTec AG); Hanna, George (TwingTec AG); Houle, Corey (TwingTec AG)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:e2cebe17-5014-49eb-a190-fb5833c08350","http://resolver.tudelft.nl/uuid:e2cebe17-5014-49eb-a190-fb5833c08350","Optimal Reeling Control for Pumping Airborne Wind Energy Systems Without Wind Speed Feedback","Berra, Andrea (Politecnico di Milano - FADA-CATEC); Fagiano, Lorenzo (FADA-CATEC)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:817fbe4b-de29-4b2b-b7a7-ab6db2a5c383","http://resolver.tudelft.nl/uuid:817fbe4b-de29-4b2b-b7a7-ab6db2a5c383","Performance Investigation of Utility-Scale Airborne Wind Energy Farms using Large-Eddy Simulations","Haas, Thomas (KU Leuven); Meyers, Johan (KU Leuven)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:3e9a9b47-da91-451b-b0af-2c26c7ff9612","http://resolver.tudelft.nl/uuid:3e9a9b47-da91-451b-b0af-2c26c7ff9612","A Reference Economic Model for Airborne Wind Energy Systems","Joshi, Rishikesh (Delft University of Technology); Trevisi, Filippo (Politecnico di Milano); Schmehl, Roland (Delft University of Technology); Croce, Alessandro (Politecnico di Milano); Riboldi, Carlo E.D. (Politecnico di Milano)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:745749e9-c513-48ed-9d52-c13e79615852","http://resolver.tudelft.nl/uuid:745749e9-c513-48ed-9d52-c13e79615852","Design Analysis of a Rotary Airborne Wind Energy System","Tulloch, Oliver (University of Strathclyde); Yue, Hong (University of Strathclyde); Kazemi, Abbas (University of Strathclyde); Read, Rod (Windswept and Interesting Ltd)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:80c6b2ee-00a0-45e8-bdab-0cb99f0f75cb","http://resolver.tudelft.nl/uuid:80c6b2ee-00a0-45e8-bdab-0cb99f0f75cb","AnalyticalWake Models for Crosswind Kites","Kheiri, Mojtaba (Concordia University - New Leaf Management Ltd); Karakouzian, Mher M. (Queen’s University); Bourgault, Frédéric (New Leaf Management Ltd)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:f6b2678e-f221-4ec7-a4b8-a8eac6e5f1dd","http://resolver.tudelft.nl/uuid:f6b2678e-f221-4ec7-a4b8-a8eac6e5f1dd","Fault-tolerant Control of Airborne Wind Energy Systems with Quadrotor/Fixed-Wing UAV Configuration","Mohammed, Tareg (Politecnico di Milano - Kitemill AS); Fagiano, Lorenzo (Politecnico di Milano)","","2022","","","","conference paper","","","","","","","","","","","","","",""
"uuid:b01e698c-e38e-4517-9bfc-310bd2e5c879","http://resolver.tudelft.nl/uuid:b01e698c-e38e-4517-9bfc-310bd2e5c879","Lessons Learned in Maturing Novel Renewables","Gunn, Kester (RWE Renewables UK)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:32ef4b7e-f978-4974-ab33-cef6af0e82e4","http://resolver.tudelft.nl/uuid:32ef4b7e-f978-4974-ab33-cef6af0e82e4","Economic Potential of Applying Circular Economy to AWE","Vernazza, Franco (Draco Energia Ltda)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:0545b2e1-2592-453a-b204-76b1a74b3c75","http://resolver.tudelft.nl/uuid:0545b2e1-2592-453a-b204-76b1a74b3c75","Rotational Launch and Landing: Flight Tests at EnerKíte","Gebhardt, Christian (EnerKíte GmbH)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:39e797a0-7959-44bb-9ccf-efb5351123d4","http://resolver.tudelft.nl/uuid:39e797a0-7959-44bb-9ccf-efb5351123d4","Technical Progress in Kitemill","Oland, Espen (Kitemill AS); van der Brink, Alfred (Kitemill AS)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:421bfd3c-db17-4517-b982-f5f120ee4ce9","http://resolver.tudelft.nl/uuid:421bfd3c-db17-4517-b982-f5f120ee4ce9","The ICM-autoKite Project: Developing an Automated Kite Propulsion System for the KITE GAS/FUEL SHIP and Economic Green Hydrogen Production","Heudorfer, Klaus (University of Stuttgart); Dobler, Ulrich (Oceanenergy AG)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:5c403f15-72b9-456e-a001-a9d622d8be8a","http://resolver.tudelft.nl/uuid:5c403f15-72b9-456e-a001-a9d622d8be8a","Predictive Control of a Morphing Airborne Wind Energy System","Fine, Jacob B. (North Carolina State University); Vermillion, Chris (North Carolina State University)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:bc5e7392-f924-4740-aa86-3c10dfd50c7e","http://resolver.tudelft.nl/uuid:bc5e7392-f924-4740-aa86-3c10dfd50c7e","C++ Based Systems Engineering Framework as a Key Approach Towards Efficient, Reliable, and Autonomous Flying Wind Turbine Products","Bauer, Florian (KiteKRAFT GmbH); Drexler, Christoph (KiteKRAFT GmbH); Frirdich, André (KiteKRAFT GmbH); Isensee, Maximilian (KiteKRAFT GmbH); Campagnolo, Filippo (Technical University of Munich); Kennel, Ralph (Technical University of Munich)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:1ccc4319-6d6e-43d2-8093-5ffedce4b845","http://resolver.tudelft.nl/uuid:1ccc4319-6d6e-43d2-8093-5ffedce4b845","The Strategic Role of Research & Innovation to Implement the Italian Energy and Climate Plan","Capra, Marcello (Italian Ministry of Ecological Transition)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:6917a009-8bd8-4062-ade8-596dcc3b30bb","http://resolver.tudelft.nl/uuid:6917a009-8bd8-4062-ade8-596dcc3b30bb","Using the Lidar-Validated Hindcast Model NORA3 for Resource Estimates of Airborne Wind Energy Systems","Diezel, Jan Markus (University of Bergen); Reuder, Joachim (University of Bergen)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:327ed17a-b9e3-4430-bb42-dc92bdd3ba33","http://resolver.tudelft.nl/uuid:327ed17a-b9e3-4430-bb42-dc92bdd3ba33","Airborne Wind Energy Farm Layout and Optimization","Roque, Luís A.C. (Politécnico do Porto); Fernandes, Manuel C.R.M. (Universidade do Porto); Tiago Paiva, Luís (Universidade do Porto); Fontes, Fernando A.C.C. (Universidade do Porto)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:910bcc1b-b857-4a42-b4f7-55c8bf5e0ef2","http://resolver.tudelft.nl/uuid:910bcc1b-b857-4a42-b4f7-55c8bf5e0ef2","Estimation of Unknown Aerodynamic Forces of an AWE System","Hably, Ahmad (Gipsa-lab, Grenoble INP); Schanen, Audrey (Gipsa-lab, Grenoble INP); Dumon, Jonathan (Gipsa-lab, Grenoble INP); Meslem, Nacim (Gipsa-lab, Grenoble INP)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:7a73ffd9-8010-4445-9559-f7a67b60a2cf","http://resolver.tudelft.nl/uuid:7a73ffd9-8010-4445-9559-f7a67b60a2cf","Kitekraft: Building Flying Wind Turbines","Bauer, Florian (kiteKRAFT GmbH); Isensee, Maximilian (kiteKRAFT GmbH); Frirdich, André (kiteKRAFT GmbH); Drexler, Christoph (kiteKRAFT GmbH)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:31f4cfae-a442-4299-b984-18a17e184b0f","http://resolver.tudelft.nl/uuid:31f4cfae-a442-4299-b984-18a17e184b0f","Save Japan from a Future Social Crisis! “Mothership” Project Current Development Progress","Itakura, Eiji (Toyota Motor Corporation)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:52ef6dd1-4464-40a2-bba3-3763c91a45e7","http://resolver.tudelft.nl/uuid:52ef6dd1-4464-40a2-bba3-3763c91a45e7","Kite Path–following with L0 and L1 Controllers Tested on a Smallśscale Prototype","Vinha, Sérgio (Universidade do Porto); Fernandes, Manuel C.R.M. (Universidade do Porto); Tiago Paiva, Luís (Universidade do Porto); Fontes, Fernando A.C.C. (Universidade do Porto)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:afcbc438-61e5-4ffc-b6ca-fd523211af58","http://resolver.tudelft.nl/uuid:afcbc438-61e5-4ffc-b6ca-fd523211af58","Towards Flow-Field Characterization for AWES","Kelly, Mark (Technical University of Denmark); McWilliam, Michael (Technical University of Denmark); Gaunaa, Mac (Technical University of Denmark)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:62c31be4-b2c0-416c-9fee-cde2c01ee2ed","http://resolver.tudelft.nl/uuid:62c31be4-b2c0-416c-9fee-cde2c01ee2ed","Non-Intrusive Modeling of an AWE Generator’s Bidirectional DC/DC Converter","Naranjo, Joey (Kitenergy Srl); Franceschini, Massimo (Kitenergy Srl)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:fc7345ff-4319-4b9a-bc05-56ab38176898","http://resolver.tudelft.nl/uuid:fc7345ff-4319-4b9a-bc05-56ab38176898","Kernel-based Identification of Periodically Parameter-Varying Models of Power Kites","Yin, Mingzhou (ETH Zürich); Ozan, Defne E. (Imperial College London); Iannelli, Andrea (ETH Zürich); Smith, Roy S. (ETH Zürich)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:0fda7c06-a027-45d6-9848-9376d0790898","http://resolver.tudelft.nl/uuid:0fda7c06-a027-45d6-9848-9376d0790898","Annual Wind Resource Assessment for an Airborne Wind Energy System","Solís-Magallanes, Edgar Uriel (Metropolitan Polytechnic University of Hidalgo); Gallardo-Villarreal, José Manuel (Autonomous University of the State of Hidalgo); Valle-Hernández, Julio (Metropolitan Polytechnic University of Hidalgo - Autonomous University of the State of Hidalgo)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:bcb75010-ad7c-4e35-aa24-2083fb3e25f1","http://resolver.tudelft.nl/uuid:bcb75010-ad7c-4e35-aa24-2083fb3e25f1","Fostering International Collaboration Within IEA Wind TCP Task 48","Thoms, Stefanie (Airborne Wind Europe); Petrick, Kristian (Airborne Wind Europe); Schmehl, Roland (Delft University of Technology)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:4a948310-70bb-4bf8-a62d-f3f24210fc3b","http://resolver.tudelft.nl/uuid:4a948310-70bb-4bf8-a62d-f3f24210fc3b","Rotary Kite Turbine Development","Read, Roderick (Windswept and Interesting Ltd)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:6a9a006c-4b58-443b-a5c1-eb08aaa5a5f9","http://resolver.tudelft.nl/uuid:6a9a006c-4b58-443b-a5c1-eb08aaa5a5f9","Comparison of Two Data-driven Airborne Wind Energy Oriented Long-term Weather Forecast Methods","Feng, Zhixin (Delft University of Technology, Netherlands); Partog, Agusmian (Flanders Make vzw, Corelab DecisionS); Ompusunggu, Agusmian Partogi (Flanders Make vzw, Corelab DecisionS); Ferrari, Riccardo (Delft University of Technology)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:4013ca0b-5508-4413-b8cb-5251ca1e7781","http://resolver.tudelft.nl/uuid:4013ca0b-5508-4413-b8cb-5251ca1e7781","Design of an Airborne Wind Energy System for Mars Habitats","Rodríguez, Mario César (Delft University of Technology); Ouroumova, Lora (Delft University of Technology); Gaunaa, Mac (Technical University of Denmark); Schmehl, Roland (Delft University of Technology)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:1b98d56b-7be6-4e87-b860-5312c8367051","http://resolver.tudelft.nl/uuid:1b98d56b-7be6-4e87-b860-5312c8367051","Status of UC3M Testbed for the Aerodynamic Characterization of Kites Applied to Airborne Wind Energy Systems","De Los Ríos-Navarrete, F. (Universidad Carlos III de Madrid); Castro-Fernández, I. (Universidad Carlos III de Madrid); Fernández-Jiménez, M. (Universidad Carlos III de Madrid); Zas-Bustingorri, M. (Universidad Carlos III de Madrid); Ghobaissi-González, A.T. (Universidad Carlos III de Madrid); Cobos-Pérez, C. (Universidad Carlos III de Madrid); Sánchez-Arriaga, G. (Universidad Carlos III de Madrid)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:643cef7a-9138-4066-89e0-bbaa23add688","http://resolver.tudelft.nl/uuid:643cef7a-9138-4066-89e0-bbaa23add688","Iterative Learning-Based Kite Path Optimization for Maximum Energy Harvesting","Reed, James (North Carolina State University); Wu, Maxwell (University of Michigan); Barton, Kira (University of Michigan); Vermillion, Chris (North Carolina State University)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:28748bf0-15ca-4916-ab51-ccb1a92d319c","http://resolver.tudelft.nl/uuid:28748bf0-15ca-4916-ab51-ccb1a92d319c","Fatigue Life Optimized Electromechanical Tether Design for Multimegawatt AWE","Neuhold, Stefan (Swiss inventix GmbH); Treyer, Daniel M. (Swiss inventix GmbH)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:a6f0814a-2f18-4442-9dd4-09f704175d01","http://resolver.tudelft.nl/uuid:a6f0814a-2f18-4442-9dd4-09f704175d01","Social Acceptance of Airborne Wind Energy","Schmidt, Helena (Delft University of Technology); de Vries, Gerdien (Delft University of Technology); Renes, Reint Jan (Amsterdam University of Applied Sciences); Schmehl, Roland (Delft University of Technology)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:eb5fef8b-fc9c-4918-a08a-df0554865d4d","http://resolver.tudelft.nl/uuid:eb5fef8b-fc9c-4918-a08a-df0554865d4d","Julia Kite Power Tools","Fechner, Uwe (Aenarete - Smart Wind)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:9fa4cad8-b975-4cf9-854b-4e1bcd50dcbd","http://resolver.tudelft.nl/uuid:9fa4cad8-b975-4cf9-854b-4e1bcd50dcbd","NREL Airborne Wind Energy Workshop and Technical Report 2021","Weber, Jochem (National Renewable Energy Laboratory); Marquis, Melinda (National Renewable Energy Laboratory); Cooperman, Aubryn (National Renewable Energy Laboratory); Draxl, Caroline (National Renewable Energy Laboratory); Hammond, Rob (National Renewable Energy Laboratory); Jonkman, Jason (National Renewable Energy Laboratory); Lemke, Alexsandra (National Renewable Energy Laboratory); Lopez, Anthony (National Renewable Energy Laboratory); Mudafort, Rafael (National Renewable Energy Laboratory); Optis, Mike (National Renewable Energy Laboratory); Roberts, Owen (National Renewable Energy Laboratory); Shields, Matt (National Renewable Energy Laboratory); Hallissy, Benjamin (U.S. Department of Energy’s Wind Energy Technologies Office)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:edd241df-6d34-48a2-b208-10a9edb9b321","http://resolver.tudelft.nl/uuid:edd241df-6d34-48a2-b208-10a9edb9b321","Airborne Wind Energy for SeaWater Desalination: A Techno-Economic Study","Salari, Mahdi E. (University College Cork); Kelly, James (University College Cork); Murphy, Jimmy (University College Cork)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:59cd63b0-6773-41ab-b76e-79792d3ba56a","http://resolver.tudelft.nl/uuid:59cd63b0-6773-41ab-b76e-79792d3ba56a","A Semi-Empirical Aerodynamic Model Based on Dynamic Stall for Rigid-Framed Delta Kites during Figure-of-Eight Maneuvers","Castro-Fernández, Iván (Universidad Carlos III de Madrid); Cavallaro, Rauno (Universidad Carlos III de Madrid); Schmehl, Roland (Delft University of Technology); Sánchez-Arriaga, Gonzalo (Universidad Carlos III de Madrid)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:af51d88f-0a9b-4ae9-903f-e008898cac74","http://resolver.tudelft.nl/uuid:af51d88f-0a9b-4ae9-903f-e008898cac74","Harnessing the Power of Model-Based Control to Further the Performance and Robustness of Airborne Wind Energy Systems","Vermillion, Chris (North Carolina State University)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:56b09a8c-a5bb-4fbb-a3b6-6803efad6eb6","http://resolver.tudelft.nl/uuid:56b09a8c-a5bb-4fbb-a3b6-6803efad6eb6","AirWing, a Self-Regulating Control System for Kites","Mewes, Ingo (Hochschule für Schauspielkunst Ernst Busch)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:ed28ce6b-40be-4094-9e43-23819062554f","http://resolver.tudelft.nl/uuid:ed28ce6b-40be-4094-9e43-23819062554f","Modelling Aeroelastic Deformation of Inflatable Membrane Kites","Poland, Jelle (Delft University of Technology); Schmehl, Roland (Delft University of Technology)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:debb2ed3-58c8-4b15-b315-c8369e368383","http://resolver.tudelft.nl/uuid:debb2ed3-58c8-4b15-b315-c8369e368383","Development of an Aeroelastic Simulation Framework for Leading Edge Inflatable Kites","Watchorn, John (Delft University of Technology); Viré, Axelle (Delft University of Technology); Schmehl, Roland (Delft University of Technology)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:c1759c23-1d89-4648-8301-d9410a0001a2","http://resolver.tudelft.nl/uuid:c1759c23-1d89-4648-8301-d9410a0001a2","Kitepower’s Journey to the Islands and Beyond","Peschel, Johannes (Kitepower BV); Breuer, Joep (Kitepower BV)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:6f9403d5-bdda-4647-8b9c-7ca38088e31e","http://resolver.tudelft.nl/uuid:6f9403d5-bdda-4647-8b9c-7ca38088e31e","Modeling and Control of Airborne Wind Energy Systems Using Lifting Line/Surface Aerodynamics","Crismer, Jean-Baptiste (Université Catholique de Louvain); Winckelmans, Grégoire (Université Catholique de Louvain)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:6a3001a8-3185-417e-8947-9b0510075c76","http://resolver.tudelft.nl/uuid:6a3001a8-3185-417e-8947-9b0510075c76","The Pyramid, a TRPT Rethink","Tulloch, Oliver (Windswept and Interesting Ltd); Read, Roderick (Windswept and Interesting Ltd); Tveide, Tallak (Kitemill AS)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:6f70ecb6-fa00-4acc-8456-7736af4b4a44","http://resolver.tudelft.nl/uuid:6f70ecb6-fa00-4acc-8456-7736af4b4a44","Building “Institutionalised Trust”: Towards Completing the Open AWE Tool Chain","Bechtle, Philip (University of Bonn)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:66109d84-8a82-403e-ab84-dc248b43d9a5","http://resolver.tudelft.nl/uuid:66109d84-8a82-403e-ab84-dc248b43d9a5","Modelling and Sizing of a Hybrid Power Plant using Airborne Wind Energy Systems","Reuchlin, Sweder (Delft University of Technology); Joshi, Rishikesh (Delft University of Technology); sch, Roland (Delft University of Technology)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:f88f2495-34a5-4c32-90c1-a8664f6768a5","http://resolver.tudelft.nl/uuid:f88f2495-34a5-4c32-90c1-a8664f6768a5","KGM1 - A Different Approach to the Airborne Wind Energy Technology","Ghivarello, Marco (GHIVA Progettazione CAD)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:1d327815-b9f2-449f-9429-94bbc158fac4","http://resolver.tudelft.nl/uuid:1d327815-b9f2-449f-9429-94bbc158fac4","Fast Aeroelastic Model of a Leading-Edge Inflatable Kite","Cayon, Oriol (Delft University of Technology - Technical University of Denmark); Poland, Jelle (Delft University of Technology); Schmehl, Roland (Delft University of Technology); Gaunaa, Mac (Technical University of Denmark)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:b207af48-dc87-4023-a1d1-1f0427c4cac9","http://resolver.tudelft.nl/uuid:b207af48-dc87-4023-a1d1-1f0427c4cac9","Kitemill – Commercial Development","Hårklau, Thomas (Kitemill AS)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:9a184fe8-a79c-4cea-bf7d-0616cf706c03","http://resolver.tudelft.nl/uuid:9a184fe8-a79c-4cea-bf7d-0616cf706c03","Rotation Compensator Based Cyclic Pitch Control for Rotary Airborne Wind Energy Systems","Beaupoil, Christof (SomeAWE Labs SL); Unterweger, Daniel (University of Freiburg)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:a12191f4-486d-48dd-8b7b-313fc7516ecc","http://resolver.tudelft.nl/uuid:a12191f4-486d-48dd-8b7b-313fc7516ecc","Flying a Rigid Kite With a Single Tether Attachment Point","Tveide, Tallak (Kitemill AS)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:230c7db8-4565-46cf-8b45-450d736079d7","http://resolver.tudelft.nl/uuid:230c7db8-4565-46cf-8b45-450d736079d7","Power Losses Analysis of AWES Via a Novel Quasi-Analytical Dynamic Model","Pasquinelli, Gregorio (Politecnico di Milano); Trevisi, Filippo (Politecnico di Milano); Croce, Alessandro (Politecnico di Milano); Riboldi, Carlo E.D. (Politecnico di Milano)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:5e7ba020-de8d-4ed1-ac04-5466f8750a55","http://resolver.tudelft.nl/uuid:5e7ba020-de8d-4ed1-ac04-5466f8750a55","Making AWE a Reality","Wrage, Stephan (SkySails Power GmbH)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:cd191269-1824-4fc6-9a81-99e5f6af8f95","http://resolver.tudelft.nl/uuid:cd191269-1824-4fc6-9a81-99e5f6af8f95","Drivetrain Concepts for Pumping Airborne Wind Energy Systems","Joshi, Rishikesh (Delft University of Technology - Ampyx Power BV); Schmehl, Roland (Delft University of Technology); Kruijff, Michiel (Ampyx Power BV); von Terzi, Dominic (Delft University of Technology)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:6e5f4097-cfb8-4181-96fa-598ed4062142","http://resolver.tudelft.nl/uuid:6e5f4097-cfb8-4181-96fa-598ed4062142","SkyPower100 - Realization of a Fully Automatic AWES (100 kW)","Junge, Patrick (SkySails Power GmbH)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:ba0c7fb2-baff-4110-9a51-c27a8498663b","http://resolver.tudelft.nl/uuid:ba0c7fb2-baff-4110-9a51-c27a8498663b","The Airborne Wind Energy Resource Analysis Tool AWERA","Thimm, Lavinia (University of Bonn); Schelbergen, Mark (Delft University of Technology); Bechtle, Philip (University of Bonn); Schmehl, Roland (Delft University of Technology)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:c0d4cd8a-51f4-43b0-9612-f99dd09c31c7","http://resolver.tudelft.nl/uuid:c0d4cd8a-51f4-43b0-9612-f99dd09c31c7","ICM-autoKite Project: Control Approaches for an Automated Kite Propulsion System for the KITE GAS/FUEL SHIP","Hein, Franziska (University of Stuttgart)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:db69e977-34b7-4147-9d13-74bbbe62f416","http://resolver.tudelft.nl/uuid:db69e977-34b7-4147-9d13-74bbbe62f416","Swinging Motion of a Flexible Membrane Kite with Suspended Control Unit During Turning Manoeuvres","Schelbergen, Mark (Delft University of Technology); Schmehl, Roland (Delft University of Technology)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:c008c85d-b601-4ade-a604-f646bf1553b6","http://resolver.tudelft.nl/uuid:c008c85d-b601-4ade-a604-f646bf1553b6","Aero-Structural Design Tailoring of Composite AWE Wings","Candade, Ashwin (EnerKíte GmbH - Delft University of Technology); Heinecke, Falk (German Aerospace Center (DLR)); Breipohl, Florian (EnerKíte GmbH); Ranneberg, Maximilian (EnerKíte GmbH); Skutnik, Stefan (EnerKíte GmbH); Schmehl, Roland (Delft University of Technology)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:ce88d0a8-c294-4190-a342-f628070cca6b","http://resolver.tudelft.nl/uuid:ce88d0a8-c294-4190-a342-f628070cca6b","Maximizing Visibility of AWE Systems for Airspace Users","Houle, Corey (TwingTec AG); Bezard, Florian (TwingTec AG); Costa, Dino (TwingTec AG); Galliot, Cédric (TwingTec AG); Gohl, Flavio (TwingTec AG); Hanna, George (TwingTec AG); Luchsinger, Rolf H. (TwingTec AG)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:7cbf9d81-5b2a-4ca0-8d60-a85e91ec6202","http://resolver.tudelft.nl/uuid:7cbf9d81-5b2a-4ca0-8d60-a85e91ec6202","Achieving Ultralight, Rigid, Durable, Low-Cost Composite AWE Kites With Efficient Design and Manufacturing","Breipohl, Florian (Enerkite GmbH); Gebhardt, Christian (Enerkite GmbH); Candade, Ashwin (Enerkite GmbH - Delft University of Technology)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:65b3be3e-eb85-487c-ac1b-1569ae050228","http://resolver.tudelft.nl/uuid:65b3be3e-eb85-487c-ac1b-1569ae050228","World Energy Transitions Outlook: 1.5◦C Pathway","Nardone, Paula (International Renewable Energy Agency (IRENA))","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:e92ccab2-6294-47e6-a91b-aa7dc8f549d7","http://resolver.tudelft.nl/uuid:e92ccab2-6294-47e6-a91b-aa7dc8f549d7","An Efficient Optimal Control Method for Airborne Wind Energy Systems with a Large Number of Slowly Changing Subcycles","Harzer, Jakob (University of Freiburg); De Schutter, Jochem (University of Freiburg); Diehl, Moritz (University of Freiburg)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:d16a0268-6d4b-4ed3-9c74-d1c254b72d3f","http://resolver.tudelft.nl/uuid:d16a0268-6d4b-4ed3-9c74-d1c254b72d3f","Energy Mix and Security Benefits of Airborne Wind Energy for Net Zero","Kennedy Scott, Will (Swift Airgen)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:4e92a16d-e394-4dfc-899a-1c3877aa8f54","http://resolver.tudelft.nl/uuid:4e92a16d-e394-4dfc-899a-1c3877aa8f54","Trajectory Tracking Controller Design and Simulation of a Tethered Aircraft","Önen, Anıl Sami (Middle East Technical University); Tekinalp, Ozan (Middle East Technical University)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:7a3f0690-cd2d-4f38-8cd6-fc840264f1f7","http://resolver.tudelft.nl/uuid:7a3f0690-cd2d-4f38-8cd6-fc840264f1f7","Model Predictive Path–Following Control of Airborne Wind Energy Systems with Guaranteed Stability","Fernandes, Manuel C.R.M. (Universidade do Porto); Vinha, Sérgio (Universidade do Porto); Tiago Paiva, Luís (Universidade do Porto); Fontes, Fernando A.C.C. (Universidade do Porto)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:e19fc99a-2c68-463c-85ae-4ebb52ec48ab","http://resolver.tudelft.nl/uuid:e19fc99a-2c68-463c-85ae-4ebb52ec48ab","Safety-Critical Hybrid Control of Airborne Wind Energy Systems","Vertovec, Nikolaus (University of Oxford); Ober-Blöbaum, Sina (Paderborn University); Margellos, Kostas (Paderborn University)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:2f438ce4-db13-4802-915d-3ee2db7b3ff7","http://resolver.tudelft.nl/uuid:2f438ce4-db13-4802-915d-3ee2db7b3ff7","Rapidly Deployable Airborne Wind Energy Systems for Defense and Disaster Response","Lang, Eric J. (University of Dayton Research Institute); Johnson, Dion (ARE Telecom)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:a664246c-3c39-402c-abfc-4c5a4b4feb4a","http://resolver.tudelft.nl/uuid:a664246c-3c39-402c-abfc-4c5a4b4feb4a","An Entrainment-Based Model for AnnularWakes, with Applications to Airborne Wind Energy","Kaufman-Martin, Sam (University of California); Naclerio, Nicholas (University of California); May, Pedro (University of California); Luzzatto-Fegiz, Paolo (University of California)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:7a616e9e-9849-48ac-bda4-fc7c5b90ea82","http://resolver.tudelft.nl/uuid:7a616e9e-9849-48ac-bda4-fc7c5b90ea82","Dynamics of Tethered Airborne Wind Energy Systems","Akberali, Amar Fayyad K. (Concordia University); Kheiri, Mojtaba (Concordia University - New Leaf Management Ltd); Bourgault, Frédéric (New Leaf Management Ltd)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:b322958a-cf55-4f2d-aaf6-9f0095ba46d4","http://resolver.tudelft.nl/uuid:b322958a-cf55-4f2d-aaf6-9f0095ba46d4","Improving Lifting-Line/Vortex-Step Methods for Kite Applications Using 2D Unsteady Thin Airfoil Theory Results","Gaunaa, Mac (Technical University of Denmark); McWilliam, Michael (Technical University of Denmark); Kelly, Mark (Technical University of Denmark)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:f880d92b-8459-49d0-abd4-78bd53c19c79","http://resolver.tudelft.nl/uuid:f880d92b-8459-49d0-abd4-78bd53c19c79","Magnus Effect Kites: Optimal Reel-Out Speeds for Cross-Wind Power Production Including Simulation and Test Results","Smith, Garrett (Wind Fisher SAS); Tardella, Armand (Wind Fisher SAS); Boucheriguene, Yacine (Wind Fisher SAS)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:b3e95ddb-c90e-4993-85cd-462d8cee8f39","http://resolver.tudelft.nl/uuid:b3e95ddb-c90e-4993-85cd-462d8cee8f39","Ground Station Control of an Airborne Wind Energy System in a Complete Operational Cycle","Arshad Uppal, Ali (Universidade do Porto - COMSATS University Islamabad); Fernandes, Manuel C.R.M. (Universidade do Porto); Vinha, Sérgio (Universidade do Porto); Fontes, Fernando A.C.C. (Universidade do Porto)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:44b0b860-cb06-42e6-a349-b79692018ca8","http://resolver.tudelft.nl/uuid:44b0b860-cb06-42e6-a349-b79692018ca8","Experimental Validation on Using Drones for the Take-off and Landing Phases of an AWE System","Azaki, Zakeye (Gipsa-lab, Grenoble INP); Schanen, Audrey (Gipsa-lab, Grenoble INP); Dumon, Jonathan (Gipsa-lab, Grenoble INP); Hably, Ahmad (Gipsa-lab, Grenoble INP); Meslem, Nacim (Gipsa-lab, Grenoble INP)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:e83261d9-d1c8-47a9-9e60-aa7464cbc66d","http://resolver.tudelft.nl/uuid:e83261d9-d1c8-47a9-9e60-aa7464cbc66d","Multidisciplinary Design, Analysis and Optimization of Fixed-Wing AWES","Trevisi, Filippo (Politecnico di Milano); Croce, Alessandro (Politecnico di Milano); Riboldi, Carlo E.D. (Politecnico di Milano)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:f33a8099-8a17-4f92-91d1-9fc70e42b347","http://resolver.tudelft.nl/uuid:f33a8099-8a17-4f92-91d1-9fc70e42b347","How Wind Energy can Lead the Global Transition to a Decarbonized Energy Supply","Barth, Stephan (ForWind)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:74c3ee57-6e3b-4767-8fdd-b47f4efe0040","http://resolver.tudelft.nl/uuid:74c3ee57-6e3b-4767-8fdd-b47f4efe0040","Commercial AWE Systems – a White Paper for the AWE Sector","Petrick, Kristian (Airborne Wind Europe); Blanch, Mike (BVG Associates); Makris, Alexi (BVG Associates)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:2e2232a9-a909-4a86-8209-75f1094a00e6","http://resolver.tudelft.nl/uuid:2e2232a9-a909-4a86-8209-75f1094a00e6","A Multidimensional Trade-off","Maneia, Gian Mauro (Kitenergy Srl); Sanmartino, Stefano (Kitenergy Srl)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:eca79e15-f3fa-47ed-bc2c-45c4e2cf475e","http://resolver.tudelft.nl/uuid:eca79e15-f3fa-47ed-bc2c-45c4e2cf475e","Automated Power Cycles in Daylong Operation at SkySails Test Site","Quack, Manfred (SkySails Power GmbH); Soliman, Mahmoud (SkySails Power GmbH); Noga, Rafal (SkySails Power GmbH)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:1eb7d240-d58b-43e5-a9fa-d50fbbc59d25","http://resolver.tudelft.nl/uuid:1eb7d240-d58b-43e5-a9fa-d50fbbc59d25","Open-Source Parametric Finite-Element Meshing Tool for Fixed-Wing AWE Kites","Eijkelhof, Dylan (Delft University of Technology); Fagan, Edward (Ampyx Power BV); Schmehl, Roland (Delft University of Technology)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:37ac0d2c-9074-4845-9ab7-494cece47819","http://resolver.tudelft.nl/uuid:37ac0d2c-9074-4845-9ab7-494cece47819","Quantifying AWE Optimal Control Problem Tractability with Simple Vortex Models","Leuthold, Rachel (University of Freiburg); De Schutter, Jochem (University of Freiburg); Crawford, Curran (University of Victoria); Gros, Sébastien (Norwegian University of Science and Technology); Diehl, Moritz (University of Freiburg)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:0841275f-8a9b-4d9c-838b-d8b62ae708d0","http://resolver.tudelft.nl/uuid:0841275f-8a9b-4d9c-838b-d8b62ae708d0","High-Fidelity Tether Models for Airborne Wind Energy","McWilliam, Michael (Technical University of Denmark); Gaunaa, Mac (Technical University of Denmark); Kelly, Mark (Technical University of Denmark)","","2022","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:6dc4b777-48d8-4f9c-a903-c5764f242dfe","http://resolver.tudelft.nl/uuid:6dc4b777-48d8-4f9c-a903-c5764f242dfe","Improving organisational resilience to disaster events: an FM perspective","Jones, Keith (Anglia Ruskin University); Mulder, Femke (Anglia Ruskin University); Morga, Mariantonietta (Anglia Ruskin University); Wanigarathna, Nadeeshani (Anglia Ruskin University)","","2022","Background and aim - Improving the resilience of business organisations and critical infrastructure providers to disaster events is a major challenge facing many European organisations. Recent floods in Germany, Belgium and the Netherlands and earthquakes in Iceland, Italy, Romania and Greece have demonstrated the need for organisations to adopt a holistic view of their vulnerabilities, adaptive capacities and resilience planning. This paper focuses on the role that facilities managers could play in the development of disaster management and business continuity and resilience plans. Methods / Methodology - The paper draws on data collected through an interpretivist, observational action research study to identify the key factors that affect organisational resilience to earthquakes. Literature reviews, interviews and workshops with end-user stakeholder organisations were used to identify physical and operational mitigation interventions that could help organisations better prepare for, absorb and recover from an earthquake. Results - The paper presents a hierarchical model of organisational resilience and a generic resilience assessment and risk management framework that can help organisations better understand and manage their disaster risks. The paper delineates the role of facilities managers in assessing the impact that the disaster risks could have on hard and soft facilities management and in identifying mitigation interventions to support primary service or product delivery following a disaster event. Practical or social implications - The paper makes a valuable contribution to the literature on organisational resilience to disaster events by highlighting the little researched role of facilities management in this context. Type of paper - Research paper (full).","multi-criteria modelling; disaster management; business vulnerability; adaptive capacity; organisational resilience; risk management","en","conference paper","","","","","","","","","","","","","",""
"uuid:1c80db0d-b0f2-4359-8b05-29814a15770d","http://resolver.tudelft.nl/uuid:1c80db0d-b0f2-4359-8b05-29814a15770d","Can physical characteristics in the interrogation room aid the witness in recalling what happened?","Bouwhuis, Twan (YNNO); Verwijmeren, Joris (Saxion University of Applied Sciences); Pijls, Ruth (Saxion University of Applied Sciences); Groen, Brenda (Saxion University of Applied Sciences)","","2022","Background and aim - Police interrogations tend to be very stressful. This comes at the expense of the effectiveness of interrogations as stressed suspects and witnesses provide less extensive and accurate statements. A more comfortable environment probably leads to more effective interrogations for all persons involved. The aim of this research is to determine whether particular aspects of the physical environment of an interrogation room may enable self-disclosure and improve accuracy of the recall of information. Methods / Methodology - An experiment using a 2 x 2 between subjects design was performed investigating the impact of two variables, wall colour (blue/red) and seating comfort (chair/stool), on self-disclosure, and accuracy of information of participants who played the role of witnesses during a simulation of a police interrogation. Results - Results showed that indeed stress was induced during the experiment. The stool was perceived as less comfortable than the chair, and participants experienced significantly different emotions between the blue and the red wall. However, no significant differences could be shown regarding selfdisclosure and accuracy of information in 2x2 design. Possibly, the limited number of participants has influenced the results. A repeat study with a larger sample is recommended. Originality - Currently, there are limited studies within the field of police interrogations that study the role of the physical environment. This is the first study to show that a (too) comfortable police interrogation room might be not beneficial for the effectiveness of the interrogation. Practical or social implications - The experiment showed that using physical aspects to appeal to users’ emotions is a means of increasing comfort. Type of paper - Research paper (full)","stress; Self-disclosure; accuracy of information; police interrogation","en","conference paper","","","","","","","","","","","","","",""
"uuid:3e3c6023-8093-400d-9433-e53045ec02cd","http://resolver.tudelft.nl/uuid:3e3c6023-8093-400d-9433-e53045ec02cd","Interior design features predicting satisfaction with office workspace privacy and noise","Colenberg, Susanne (Delft University of Technology); Romero Herrera, Natalia (Delft University of Technology); Keyson, David (Delft University of Technology)","","2022","Background and aim - Lack of privacy is a prominent issue in contemporary offices. This study aimed to identify interior design features that jointly influence satisfaction with privacy and noise in the office workspace, and estimate their predictive power. This knowledge can inform strategic workplace design. Methods - Eight design features were defined that were expected to influence visual, acoustic and physical privacy, noise from other people and acoustic quality, and which would be easy to report for users. Data were collected through an online survey among office workers in the Dutch public sector (N = 323). The joint impact of design features on the experienced privacy and noise was calculated through ordinal regression analysis. Results - The data indicate that small, relatively isolated rooms predict privacy and noise satisfaction better than privacy screens, soft flooring, and visibility control. Workspace soundproofing increases satisfaction with sound privacy and acoustics, but it does not reduce noise annoyance. Originality - This study operationalizes architectural privacy along several dimensions and from a user perspective, and hierarchically relates them to specific workspace satisfaction outcomes, generating actionable insights for workplace designers. Practical and social implications - The study can serve as a source for evidence-based workplace design and management that aims to balance user needs for privacy and quiet against their need for social interaction. Currently, this balance is especially important because hybrid working may increase the need for informal interaction at the office while there still is a need for privacy and quiet spaces. Type of paper - Research paper (full).","acoustics; Office space; interior design; satisfaction; privacy; noise","en","conference paper","","","","","","","","","","","","","",""
"uuid:f1a153d9-5dff-4ef9-9029-00a815b4b43b","http://resolver.tudelft.nl/uuid:f1a153d9-5dff-4ef9-9029-00a815b4b43b","A typology for the university campus as a living lab for Facility Management education and research","van Tankeren, Wouter (Breda University of applied sciences); Danivska, Vitalija (Breda University of applied sciences); Smit, Bert (Breda University of applied sciences)","","2022","Background and aim - The university campus is a built environment facilitating activities centred around learning and knowledge development. This confluence of activities makes the university campus uniquely suited to bring together stakeholders and to generate meaningful experiences to not only learn about but also further develop the facilitation of such experiences in the Facility Management (FM) discipline. This study aims to lower thresholds for universities using their campus as an integral part of FM education and research. Originality - This paper introduces a typology for FM focused campus living labs (CLL) to help specify university administrators’ motives towards implementing living labs on campus. Methods / Methodology - A conceptual FM CLL typology was developed through literature review on living labs and adapted for use in a FM context. Results - Four types of FM CLL are suggested primarily based on the distinct purpose, the stakeholder mix, initiator role(s), and the desired/expected output: (1) Learning company for practical education, (2) Incubator for systems thinking, (3) Test lab as a R&D test bed, and (4) Innovation hub for knowledge development. Practical or social implications - This paper provides administrators of higher education institutions with FM related programmes a typology which can aid them in aligning organisation objectives with the specific purposes for using the campus as a living lab for FM education/innovation. In time, this can help administrators/educators to facilitate more active/experiential learning activities, while also providing researchers with opportunities to develop knowledge of FM (practices). Type of paper - Conceptual research paper (full).","Campus Living Lab; Facility Management; Living Lab; Higher Education","en","conference paper","","","","","","","","","","","","","",""
"uuid:daff945a-c7d0-4b1a-9cf3-ecfe45794c65","http://resolver.tudelft.nl/uuid:daff945a-c7d0-4b1a-9cf3-ecfe45794c65","Digital trends in FM - Awareness gap between end users and service providers","Vega Sanchez, Sergio (UPM Universidad Politéchnica De Madrid,); Homann, Klaus (Baden-Württemberg Cooperative State University Stuttgart); Privenau, Jacqueline (Baden-Württemberg Cooperative State University Stuttgart); Säteri, Jorma (Metropolia University of Applied Sciences); Martinez, David (FMHOUSE)","","2022","Background and aim - Emerging digital technologies are defining the future of FM. In this process of transformation, stakeholders involved must ensure balanced development. This paper presents the results of an extensive survey on the perception of digital trends in FM. Purpose of the survey was to identify possible awareness gaps between end users and service providers regarding the significance of different emerging digital technologies. Methods / Methodology - The nature of the research is explorative. Departing from an intensive literature review and investigation about key digital trends for FM, an online survey was designed and conducted, to collect qualitative and quantitative data from practice and academia. The survey drew nearly 4.000 responses from 104 countries. Industry data is segmented between service providers and end users. Based on an extensive database and selected indicators/indexes, a systematic analysis of individual technologies and stakeholder profiles was conducted. Results - Results provide data to illustrate differences in perception between end users and service providers for 25 selected technologies, identified on literature review. Representative indicators have been developed and applied to analyse the level of digital awareness, digital interest, use of technologies, and level of maturity of these technologies. Originality - The paper offers a comprehensive international analysis on digital trends in FM and gaps in awareness, usage, and interest between end users and service providers. Practical or social implications - Digitalisation in Facility Management industry has a very important development potential for business, and knowledge and awareness about digitalization trends is needed to foster its implementation and improve business opportunities. Type of paper Research paper","Facility Management; Digital Transformation; Digital Technologies; Service Providers; End Users","en","conference paper","","","","","","","","","","","","","",""
"uuid:e0a0595d-1c5d-4edd-b1a0-9e9dcd99ddc6","http://resolver.tudelft.nl/uuid:e0a0595d-1c5d-4edd-b1a0-9e9dcd99ddc6","The value of FM for a healthy urban environment; application of the FM Value Map to Urban FM","Wijnja, Jaap (Hanze University of Applied Sciences Groningen); Nijkamp, Jeannette (Hanze University of Applied Sciences Groningen)","","2022","Background and aim - Facility management is the art of facilitating the core process of a company, providing an inspiring and healthy environment for both employees and clients. When the perspective would be broadened from healthy buildings to healthy cities, what value could be added by an “Urban FM” approach, facilitating living in a city, striving to offer a healthy and inspiring urban environment? In 2010 the FM Value Map was introduced, offering a conceptual framework that can be used in general, to provide a better understanding of the value and contributions of FM to a business and its surroundings. The aim of this paper is to discuss if the FM Value Map can also be used as a conceptual framework to understand and explain how Urban FM can contribute to a healthy and inspiring urban environment. Methods - The FM Value Map was applied to the Molukkenpark, an urban park in a Groningen neighbourhood. To fill in the model, desk research was combined with the findings from interviews and attentive observations of phenomena related to facility management and management of the urban environment. Results - Insight into the applicability of the FM Value Map from an Urban FM perspective. Originality - This is the first time that the FM Value Map is tested concerning Urban FM. Practical or social implications - The results are relevant for the development of the (Urban) FM profession and the curricula of education in the fields of FM and the built environment. Type of paper - Research paper (short).","Urban management; Facility management; Urban facility management; Healthy cities; City Parks; Added value; FM Value Map","en","conference paper","","","","","","","","","","","","","",""
"uuid:4235dcc0-9414-4b50-ad78-b900504f402b","http://resolver.tudelft.nl/uuid:4235dcc0-9414-4b50-ad78-b900504f402b","BIM-based information model for the provision of the demandoriented facility management services janitorial cleaning","Wills, Nadine (Technische Hochschule Mittelhessen); Díaz, Joaquín (Technische Hochschule Mittelhessen)","","2022","Background and aim - Facility management (FM) refers to multidisciplinary activities pertaining to performance-based or results-based delivery. Although results-based delivery provides economic benefits, missing definitions of the demands on executing facility services (FS) present a challenge to contractors. Existing sensor-based systems for identifying the demand of FS require building-relevant information. Building information modeling (BIM) offers the possibility of creating FM-relevant information as early as the building planning phase. While not all data from the planning phase are relevant for executing FS, we aim to present a BIM-based information model for the sensor-based determination of executing FS. Methods / Methodology - This research focuses on the FS of janitorial cleaning. First, an analysis of contract specifications is conducted to identify demand orientation in tendering and awarding processes. Next, the information required for the execution of FS is defined, structured, and constituted as an information model. The model includes interfaces for implementing a sensor-based determination of executing FS. Results - The result is an information model containing all the required information to provide effective FS. The linking of the individual information structures of the model forms the basis of using sensorbased methods to determine the demands of FS demand-based-delivery. Practical or social implications - Information on the demand and location of a service provision is necessary to determine the requirements of FS as well as to commission, perform, and document activities. Different stakeholders can use the developed information model. By the model, the required information is already defined in the planning phase of a building, which minimizes the risk of information loss. Type of paper - Research paper (full).","janitorial cleaning; BIM; demand-oriented FM; soft facility service delivery","en","conference paper","","","","","","","","","","","","","",""
"uuid:49cd8030-329d-43f5-9040-b98ae5d5868c","http://resolver.tudelft.nl/uuid:49cd8030-329d-43f5-9040-b98ae5d5868c","Hybrid profiles for knowledge workers - flexible workplace and time","Nenonen, Suvi (University of Helsinki); Sankari, Inka (University of Helsinki)","","2022","Background and aim - As a result of Covid-19 pandemic many organizations have adopted more flexible and mobile working arrangements. Knowledge workers have been able to choose significantly more freely than before when and where they work, hybrid work has generalized. As organizations move into the post-pandemic period, they will re-evaluate what workplace solution serves their needs in the future. This paper aims to construct a framework for hybrid knowledge worker profiles. Methods / Methodology - The exploratory research process was conducted in two steps. Step one was a framework proposition about hybrid work profiles based on literature and step two included framework testing in eight workshops for 185 persons. Results - The identified eight hybrid work profiles are based on space and time used in fixed or flexible manner. The context of space and time varies from home-based to office-based network of places. The descriptive framework provides insights to the new user needs of hybrid work. Originality - The proposed framework builds on previous workplace user profiles related research and practice. It complements previous knowledge, particularly by focusing on understanding the different hybrid workplace user preferences. Practical or social implications -Hybrid knowledge work profile -classification can be used to identify the types and quantities of hybrid workers’ organizations must support the design and updating of the workplaces. Type of paper - Research paper (full).","higher education; Workplace development; user profiles; user preferences; hybrid work","en","conference paper","","","","","","","","","","","","","",""
"uuid:4b686b2d-ab96-492d-afae-9059f803aa70","http://resolver.tudelft.nl/uuid:4b686b2d-ab96-492d-afae-9059f803aa70","Principals and Suggestions for Sustainable Materials Management within Facility Management","Wissingh, Thomas (The Hague University of Applied Sciences); Kuijlenburg, Rachel (The Hague University of Applied Sciences); Joosstens, Frans (The Hague University of Applied Sciences); Poldner, Kim, (The Hague University of Applied Sciences); Mobach, Mark (Hanze University of Applied Sciences)","","2022","Background and aim - Many countries signed the Paris Agreement to mitigate global average temperature rise. In this context, Dutch government decided to realize a reduction of 50% using resources and raw materials in 2030. This paper explores how practice-based research into facility operations can contribute to this aim. Methods / Methodology - Practice-based research which includes direct observations, desk research, and participatory action research. Results - This explorative research presents principles and suggestions for facility managers and procurement managers on how they can embed sustainable materials management in the organisation and how to take control of waste. The proposed suggestions are derived from practice-based research and presented as topics of attention for facility professionals. Originality - Within education of Dutch universities of applied sciences and daily professional facility practices, the phenomenon of materials management is underexposed. To contribute to the national and international climate objectives, (future) facility professionals need better support to reduce waste. Bachelor students were involved throughout this research. This approach gave refreshing insights into waste at the end of the supply chain (control separation units) that can improve informed decisionmaking at the beginning of the supply chain. Practical or social implications - Facility management professionals have an important role to play in the mitigation of global average temperature rise, because of their leading role in procurement, service operations, and materials management. However, they struggle to find sustainable solutions. This paper seeks to inspire professionals with interventions that have proven effectiveness on the reduction of waste. Type of paper - Short research paper.","Circularity; Facility Management; Materials Management; Procurement; Sustainability; Supply Chain Management","en","conference paper","","","","","","","","","","","","","",""
"uuid:7001767d-0ba1-4aca-abd2-613ccb2f7456","http://resolver.tudelft.nl/uuid:7001767d-0ba1-4aca-abd2-613ccb2f7456","Beyond Satisfaction - Internal Service Barometer for measuring customer satisfaction","Bernhold, Torben (FH Münster); Wiesweg, Niklas (FH Münster); Löhmer, Thomas (KPMG AG Wirtschaftsprüfungsgesellschaft); Lill, Susanne (KPMG AG Wirtschaftsprüfungsgesellschaft)","","2022","Background and aim - The objective and research question is to measure the satisfaction of internal customers with various real estate services in the context of the operational utilization phase. Methods / Methodology - Methodologically, the study is based on the context-related application and use of the structural equation model for measuring internal customer satisfaction. In this context, a questionnaire-based study was carried out at KPMG Germany with over 2,800 respondents and the respective satisfaction levels along various service lines were measured and evaluated. Results - The results suggest a significant and clear causal relationship between internal service quality and internal customer satisfaction. The service quality - regardless of the service line considered in the real estate service area - is also significantly responsible for the internal recommendation rate. The results are thus able to measure the internal customer satisfaction of CREM services in all companies and internal organizational units and to include it as an integral component in the description and measurement of added value. The potential uses in the future are complex and range from the creation of a benchmark to the use within the framework of service level agreements and the linking of internal and external customer satisfaction. Practical or social implications - The ""internal"" customer satisfaction is mostly a direct part of the target system of a CREM organization. Against this background, every CREM can use the basic structure of the model to measure, evaluate and optimize satisfaction - as a component of the CREM added value. Type of paper - Full research paper.","facility services; Structural equation; internal service barometer; customer satisfaction","en","conference paper","","","","","","","","","","","","","",""
"uuid:5cf5edc0-b075-4f63-a287-3ae7139a95ea","http://resolver.tudelft.nl/uuid:5cf5edc0-b075-4f63-a287-3ae7139a95ea","The role of FM post pandemic: Delivering employee experience and meeting business needs","Fenton-Jarvis, Simone (Relogix); Bull, Mel (Nottingham Business School)","","2022","Background and aim - This paper reviews the engagement in a case study organisation adapting to hybrid working to determine the post pandemic role of FM and to understand how FM can drive and be a key stakeholder in the cocreation of the human-centric workplace. Methods / Methodology - The study was carried out using an action research strategy with a mixed methods approach, which included use of observations, semi-structured interviews, workshops and employee pulse surveys at regular intervals over 11 months. The study engaged a range of employees, from junior leadership to board level executives who were part of the roll-out of a new way of working project. Results - The research data suggests there is not a ‘one size fits all’ approach to ways of working for an organisation. The research highlights the importance of FM becoming the strategic leader for employeeled change to create a human-centric experience in the workplace; underpinned by engagement with employees, HR, IT, and senior management teams. Originality - A focus on the use of human-centric leadership and the role FM can play in terms of the employee experience. This study is grounded within both academic theory and practical experience. Practical or social implications - The implication of the research is highlighting the importance of the ‘workplace’ approach to change, encapsulating people, space, technology, and process with FM as a key stakeholder offering ‘the voice of the people’ and the operational underpinning required for the humancentric workplace to be achievable. Type of paper - Research paper (full).","workplace; Employee experience; FM leadership; hybrid working; human-centric","en","conference paper","","","","","","","","","","","","","",""
"uuid:9ec17409-1e47-4152-8819-03fc056ee0a9","http://resolver.tudelft.nl/uuid:9ec17409-1e47-4152-8819-03fc056ee0a9","Toward a Croatian utility registration implementation model based on LADM","Dželalija, Grgo; Roić, Miodrag","","2022","This paper reviews approaches to utility registration and analyzes the Croatian utility cadaster and utility registration regulations. In Croatia, utility networks are registered in the national utility cadaster administered by State Geodetic Administration, with private companies obliged to send reports on all changes to the utility networks that they manage. The Croatian utility cadaster, besides data about managers and geometry of utility networks, registers various technical data about physical utilities. This paper then analyzes the land administration domain model (LADM) and LandInfra standards and their abilities to model both legal and physical features of utility networks that need to be registered in the Croatian utility cadaster. As some jurisdictions look on utility networks as separate legal objects that can be owned or leased, possibilities to model all attributes needed in the Croatian utility cadaster with an LADM-based model was further investigated. Finally, the paper proposes a utility registration implementation model based on LADM that can describe both physical and legal features of utility networks.","Conceptual modelling; Utility registration; Utility cadaster; Land Administration Domain Model","en","conference paper","","","","","","","","","","","","","",""
"uuid:1dcccbbd-bcc3-42df-9a55-b29817b0665e","http://resolver.tudelft.nl/uuid:1dcccbbd-bcc3-42df-9a55-b29817b0665e","Refining legal Land Administration-related aspects in LADM","Kalogianni, Eftychia; Kara, Abdullah; Beck, Anthony; Paasch, Jesper M.; Zevenbergen, Jaap; Dimopoulou, Efi; Kitsakis, Dimitrios; van Oosterom, Peter; Lemmen, Christiaan","","2022","Among the topics that are introduced and/ or being refined in the context of the revision of the LADM 19152:2012, the legal Land Administration-related aspects are being investigated. With the knowledge and the experience from the developments of the LADM so far, and the ongoing discussion between the parties involved in the standardisation process, the need to clarify certain legal land-related aspects and to examine the alternatives for further refinement is highlighted. Therefore, this paper presents prior work on LADM-related legal aspects since the vote of LADM as ISO standard (2012), till the time of the preparation of this paper (2021) to report the necessary background for this research. This concerns the developments related to the various legal refinements that have been proposed during this time period and specifically the refinement of the legal profiles, the LADM functional support to representation of both statutory and customary tenure and the work regarding the explicit definition of restrictions that arise from Public Law. Moreover, this paper focuses on documenting the proposals on a refined legal model for the LADM Edition II. These include the following: (a) more detailed classification of RRRs, based on the two major types of interests in land: privately agreed interests as well as regulations imposed by a public agency and Public Law restrictions. The paper also includes (b) a discussion on the extent that LADM Edition I provides efficient support for the title and deed registration systems (as others e.g. in socialist environment), as well as (c) a discussion on how restrictions and responsibilities can be modelled as rights’ relationships between an owning and a benefitting Party.","Code lists; Land administration; LADM; ISO 19152; Land rights; Restrictions; Titles; Deeds","en","conference paper","","","","","","","","","","","","","",""
"uuid:25390d37-0350-449d-b8bf-c951de49de75","http://resolver.tudelft.nl/uuid:25390d37-0350-449d-b8bf-c951de49de75","Towards Investigation of Integrating LADM, BIM, and CityGML of 3D Condominium Rights for Cadastral Purposes: The Case of Turkish Cadastral System","Gürsoy Sürmeneli, Hicret; Alkan, Mehmet; Koeva, Mila","","2022","Four basic concepts form the basis of a modern land management system: Land Tenure, Land Value, Land Use, and Land Development. Cadastral studies are seen as the cornerstone of these four basic concepts. Especially, complex buildings located underground and above ground form the basis of 3D cadastral studies. In addition, international research has been conducted on developing future cadastral systems due to the increasing pressure on urban land use by locating various complex structures (multi-storey buildings, tunnels, subway networks, bridges, etc.) above and below ground. In light of these studies, a 3D cadastre is defined as a system in which owners' rights, restrictions, responsibilities correspond to advanced policies and standards. In this sense, having a well-formed and sustainable 3D cadastre will benefit many other applications such as urban planning, real estate valuation, construction activities. Nevertheless, the same objects are created in different data standards and formats for different applications. Thus, data exchange between different institutions and disciplines becomes difficult. In this sense, the open data standards (e.g. CityGML and Industry Foundation Classes (IFC)) have a vital role in enabling interoperability between different domains such as Architecture, Engineering, and Construction (AEC). Besides, Land Administration (LA) Standards representing legal and physical attributes such as LADM, CityGML and, IFC have been developed especially for buildings, which are the main objects of the 3D cadastre system. While LADM represents the legal side of cadastral objects, CityGML and IFC are not as successful as LADM in representing Rights, Restrictions, and Responsibilities (RRR) between real estate and its owner cadastral system. Therefore, the scope of CityGML, IFC and, LADM are slightly different concepts of representing building elements, but they could also be related to each other, primarily representing 3D condominium rights in the 3D cadastral applications. Unlike LADM, IFC and, CityGML are used to model physical objects. In particular, BIM applications focus on all building elements and technical details of the building at the scale of a building, while CityGML is used for a 3D city model or larger-scale applications. In this context, this paper aims to show the current situation and opportunities to efficaciously benefit from open data standards for the 3D condominium rights scope of the 3D cadastre. The examination of the paper concerns the cases for 3D condominium rights in the Turkish cadastral system. As a result, the study shows that the integration of LADM, CityGML, and the IFC schema model of condominium rights can benefit the general framework to transition to 3D LAS in Turkey.","CityGML; 3D Cadastre; LADM; BIM/IFC","en","conference paper","","","","","","","","","","","","","",""
"uuid:f63f328e-b9d3-4a27-b032-12ff2e95a513","http://resolver.tudelft.nl/uuid:f63f328e-b9d3-4a27-b032-12ff2e95a513","The effect of indefeasibility and error correction on the registration process","Beck, Anthony; Moss, Duncan","","2022","Conventional wisdom indicates that there are two forms of register: a Register of Title and a Register of Deeds. However, we agree with Zevenbergen & Ploeger (2019, p. 7) when they state that in reality most systems are neither purely deeds or Title based and lie on a spectrum between the two poles. As binary concepts, the terms Title and Deed register are poorly suited at representing the reality of this spectrum. We recognise that registers have a need to represent both state and state change, and would argue that a Registrars stance on indefeasibility and error correction is a more nuanced way to both classify and understand registration systems. We argue that the issue is not about whether a jurisdication operates a Deed register or a Title register, rather, we hypothesise that the critical issue is where a jurisdiction places good root of Title: the point in the chain of deeds between the original grant and the current transaction at which the jurisdication deems the rights to be indefeasible. We have called this the point of indefeasibility. Any errors in the deeds prior to the point of indefeasibility are termed register errors and as such can not be corrected. Any errors after the point of indefeasibility are termed transactional errors and as such can be corrected under the general law of property. Traditional Registers of Deeds place the point of indefeasibility at the original grant. This means the whole chain of deeds must be verified for every transaction. This is a costly overhead. Registers of Title place the point of indefeasibility at the last transaction, the opposite end of the spectrum. This severely limits the ability to correct errors. Hence, Registers of Deeds and Registers of Title represent poles on a spectrum of indefeasibility. Based on its own needs a jurisdiction can choose where to place the point of good root of title in the deeds sequence. This produces a hybrid approach which requires elements of both deed and title registers. This is exactly what occurs in Scots law. Scotland places the point of indefeasibility prior to the last transaction and introduces a statutory time limit. This allows the last transaction to be corrected if there is a transactional error. It also provides the acquirer with a sense of certainty as, apart from their own transaction, any errors on the register will become register errors and not affect their possession. If, for example, a claim for a fraudulent transfer was undertaken for a deed that was registered prior to the point of indefeasibility the successful claimant will receive compensation and not the property. Combining elements of a deed and title register can produce remarkably flexible results. The ability to demonstrate good root of title is simplified while preserving broad ranging powers to correct errors. It protects owners and acquirers against errors in a manner which is perceived to be socially acceptable. O’Connor (2010, p. 197) states that ""In some of these jurisdictions, statutes which had long been assumed to incorporate either immediate or deferred indefeasibility have been judicially interpreted to embody the opposite rule"". If law makers can not accurately predict the type of indefeasibility their laws represent then there is significant legal uncertainty. In the proposed model a jurisdiction can frame whether it becomes a register of deeds, a register of title or an in-between hybrid based solely on a policy decision concerning the position of the point of indefeasibility. This provides some resilience to the issues identified by O’Connor (2010, p. 197). This paper provides structured arguments for this approach which, by necessity, requires a detailed introduction to Deeds and Title registration systems. Our work is substantially influenced by the seminal review of the Torrens system by Professor Mapp (1978) and the approach to Land Registration adopted in Scotland (LRSA, 2012; Reid & Gretton, 2017; Reid, 2020).","Error; LADM; Registration; Correction; Title; Deeds","en","conference paper","","","","","","","","","","","","","",""
"uuid:d745bc28-1031-4f3b-b2a3-d7da69b7aaf1","http://resolver.tudelft.nl/uuid:d745bc28-1031-4f3b-b2a3-d7da69b7aaf1","Development of an LADM-based conceptual data model for 3D underground land administration in Victoria","Saeidian, Bahram; Rajabifard, Abbas; Atazadeh, Behnam; Kalantari, Mohsen","","2022","Currently, many cities around the world use underground space for different applications such as tunnels, utility networks, parking, walkways, and shopping malls. Due to the increasing use of underground areas, management of this space is very important for decision-makers and stakeholders. A 3D Underground Land Administration (ULA) data model has an underpinning role in the management of spatial and semantic information of underground physical structures (physical aspects) as well as the ownership attributes and the extent of legal spaces in underground (legal aspects). Current data models focus on either physical or legal aspects and are mostly based on 2D approaches. The Land Administration Domain Model (LADM), as an ISO standard (ISO 19152), is a prominent legal 3D model adopted for land administration. Several studies and countries have used this data model for land administration purposes. However, LADM has not been fully implemented for modelling underground assets. In addition, it does not consider the physical aspects of underground objects. Physical structures have significant roles in defining the ownership extent of underground assets in some jurisdictions such as Victoria, Australia. On the other hand, LADM-based data models developed by different studies are based on the current requirements and legislative of different jurisdictions. Although these solutions can be helpful, a comprehensive underground data model customised for Victoria is needed. This research aims to develop an LADM-based conceptual data model for 3D ULA to enable integrated management of underground assets by interlinking legal and physical aspects. It is based on the requirements and legislative of Victoria jurisdiction. These requirements include underground legal objects and boundaries and underground physical objects. The data model developed in this study is one of the first and crucial steps to enable 3D digital management of underground rights, restrictions and responsibilities (RRRs) in Victoria.","Victoria; Underground land administration; 3D cadastral data model; LADM; Underground space management","en","conference paper","","","","","","","","","","","","","",""
"uuid:b0724d54-822e-433a-8104-f7016374575d","http://resolver.tudelft.nl/uuid:b0724d54-822e-433a-8104-f7016374575d","Increasing FAIRness by sustainable modelling of interactions of parties with land administration systems","Vranić, Saša; Matijević, Hrvoje; Roić, Miodrag; Cetl, Vlado","","2022","In recent years, mobile and web applications are being used extensively and availability of data, including geospatial data has increased dramatically. With the outbreak of Covid-19 this was emphasised even more. The emphasis from large IT systems has shifted towards modular service-oriented systems. This allows easier upgrading and adding of specific components. OGC standards have been available since early 2000s with aim to provide a common base for the dissemination of geospatial data. These standards are mostly depending on XML format to provide data and metadata. In the current technological stack, XML became unsuitable, too complex to handle by various clients (mobile/web applications, various devices). That has been addressed by OGC as well and in 2018 activities on the new set of OGC API standards has started. The overall of these standards follows the overall aim of OGC, to make geospatial data FAIR (Findable, Accessible, Interoperable and Reusable). Land Administration System (LAS) data also have a geospatial component and have been extensively using OGC standards for dissemination. Land Administration Domain Model (LADM) provides a common conceptual model for modelling LAS. LADM is being revised and the second edition having a wider scope by adding support for modelling marine spaces, land valuation and spatial planning. It also brings changes on existing classes related to land registration to address issues recognized by a wide range of scientists and practitioners involved in LADM. However, LADM is missing support for modelling various interactions that are available in the current technological environment. Nowadays LAS data are usually published via web applications (geoportals) where users can in an interactive manner browse LAS data. LADM supports modelling only formal procedures such as registering a building, splitting a parcel, retrieving a certificate (map, ownership). This paper is focused on a standard-based implementations of interactions of people to LAS data and explores options to make land administration processes and data FAIR. We first define a hierarchical organization of interactions of parties with LAS. Then, we integrate the concept of LADM into the current version of LADM Edition II and show how existing formal processes and LADM classes LA_Source and VersionedObject are integrated with interactions. At the end, we present how these interactions fit into the concepts defined by OGC API standards. Also, to prove feasibility of developed concept, we give an example of implementation with open-source library pygeoapi which is an OGC API Reference Implementation.","Interaction; LADM; FAIR; OGC API; Process","en","conference paper","","","","","","","","","","","","","",""
"uuid:5af28bb8-ab49-4ec5-bf9e-e1c3639215a8","http://resolver.tudelft.nl/uuid:5af28bb8-ab49-4ec5-bf9e-e1c3639215a8","Mapping ArcGIS Parcel Fabric to LADM - Commonalities, Gaps and Implementation","Bar-Maor, Amir","","2022","Esri's ArcGIS second generation of the parcel fabric was designed with LADM in mind. Unlike LADM which is conceptual, the parcel fabric information model is a physical information model. The parcel fabric is designed to meet the industry needs for the next 15-20 years. This paper will map the parcel fabric to LADM, use LADM abstract test suite for conformance and identify the gaps with the parcel fabric as well as LADM. A key driver for the parcel fabric was to make it as easy as possible to implement: easy data migration, extendibility, configuration over customization, built-in configurable quality control, great defaults for layer symbology & labeling, and configurable workflows to reduce the learning curve and promote best practice. The implementation pattern will be examined in the context of LADM. Another key aspect is a service-oriented architecture and the use of web services to expose the information model, metadata, and capabilities.","Implementation; LADM; Parcel Fabric; ArcGIS; SOA; Conformance","en","conference paper","","","","","","","","","","","","","",""
"uuid:cdb21f36-8ddb-43d1-abde-c50c756a8876","http://resolver.tudelft.nl/uuid:cdb21f36-8ddb-43d1-abde-c50c756a8876","The use of LADM primitives and structured indexing to support automated registration using submitted applications","Beck, Anthony","","2022","The Land Administration Domain Model (LADM (ISO TC/211, 2012)) is a conceptual model which supports the modelling of social relations with land articulated through rights. There are three principal concepts within LADM: the party (the who) that has a rights relationship (the what) with a plot of land (the where). As an abstraction the party-right-land model makes it easy to conceptualise the state of real rights (all real rights are rights in land). It is simple to model who owns a piece of land and, using a spatial index, determine who holds rights which encumber all, or part, of the same land. When the land identifier (index) is used as a proxy for a party it is also easy to identify what rights benefit the owned land based on a party index. As such it is also reasonably obvious that a party-right-land model is closely related to a Title. A deed registerable in a Land Register is a legal instrument that represents a real rights state change. A deed describes real right transactions that result in the creation, variation or discharge of party-right-land relationships. Henssen (1995, p. 7) describes four general principles that underpin transactions within Land Registers. Critical for automated transactions is the specificity principle: the principle that the transactional party-right-land components must be unambiguously identified. Party verification and designation during registration allows us to uniquely identify the who. The formalised sub-set of registerable rights (numerus clausus) makes it easy to identify the what. The where is the cadastral unit that uniquely identifies each owned plot of land and the associated spatial representation in the cadastral map. The specificity principle means that the Registrar can unambiguously identify the party-right-land relationship which is to change either explicitly or by reference to the corresponding deed in the deed chain. Zevenbergen & Ploeger (2019, p. 4); Palmer (1996, p. 63) both argue for the explicit inclusion of parcel-based (cadastral) registers to improve the rigour of Deeds based registration systems. Once the party-right-land relationship has been unambiguously identified then the nature of the transactional change can be articulated. Owners can vary their ownership in terms of a party, right or land dimension. A party variation allows an owner to transfer (sell) all or part of their property. All such transfers are in personam - the variation specifically identifies the third party grantee who benefits from the rights transfer. A rights variation allows an owner to alienate rights (such as access) which can then be transferred to third parties. A land variation allows an owner to split their ownership in to multiple spatial parts some of which can then be transferred to third parties. In this manner a deed represents a party-right-land state change. This paper considers automation of registration based on LADM primitives. To automate registration the deed should be delivered in such a manner that enables the state change articulated in a deed to be digitally enacted on the register without human intervention. It will initially consider the nature of deeds as conveyancing transactions and how these deeds are submitted as an application to the Registrar to change the state of records in the Land Register. The application is validated by the Registrar to ensure that it is suitable for registration (the validation process will not be discussed in this paper). If not rejected then the submitted deed is registerable. Key to the approach is how an application can be used to frame both the legal instrument (the deed) and the database update that allows the deed to be registered in the Land Register. The registration process takes the state change articulated in the deed and either records or registers the change as appropriate. This requires the extraction of the key registrable content in the deed. In this example the extraction problem is solved by articulating the key registrable content in the application and using the application to create the deed and register the state change. This means that the application becomes the vehicle that creates the deed, as well as the vehicle that delivers the deed to the Registrar. By necessity the semantics surrounding registration will become highly formalised to provide complete and flexible registration capability. If implemented, this approach may require a change to any supporting real property registration legislation. In this paper we will demonstrate this process and provide an exemplar during a live presentation. This paper represents significant thinking that has occurred over a number of years. I would like to thank a number of colleagues at Registers of Scotland and Ordnance Survey for their guidance, support and patience over this period. This includes: Laura Alderson, Hillary Brownlie, Drew Clancey, Michael Hill, Jon Hodge, Alan Howie, Chris Kerr, Alasdair MacCormick, Chris McDermot, Duncan Moss, Chris Muir, Iain Porter, Alastair Reid, Keith Robertson, & Dave Stow.","Change; LADM; Automated registration; Indexing; Titles; Deeds; Applications; State","en","conference paper","","","","","","","","","","","","","",""
"uuid:b39ee985-b632-4e0e-ad65-ea095ecf9efa","http://resolver.tudelft.nl/uuid:b39ee985-b632-4e0e-ad65-ea095ecf9efa","LADM patterns to support the efficient modelling of Cooperative (Community and Strata) Titles for Land Registers","Beck, Anthony; Moss, Duncan","","2022","In many jurisdictions sub-ownership rights can be separated from the main body of ownership. In Scotland these are referred to as separate tenements and encompass such ownership rights as minerals, salmon fishing, mines of gold and silver, and petroleum (Reid et al., 1996, pp. 168-171). The main body of ownership may be further encumbered with ancillary rights (such as access) to ensure that holders of sub-ownership rights can effectively enjoy the right they hold. However, these further encumbrances are, in general, not seen as excessively onerous by owners of the main body of ownership. Separated ownership rights, as thus described, have been successfully used in a number of jurisdictions for centuries. Strata (airspace subdivided by reference to structures built in that air) is another form of separated ownership used to define flats in a flatted (shared) building. Ownership within shared buildings requires the division of a building into individually owned property (strata (airspace)) and collectively owned (shared) common property, governed by a set of rules. Owners of flats have interdependent community cooperative rights relationships with other members of the flatted building. These relationships are significantly more complex and onerous than rights required to support other separate tenements (as described above). Similar ownership relationships can be modelled where there is no flatted building, but there are facilities, for example common landscaped areas, that are shared between owners. Hence, a distinction can be made between vertical and horizontal subdivision. The term 'strata title' can be used for vertical subdivisions representing apartment buildings. The term 'community title' can be used for horizontal subdivisions representing planned communities (Sherry, 2016, p. 4). In this paper we refer collectively to horizontal and vertical ownership as cooperative ownership. While 'cooperative ownership' can represent different forms of ownership types, they have relationships with shared property (lifts, stairwells, halls, car park, roof and surrounding land) that usually require maintenance. Where orthodox property law is not adequate for describing community ownership these jurisdictions have created additional property law to allow such community titles. Such laws provide rules outlining how separate owners should be granted rights over shared property. Critically, such laws tend to involve the establishment of a corporate body (housing associations, or their equivalents) with powers to create 'positive obligations' (Sherry, 2016, pp. 9-15). Praedial covenants, which bind all subsequent owners to the conditions of a contract, are used to express these 'positive obligations' which allow the corporate body to levy and administer the necessary fees and funds. In this manner maintenance costs for the entire building or complex can be imposed on successive owners. The Land Administration Domain Model (LADM (ISO TC/211, 2012)) is a conceptual model which supports the modelling of social relations with land articulated through rights. There are three principal concepts within LADM: the party (the who) that has a rights relationship (the what) with a plot of land (the where). Community ownership titling introduces a range of specialised patterns within LADM as described by Sherry (2016) and van der Merwe (2002), including: • Shared praedial ownership of common areas, • Praedial inheritance - the chaining of praedial ownership, • The ability of corporate bodies to restrict rights across the community. − Potentially establishing a localised fiefdom where reserved rights have an in rem effect and are 'good against the world'. This paper will introduce these topics, and introduce registration patterns based on top-level party-right-land primitives. Exemplars are provided in the paper supported by a live presentation which simulates an automated registration process.","Praedial rights; LADM; Community; Strata; Cooperative","en","conference paper","","","","","","","","","","","","","",""
"uuid:c0497413-5a0e-4f94-85d7-c3bc79a60d67","http://resolver.tudelft.nl/uuid:c0497413-5a0e-4f94-85d7-c3bc79a60d67","Developing a Hohfeldian theory of Real Rights to support LADM modelling","Beck, Anthony","","2022","The Land Administration Domain Model (LADM (ISO TC/211, 2012)) is a conceptual model which supports the modelling of social relations with land articulated through rights. There are three principal concepts within LADM: the party (the who) that has a rights relationship (the what) with a plot of land (the where). A party-right-land model by definition frames rights as property interests in terms of the right holders relationship with land. In most cases this relationship is interpreted to simply mean ownership. According to Williamson et al. (2010, pp. 88-89) ""A right is not a relationship between an owner and land. It is a relationship between an owner and others in relation to land, backed up by the state in the case of legal rights. This duality of owners and others is also present in restrictions and responsibilities affecting landowners and users. Each restriction/responsibility involves a duality that imposes obligations on owners in relation to the land for the benefit of others."" This aligns with Hohfeldian rights theory. Hohfeld (1917) described rights in terms of their associated privileges, claims, powers, and immunities (see also Hjelmblom et al. (2019, pp. 37-38)). Whilst LADM allows such relationships to be expressed, this is not clearly articulated in the standard (Hjelmblom et al. (2019, p. 52) provide an excellent overview of these issues). This is exacerbated by restrictions and responsibilities which frame rights relationships in terms of owned land rather than relationships between parties. This paper will present a theory of real rights to support LADM modelling. Each jurisdiction creates a set of ownership and non-ownership rights which are recognised by the state and legally formalised. This is numerus clausus: the limited number of basic land and property rights recognised by the jurisdiction. The jurisdiction can reserve some of these rights either directly as alienated ownership (reserved property: e.g. minerals and petrochemicals) or indirectly by providing itself with powers to alienate non-ownership rights (which become a Hohfeldian 'duty' and a restrictive overriding interest to all affected owners). Third parties can permanently or temporarily hold these rights through an express grant, permit, or licence. These reserved rights, in common with ownership in general, are rights in rem - the rights are good against the world; there is no need to enumerate the duty owing parties for the rights to have affect. The remaining rights are bundled in to a conventional property ownership concept. This concept provides immunities and powers to a right holder. The most important immunity for an owner is nemo dat: 'you can not sell what you do not own'. Powers allow the holder to vary or discharge rights. Owners can vary their ownership in terms of a party, right or land dimension. A party variation allows an owner to transfer (sell) all or part of their property. All such transfers are in personam - the legal instrument which describes the variation Anthony Back Developing a Hohfeldian Theory of Real Rights to Support LADM Modelling 10th International FIG workshop on the Land Administration Domain Model 31 March - 2 April 2022, Dubrovnik, Croatia 236 specifically identifies the third party grantee who benefits from the rights transfer. A rights variation allows an owner to alienate rights (such as access) which can then be transferred to third parties. A land variation allows an owner to split their ownership in to multiple spatial parts some of which can then be transferred to third parties. These powers are undertaken through the national conveyancing process supported by legal instruments (such as deeds) which legally frame the transactional change. The transactional legal instruments will be submitted for registration in the national Land Register. By considering rights, and their associated Hohfeldian attributes, we will describe how generic LADM primitives can be used to articulate the rights duality demanded by Williamson et al. (2010, pp. 88-89). Party and spatial indexing are critical in articulating such relationships. This allows restrictions and responsibilities to be modelled in terms of their Hohfeldian incidents in a generic manner that more closely aligns with legal theory. This paper represents significant thinking that has occurred over a number of years. I would particularly like to thank Alan Howie and Jon Hodge at Registers of Scotland: our conversations on this topic have been crucial to the development of this paper.","Legal model; LADM; Rights; Responsibilities; Restrictions","en","conference paper","","","","","","","","","","","","","",""
"uuid:d3cae2db-f94b-4ff6-a772-29407d7b2f19","http://resolver.tudelft.nl/uuid:d3cae2db-f94b-4ff6-a772-29407d7b2f19","Evaluation of the International 3D Geospatial Data Models and IFC Standard for Implementing an LADM-based 3D Digital Cadastre","Atazadeh, Behnam; Olfat, Hamed; Rajabifard, Abbas; Saeidian, Bahram","","2022","Land Administration Domain Model (LADM) is an international standard for defining both semantic and spatial information connected with rights, restrictions, and responsibilities (RRRs) that affect land, water, built assets, natural resources, underground spaces, and airspaces. Since LADM is currently a conceptual land administration model, one of the main goals for the new version of this standard is to develop technical encodings. These technical encodings would be useful for adopting the LADM in different applications related to land administration. Therefore, the conceptual schema of LADM standard can be implemented in different and varying ways depending on the implementation requirements. The aim of this paper is to evaluate current standards used widely in the domains of geospatial information systems (GIS) and building information modelling (BIM) in terms of their capabilities to serve as an LADM-based technical encoding for 3D digital cadastre implementation. Some of these standards are CityGML, Industry Foundation Classes (IFC), IndoorGML, and LandInfra/InfraGML. There should be a specific use case for each implementation model or technical encoding. For example, a BIM-based implementation of the LADM standard can be useful for 3D digital lodgement of cadastral data when dealing with individual building and property subdivisions. LADM data encoded within a BIM model would be useful during planning, certification, and registration of a new complex subdivision, especially within built environments. In addition, LanInfra/InfraGML can provide another encoding option for 3D digital land registration. More specifically, LanfInfra/InfraGML supports surveying elements which are not well supported in IFC, CityGML and IndoorGML standards. Another option is CityGML technical encoding that can be effective for producing 3D digital property maps for an entire jurisdiction. Current property maps only depict 2D land parcels and ignore spatial and ownership dimensions of vertically placed assets, such as apartments, tunnels, subterranean retail malls, car parks, and utility networks. Developing a CityGML encoding for LADM would be considered a significant milestone towards realising 3D property maps that can provide a fully-integrated representation of underground and aboveground RRRs. Finally, IndoorGML is also another technical encoding which may not an appropriate option for 3D digital cadastre, but it can enable the use of LADM data for lawful indoor navigation. The main contribution of this study is to identify the possible technical encodings for the LADM standard and how various spatial and semantic entities within each encoding can be used to model the equivalent concepts defined in the LADM standard. This would provide guidelines for implementing the conceptual model of LADM using a specific 3D geospatial or BIM standard.","InfraGML; LADM; CityGML; IFC; IndoorGML; LandInfra","en","conference paper","","","","","","","","","","","","","",""
"uuid:7fcb74e1-59ea-4dfd-a46b-f74344567109","http://resolver.tudelft.nl/uuid:7fcb74e1-59ea-4dfd-a46b-f74344567109","Formalisation of code lists and their values – The case of ISO 19152 Land Administration Domain Model","Kara, Abdullah; Rowland, Alexandra; van Oosterom, Peter; Stubkjær, Erik; Çağdaş, Volkan; Folmer, Erwin; Lemmen, Christiaan; Quak, Wilko; Meggiolaro, Laura","","2022","A code list in Unified Modeling Language (UML), a simple list of values without any structure, can be employed as a simple data type to further capture the semantics of a domain. The code list values as used in international standards (e.g. ISO and OGC) are generally presented without definition, reference to the source of a definition, multi-lingual alternative term support and semantic relationships (e.g. hierarchical, associative). Moreover, managing, implementing, and maintaining UML code lists can be considered as a difficult task since they generally do not provide structured and semantically enriched values. This is also true in the case of ISO 19152:2012 Land Administration Domain Model (LADM), which is currently under systematic review and adding more content, meaning and structure to code list values could be considered an improvement. In last decade, there is a growing interest in representing terms as well as code list values using Semantic Web technologies (e.g. RDF, OWL, SKOS, SPARQL) and making them available on a registry (e.g. ISO/TC211 Geolexica, OGC Definitions Server, INSPIRE code list register, BARTOC), including land administration domain (e.g. CaLAThe, LandVoc). However, there is no joint understanding in structuring, extending and maintaining code list values, which may be achieved through an agreed metamodel. Such a metamodel should also provide insight into content, localisation (multi-lingual support), versioning and implementation. The aim of this study is to propose a framework (basically a metamodel) for structuring, extending, maintaining and implementing semantically enriched code lists, and to discuss the application of the proposed framework to be included in the revision of LADM. To achieve this aim, the requirements for refined code list values are firstly collected considering existing thesauri, vocabularies and standards. Subsequently, a metamodel is proposed for the refined code list. The proposed metamodel is applied to a code list of LADM as well as a part of a selected country profile.","LADM; Code list; Formalisation; Metamodel; Semantic web; ISO 19152","en","conference paper","","","","","","","","","","","","","",""
"uuid:a604a23a-5658-4c4f-a052-20980fcd4554","http://resolver.tudelft.nl/uuid:a604a23a-5658-4c4f-a052-20980fcd4554","Investigating the requirements for the ISO 19152 LADM survey encodings","Kalogianni, Eftychia; Gruler, Hans-Christoph; Bar Maor, Amir; Harold, Bruce; Lemmon, Tim; Lemmen, Christiaan; van Oosterom, Peter","","2022","The ISO 19152:2012 Land Administration Domain Model (LADM), focuses on standardised modelling of land information at the conceptual level, and together with LADM’s three main packages, it has a dedicated sub package for Spatial and Surveying representation. The first edition of the standard provides multiple spatial representations, and a rather generic survey model based on the ISO 19156:2011 Observations and Measurement Standard (O&M). As an ISO standard, the LADM is subject to periodic revision, and currently, its revision is ongoing and among other refinements, the enhanced support of the surveying model, both at conceptual and implementation level is expected. In this scene, in order for LADM to support a broad range in surveying and data acquisition approaches and accuracies, a refinement is ongoing considering the recent evolution of technology and the encodings used in practice. Therefore, a refined survey model has been prepared and is included at the New Working Item Proposal for LADM Edition II – Part 2, with various data acquisition techniques, as presented in this paper. Based on it and on the experience and requirements from the industry and the standardization organisations, this paper presents the requirements that encoding formats should fulfill to support the revised surveying model.","Standardisation; LADM; ISO; OGC; Surveying; Encodings; Interoperability","en","conference paper","","","","","","","","","","","","","",""
"uuid:606f4a8f-88c5-456a-b709-7f8262a59271","http://resolver.tudelft.nl/uuid:606f4a8f-88c5-456a-b709-7f8262a59271","LADM Valuation Information Model Compliant Prototype for Visualisation and Dissemination of 3D Valuation Units and Groups","Kara, Abdullah; van Oosterom, Peter; Kathmann, Ruud; Ilgar, Azer; Lemmen, Christiaan","","2022","The interest in using 3D data in property valuation has been increasing during the last decade. The usage of 3D data models in valuation can be basically grouped in two main categories: (a) supporting mathematical models with variables produced through 3D analyses (e.g. view) in order to better estimate the values of properties and (b) consuming 3D data models to visualise valuation units in 3D and disseminate values of properties associated with the visualised units (legal or physical space). The current paper focuses on the latter category in particular. The main purpose of this paper is to develop a prototype system utilising the proposed Valuation Information Model extension of ISO 19152 Land Administration Domain Model (LADM_VM) compliant dataset in order to create web-based, thematic valuation maps for 3D valuation units (e.g. condominium) and groups (e.g. multi-occupied building). In the first part of the paper, it is attempted to justify why an extension to the core LADM is required to represent valuation information. It is noted that LADM_VM enables to record 3D spaces of valuation units, and input and output data of 3D analyses. Therefore, LADM_VM can be used as basis for developing 3D visualisation and dissemination prototype. In the second part of the paper, special attention will be given to the 3D visualisation and dissemination of spatial, thematic and temporal characteristics of valuation information and a prototype is developed using the open datasets of the Netherlands. It is expected that the outputs of this paper will contribute to the development of local or national prototype systems for sharing valuation information effectively and efficiently. Therefore, it may be considered that the outputs of the paper not supports in increasing the communication level with public, but also supports politicians and planners in decision-making processes and helping them to understand the property market better. With the proposed system (prototype) the trust in the valuation is expected to further increase due to the high level of transparency.","3D visualization, Dissemination; Property valuation; ISO 19152 Land Administration Domain Model (LADM); Valuation Information Model","en","conference paper","","","","","","","","","","","","","",""
"uuid:2d20b31b-d9c8-4696-a333-6f52d42833ab","http://resolver.tudelft.nl/uuid:2d20b31b-d9c8-4696-a333-6f52d42833ab","Web Visualization of 3D Strata Objects based on CityJSON and LADM","Mohd Hanafi, Faraliyana; Hassan, Muhammad Imzan; Rashidan, Hanis; Abdul Rahman, Alias","","2022","Owing to population growth in Malaysia, there have been significant stratified subsurface and aboveground developments, particularly in densely populated areas, posing a challenge to the current land administration system and the urge to constantly improve. Land Administration Domain Model (LADM) provides a relatively generic spatial representation model for a variety of spatial units, such as 2D land parcels and 3D legal spaces around structures and utilities. As a result of standardization, the LADM data model becomes more flexible, making spatial data management easier. On top of that, 2D-based cadastral systems experience challenges when it comes to documenting, handling, and visualizing the spatial extent of vertically stratified cadastral spaces. 3D strata object visualization is typically represented using third-party software that requires professionals and limits the number of users of cadastre data. The necessity for a 3D visualization platform has been realized by many researchers. A number of 3D Cadastral prototypes have been developed in several countries, including Australia, Russia, and Indonesia. Moreover, 3D visualization improves user communication, decision-making, and the management and facilitation of land information systems. This paper attempts to expand the depiction of those strata objects in 3D by implementing CityJSON based encoding. The focus is mainly on the visualization of the 3D strata parcels above ground, and CityJSON data format for 3D spatial data modelling, and to link the 3D Strata Viewer with the database (legal information) of the 3D parcel using a web-based platform based on LADM Country Profile. This paper describes the implementation of the conceptual model of strata objects based on the Malaysian LADM Country Profile for the representation of spatial and non-spatial strata data. Tools such as FME as data manipulation and conversion and Ninja to handle and visualize 3D strata objects in a browser. The work demonstrates the development of Strata Application Domain Extension (ADE) of CityJSON within LADM. The viewer is able to display all the inquiries about strata such as ownerships, administrative and spatial source, rights, restrictions, and responsibilities (RRR). The developed ADE offers different insights for the strata objects management especially in the case of the Malaysian scenario.","web visualization; 3D strata objects; 3D modelling; CityJSON; database","en","conference paper","","","","","","","","","","","","","",""
"uuid:837f3d39-042b-4f30-8a76-150835bab84b","http://resolver.tudelft.nl/uuid:837f3d39-042b-4f30-8a76-150835bab84b","The use of Mass Property Valuation in Spatial Planning: An LADM Approach","Šiško, Josip; Tomić, Hrvoje; Gašparović, Sanja; Šiško, Darko","","2022","Spatial planning is at the core of every economic and social development of city. Key element in the process of spatial planning is information, that is traditionally a cadastre and land book. The change in property values has been considered as the result of the planning process, but in the last decade researches have seen a huge importance of using property values data in the first step of spatial planning as additional input information. Property values data are stored and collected in the process of mass valuation. The use of these data in the process of spatial planning will enable planner to get in thouch with current state of property market in the area of interest, that will contribute to a balanced spatial development. This paper takes a new look in spatial planning process using a PSS that will be based on model as mathematical background for calculating correlation of spatial plan and property values and their mutual influence. Researched system will be connected to national LADM profile using Valuation Package and Spatial Planning Information Package extensions, which will enable international context of system.","Values-led planning approach; Spatial planning; Mass Valuation of property; LADM","en","conference paper","","","","","","","","","","","","","",""
"uuid:29352b26-eec9-4973-b919-be568c74ccde","http://resolver.tudelft.nl/uuid:29352b26-eec9-4973-b919-be568c74ccde","Revision of Croatian LADM profile according to the new regulations in surveying profession","Vučić, Nikola; Vranić, Saša; Roić, Miodrag; Matijević, Hrvoje","","2022","The Land Administration Domain Model (LADM) provides a conceptual model for modelling of Land Administration Systems (LAS). Since its publication in 2012, a wide range of scientists and practitioners have shown interest to work on it and use it. These activities by many stakeholders resulted in recognized need for the revision of LADM. LADM Edition II has wider scope and includes additional domains such as land valuation, marine spaces and spatial plan information and introduces the modular structure in order to make it simpler and not to mix different domains in the same model. Since the first version of Croatian LADM profile in 2013 many changes occurred in the Croatian LAS. In this paper we describe these changes and provide a proposal of revised Croatian LADM profile. While creating the revised model we focused on the Fundamental and Land Registration parts of LADM Edition II.","ISO 19152; Croatia; Land Administration System; 3D cadastre; LADM","en","conference paper","","","","","","","","","","","","","",""
"uuid:b13838f8-27b0-4989-8889-da59eb9e9c24","http://resolver.tudelft.nl/uuid:b13838f8-27b0-4989-8889-da59eb9e9c24","Land Administration Data Dissemination Processes: A Case Study in Croatia","Križanović, Josip; Roić, Miodrag; Pivac, Doris","","2022","In the first edition of LADM the land administration processes were omitted as they were considered to be country specific. This view is now under reconsideration as the future extensions of LADM are planned and developed. The processes within land administration domain are, namely, registration and dissemination. The research concerning modeling of the LAS processes is somewhat in a scarce state as the majority of the research was directed towards registration activities such as subdivision, real-property transfers, and property formation. The authors deem that the proper dissemination of LAS data is a prerequisite to above-mentioned processes, and it could be stated that this domain of the land administration dynamism is relatively poorly researched. The research presented in this paper analyzes one use-case scenario of land administration data dissemination process in Croatia. The analyzed use-case depicts the process in which cadastral certificates are utilized to gain additional land-related information, which in this case study, was the spatial planning data concerning one cadastral parcel. The aim of this research was to examine how the land administration data dissemination processes could be optimized and improved in a standardized formal manner. The model outlining the process of disseminating both cadastral and spatial planning certificates was formalized by means of use-case diagram. From the formalized process, the elements, such as actors, activities, input/output data were determined and matched with existing LADM classes, while the importance of data interoperability and timeframe of the said processes were also addressed. The paper also discussed how would the formalized process look if the LAS data was interoperable within one service, pointing out that this would lead to a much more time-efficient and user-friendly access to the disseminated LAS data for the current and future LAS users.","","","conference paper","","","","","","","","","","","","","",""
"uuid:40dddff6-ff5a-4eed-b370-f886eb0a8754","http://resolver.tudelft.nl/uuid:40dddff6-ff5a-4eed-b370-f886eb0a8754","Extended LADM country profile for property valuation in Serbia","Radulović, Aleksandra; Sladić, Dubravka; Govedarica, Miro","","2022","Real property valuation in the Republic of Serbia is a procedure in which the value of real property is defined on a certain date. Many different factors directly or indirectly affect the value of real property, and for that reason, the process of estimating the value of real property is very complex. In the Republic of Serbia, the field of real property valuation is regulated by appropriate laws and bylaws. Valuation of real property in the Republic of Serbia is done by different state bodies, and it is performed for different needs. A key element for real property valuation are public registers that enable regular maintenance and updating of data, as well as links between these registers that have the necessary information for assessment. The real estate cadastre provides data on real property that is necessary to assess the value of real property, however they are not sufficient for today’s complex valuation practice. By recording information produced through valuation activities and recording market indicators, it is possible to enable further market analysis, and more reliable real property valuation. The data sources for the real property valuation model are the real estate cadastre, the real estate price register and the central register of planning documents. In addition, other data sources can be used to provide an accurate and fair assessment of real property values. Real property valuation is performed by procedures of individual and mass real property valuation. Mass real property valuation is prescribed by the law and divided into four steps of which the first one is fully finished in Serbia. Republic Geodetic Authority implemented the Real Estate Price Register for that purpose. For the purpose of taxation, the value of real property is determined by usable area of the property and the average price per square meter of the corresponding real property in the zone where the real estate is located. The average price is determined based on the prices realized during the sale of appropriate real estate by zones. Taking into account the importance of real property valuation, an existing LADM country profile for Serbia (Radulović et al., 2017) is extended to include property valuation. The developed model for real property valuation is based on the LADM Valuation Information Model proposal (Kara et al., 2021) and appropriate national laws and bylaws. This model can be used for individual but also for mass valuation which is planned but not yet finished. Since data used for valuation come from different sources (Jočković, 2021), examples of specific valuation procedures are presented. One purpose of valuation is related to taxation process for which the tax administration is responsible. For this purpose, a web service architecture and mappings of appropriate data and code lists between geodetic authority and tax administration are discussed.","Taxation; LADM; Valuation","en","conference paper","","","","","","","","","","","","","",""
"uuid:5df44fd9-5de8-4e8b-8d46-5fc006a7d1a9","http://resolver.tudelft.nl/uuid:5df44fd9-5de8-4e8b-8d46-5fc006a7d1a9","Evolving registration - how do established Registrars embrace change","Stow, Dave; Hill, Michael; Beck, Anthony; Alderson, Laura; Moss, Duncan; Low, Magdalena","","2022","A Land Register should evolve to meet the changing social and policy needs of citizens, government and business. Evolution is about constant change. When there is a significant mismatch between the register and need then reform is required. Reform affects more than just legislation; reform disrupts policy, practice, networks and people. Effective reform is sensitive to this disruption and implements change across the social fabric...","Change; LADM; Registration","en","conference paper","","","","","","","","","","","","","",""
"uuid:05737379-602e-46fd-891a-c9683fffd104","http://resolver.tudelft.nl/uuid:05737379-602e-46fd-891a-c9683fffd104","Revising Surveying and Representation Package of LADM Profile for Serbia to Support 3D Spatial Information","Sladić, Dubravka; Radulović, Aleksandra; Jovanović, Dušan; Ruskovski, Igor; Gavrilović, Milan; Šarkanović-Bugarinović, Milka; Govedarica, Miro","","2022","LADM profile for Serbia was developed by Radulović et al. (2017) reflecting the current state of Serbian cadastral information system which is based on 2D spatial information. It also provides general discussion of the need for establishing 3D cadastre in Serbia without specific details about its possible implementations and developments. Given the increasing usage of 3D datasets acquisitions in Serbia in recent years, particularly by LiDAR technology, in this paper we revise the surveying and representation package of Serbian LADM profile in the context of 3D spatial information and the process of retrieving 3D geometries of spatial units. With the proliferation of 3D datasets special attention should be paid to spatial sources such as LiDAR (airborne and terrestrial) and UAVs for buildings and BIM/IFC for building units, while formats for representation such as CityGML, CityDB, IndoorGML, BIM/IFC, etc. should also be addressed. Similar to 2D digital cadastral map supported by sketches of indoor information, we propose 3D digital cadastral map supported by indoor information represented by 3D formats (BIM/IFC, CityGML, IndoorGML). The link will be established by the means of unique property identification number. This approach will be demonstrated on two case studies. The first case study uses the procedure to obtain 3D city database developed based on airborne LIDAR data for the city of Novi Sad. The second case study uses the procedure to obtain 3D city database developed based on UAV data and terrestrial LiDAR for the city of Novi Pazar. For both case studies, different type of manual and automatic points cloud classification and 2D and 3D vectorization was done.","BIM/IFC; LADM; LiDAR; 3D spatial information; CityGML","en","conference paper","","","","","","","","","","","","","",""
"uuid:e9b775db-f923-4877-8883-3c845d4567f7","http://resolver.tudelft.nl/uuid:e9b775db-f923-4877-8883-3c845d4567f7","Aero-Propulsive and Aero-Structural Design Integration of Turboprop Aircraft with Electric Wingtip-Mounted Propellers","van der Leer, Q. (Student TU Delft); Hoogreef, M.F.M. (TU Delft Flight Performance and Propulsion)","","2022","The current focus in the aviation industry for more sustainable designs, could mean the revive of propeller propulsion, due to their relative high propulsion efficiency compared to jets. In addition, wingtip-mounted propellers installed in tractor configuration can be used as tip-vortex attenuating devices, reducing the wing induced drag. So far, studies on wingtip-mounted propellers mainly concentrated on the aerodynamic interaction effects, disregarding the integration with the airframe and wing-structural mass. This paper presents a method to integrate into an aircraft sizing process the aerodynamic, aero-propulsive, and aero-structural effects of tip-mounted propellers, in the context of a typical turboprop featuring hybrid-electric propulsion. Subsequently, a number of case studies are performed to investigate the sensitivity to modifications of the propulsion system on wing and aircraft level. Results show that the performance benefit gained by the application of a wingtip-mounted propeller is easily overruled by the weight penalty that it introduces, an almost linear relationship between shaft power ratio and MTOM was observed. For variations of propeller diameter, it is seen possible to attain equal performance in terms of energy efficiency with a mass penalty. For phi=0.1, the reference performance is obtained for a tip-mounted propeller occupied span fraction of 0.175 with an increase in MTOM of 2.8%. For phi=0.2, this is obtained at a larger tip-mounted propeller (occupied span fraction = 0.275) and an increase in MTOM of 5% compared to the reference design.","","en","conference paper","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:9d0f35c3-70ad-463d-b413-41be77778df3","http://resolver.tudelft.nl/uuid:9d0f35c3-70ad-463d-b413-41be77778df3","Assessment of an Increased-Fidelity Aeroelastic Experiment for Free Flying Wing Response to Gust Excitation","Sodja, J. (TU Delft Aerospace Structures & Computational Mechanics); De Breuker, R. (TU Delft Aerospace Structures & Computational Mechanics)","","2022","The paper proposes a methodology for increased-fidelity aeroelastic testing in a wind tunnel environment to improve the correlation between the aeroelastic response measured in a wind tunnel experiment and the aeroelastic response observed on an aircraft in flight. The focus of the current study is to assess the potential of the proposed methodology to improve load and response predictions by emulating the motion of a free flying aircraft at the root of the wing. For this purpose a numerical aeroelastic model of a free flying aircraft is used to obtain a reference aeroelastic response to gust excitation. The model is reduced to obtain an aeroelastic model comprising only the main wing of the aircraft which is clamped at the root as if it would be mounted in a wind tunnel. The wing is then subjected to five different motion profiles emulating the free flight to a various degree. The considered motion profiles are clamped boundary condition, heave-pitch motion of a free flying aircraft, motion profile following the angle of attack of the aircraft, and two modified heave-pitch motion profiles which match the angle of attack and the aerodynamic loads in the wind tunnel with those in free flight. The study shows that the considered motion profiles can significantly improve the correlation between the wind tunnel experiment and free flight. However, the effectiveness of each motion profile strongly depends on the gust length which indicates that the optimum motion profile depends on the gust length. Finally, the paper presents a conceptual design of a wind tunnel demonstrator to serve as a proof-of-concept for the proposed methodology.","","en","conference paper","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:89b0b56f-4ffb-449c-9ec7-1b94e75bca18","http://resolver.tudelft.nl/uuid:89b0b56f-4ffb-449c-9ec7-1b94e75bca18","Aeroservoelastic flight testing platform development for system identification","Jurisson, A. (TU Delft Aerospace Structures & Computational Mechanics); De Breuker, R. (TU Delft Aerospace Structures & Computational Mechanics); de Visser, C.C. (TU Delft Control & Simulation); Eussen, Bart (Royal Netherlands Aerospace Centre NLR); Timmermans, H (Royal Netherlands Aerospace Centre NLR)","","2022","Netherlands Aerospace Centre (NLR) and Delft University of Technology (TUD) have obtained an unmanned aerial vehicle to serve as a test platform for future aeroservoelastic flight testing. The purpose of this testbed is to collect data about flexible aircraft flight dynamic responses and loads on the aircraft at unsteady airflow conditions for flexible aircraft system identification. An overview of the 1:3 scaled Diana 2 glider is presented together with numerous tests that were conducted to characterise the test platform. Moment of inertia of the aircraft was determined using a pendulum and rotational swing setup, control surface dynamics were identified from sine sweeps and the structural modes and frequencies were obtained from a ground vibration test. Finally, a low cost and modular data acquisition system was built to collect all the sensor measurements. This data acquisition system is presented together with an overview of its performance.","","en","conference paper","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:ea09ce41-b3d4-41a2-b449-564cc83d4ce2","http://resolver.tudelft.nl/uuid:ea09ce41-b3d4-41a2-b449-564cc83d4ce2","Secondary instabilities in swept-wing boundary layers: Direct Numerical Simulations and BiGlobal stability analysis","Casacuberta Puig, J. (TU Delft Aerodynamics); Groot, K.J. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics); Kotsonis, M. (TU Delft Aerodynamics)","","2022","The evolution of secondary instabilities in a three-dimensional stationary-crossflow-domina- ted boundary layer is investigated by means of Direct Numerical Simulations (DNS) and linear spanwise BiGlobal stability analysis. Single-frequency unsteady disturbances and a critical stationary crossflow mode are considered. Unsteady perturbation content at 1 kHz manifests in the form of the type-III secondary instability mechanism in the lower portion of the boundary layer in the both the DNS and the stability approach. Considering disturbances at 6 kHz, the results from the stability analysis reveal the existence of largely amplified type-I and type-II secondary instability mechanisms. Strong growth displayed by the former is measured in the DNS, which potentially overshadows manifestations of the type-II mechanism. Laminar- turbulent transition primarily induced by the growth of type-I disturbances is captured in the 6 kHz case. Overall, we report good agreement between DNS and stability analysis in terms of perturbation organization and growth rate for all cases studied.","","en","conference paper","","","","","","","","","","","Aerodynamics","","",""
"uuid:d79dd7d7-9034-424d-8506-61d3e66832ef","http://resolver.tudelft.nl/uuid:d79dd7d7-9034-424d-8506-61d3e66832ef","A Sampled-Data Form of Incremental Nonlinear Dynamic Inversion for Spacecraft Attitude Control","Paul, Acquatella B. (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); van Kampen, E. (TU Delft Control & Simulation); Chu, Q. P. (TU Delft Control & Simulation)","","2022","This paper presents a sampled-data form of the recently reformulated incremental nonlinear dynamic inversion (INDI) applied for robust spacecraft attitude control. INDI is a combined model- and sensor-based approach mostly applied for attitude control that only requires an accurate control effectiveness model and measurements of the state and some of its derivatives. This results in a reduced dependency on exact knowledge of system dynamics which is known as a major disadvantage of model-based nonlinear dynamic inversion controllers. However, most of the INDI derivations proposed in the literature assume a very high sampling rate of the system and its controller while also not explicitly considering the available sampling time of the digital control computer. Neglecting the sampling time and its effect in the controller derivations can lead to stability and performance issues of the resulting closed-loop nonlinear system. Therefore, our objective is to bridge this gap between continuous-time, highly sampled INDI formulations and their discrete, lowly sampled counterparts in the context of spacecraft attitude control where low sampling rates are common. Our sampled-data reformulation allows explicit consideration of the sampling time via an approximate sampled-data model in normal form widely known in the literature. The resulting sampled-data INDI control is still robust up to a certain sampling time since it remains only sensitive to parametric uncertainties. Simulation experiments for this particular problem demonstrate the bridge considered between INDI formulations which allows for low sampling control rates.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:d1417e00-bd1c-4d55-a615-89e6a6f678d7","http://resolver.tudelft.nl/uuid:d1417e00-bd1c-4d55-a615-89e6a6f678d7","Soft Actor-Critic Deep Reinforcement Learning for Fault Tolerant Flight Control","Dally, Killian (Student TU Delft); van Kampen, E. (TU Delft Control & Simulation)","","2022","Fault-tolerant flight control faces challenges, as developing a model-based controller for each unexpected failure is unrealistic, and online learning methods can handle limited system complexity due to their low sample efficiency. In this research, a model-free coupled-dynamics flight controller for a jet aircraft able to withstand multiple failure types is proposed. An offline trained cascaded Soft Actor-Critic Deep Reinforcement Learning controller is successful on highly coupled maneuvers, including a coordinated 40 degree bank climbing turn with a normalized Mean Absolute Error of 2.64%. The controller is robust to six failure cases, including the rudder jammed at -15 deg, the aileron effectiveness reduced by 70%, a structural failure, icing and a backward c.g. shift as the response is stable and the climbing turn is completed successfully. Robustness to biased sensor noise, atmospheric disturbances, and to varying initial flight conditions and reference signal shapes is also demonstrated.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Control & Simulation","","",""
"uuid:d10a6b18-a90d-4936-999d-9c23a9a13f40","http://resolver.tudelft.nl/uuid:d10a6b18-a90d-4936-999d-9c23a9a13f40","Adaptive Incremental Nonlinear Dynamic Inversion Flight Control for Consistent Handling Qualities","Smit, B. (Student TU Delft); Pollack, T.S.C. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation)","","2022","Control augmentation systems based on Incremental Nonlinear Dynamic Inversion (INDI) are able to provide high-performance nonlinear control without a holistic model. Considering an angular rate control law for a fixed-wing aircraft, only a control effectiveness (CE) model and angular acceleration measurement feedback is required. Despite enhanced robustness against parametric model mismatches due to reduced model dependency, the performance of INDI-based control laws can still vary due to inaccurate CE models. This paper confirms that longitudinal centre of gravity (CG) shifts and CE uncertainty result in varying handling qualities and stability (HQ\&S) characteristics. An adaptive solution using Least-Mean-Square (LMS) based parameter estimation is investigated to address these variations. The results demonstrate that online CE model correction result in reduced HQ\&S variation. However, it was found that some flight conditions together with adverse CG shifts could lead to violation of the time-scale separation assumption that underlies the adaptive control law design. As this assumption is inherent to the INDI control design itself as well, online CE model correction is only partly able to resolve the resulting performance variations.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:9db41c61-fb79-4e15-b41e-f0c7cd7263b1","http://resolver.tudelft.nl/uuid:9db41c61-fb79-4e15-b41e-f0c7cd7263b1","Robust Stability and Performance Analysis of Incremental Dynamic Inversion-based Flight Control Laws","Pollack, T.S.C. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation)","","2022","Incremental Nonlinear Dynamic Inversion (INDI) is a sensor-based control law design strategy that is based on the principles of feedback linearization. Contrary to its non-incremental counterpart (NDI), this design method does not rely on the availability of a high-fidelity on-board model of the airframe dynamics and is robust to aerodynamic variations. Consequently, INDI brings a natural and robust design approach to desirable flying qualities. However, robustness to singular perturbations, which may arise due to transportation lags, elastic airframe effects, or other types of badly modelled or unknown dynamics, is a known challenge for INDI-based control laws. In this article, the general stability and performance robustness properties of INDI and its linear form (IDI) are described analytically and analyzed in a flight control law design study by means of the structured singular value frame framework. In addition, inversion loop augmentation solutions are investigated using automated synthesis to further improve the robustness characteristics of basic IDI designs.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:495ad310-4284-486f-bf67-c03a6effff90","http://resolver.tudelft.nl/uuid:495ad310-4284-486f-bf67-c03a6effff90","HER-PDQN: A Reinforcement Learning Approach for UAV Navigation with Hybrid Action Spaces and Sparse Rewards","Liu, C. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation)","","2022","Reinforcement learning (RL) equipped with neural networks has recently led to a wide range of successes in learning policies for unmanned aerial vehicle (UAV) navigation and control problems. The success of RL relies on two human-designed heuristics: appropriate action space definition and reward function engineering. The commonly used fully continuous or fully discrete action spaces in optimal control and decision making problems may lack control authority and remove the inherent problem structure, which can negatively affect learning performance. Besides, reward engineering requires a lot of human effort and may lead to unwanted behavior. In this paper, we address these challenges by proposing a new off-policy RL algorithm called HER-PDQN which incorporates Hindsight Experience Replay (HER) with Parameterized Deep Q-Networks (P-DQN). In simulation experiments, HER-PDQN is used to train an agent to fulfill a UAV navigation task in a 2-dimensional environment. The results indicate the effectiveness of P-DQN algorithm in dealing both with the hybrid action space and sparse rewards. This paper can be considered as the first attempt at applying RL in sparse reward setting for UAV navigation with hybrid action spaces.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Control & Simulation","","",""
"uuid:3574a571-f595-4061-9edf-cbe86317dfb7","http://resolver.tudelft.nl/uuid:3574a571-f595-4061-9edf-cbe86317dfb7","Flight Control Law Design using Hybrid Incremental Nonlinear Dynamic Inversion","Kumtepe, Y. (Roketsan); Pollack, T.S.C. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation)","","2022","Incremental Nonlinear Dynamic Inversion (INDI) is a sensor-based control strategy, which has shown robustness against model uncertainties on various aerospace platforms. The sensor-based nature of the method brings attractive properties, which has made it popular in the last decade. INDI globally linearizes the system by making use of control input and state derivative feedback. Despite the enhanced robustness against parametric system uncertainties compared to traditional NDI, mitigating the effects of time lag between the control input and state derivative feedback paths represents an important challenge for INDI. Past research has shown that this can be addressed by synchronizing these feedback signals, although the method remains vulnerable to unexpected measurement delays. This paper proposes a hybrid INDI approach based on complementary filtering to further mitigate this robustness issue. The approach fuses the system model and sensor measurement to generate an estimate of the angular acceleration of the system. The estimation responds rapidly to the system input thanks to the on-board model, whereas adequate accuracy in the low-to-medium frequency range is maintained by the sensor measurement. The control law is found to retain good performance in case of model mismatches and measurement delays. To demonstrate the method, a hybrid INDI-based attitude control law is designed for a nonlinear F-16 aircraft model. The robustness properties of the resulting control system are analyzed using time-domain simulations.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Control & Simulation","","",""
"uuid:9412dd50-b52b-4f1c-9883-0fe3b41acadb","http://resolver.tudelft.nl/uuid:9412dd50-b52b-4f1c-9883-0fe3b41acadb","Experimental Aeroelastic Characterization of a Very Flexible Wing in Steady and Unsteady Inflow","Mertens, C. (TU Delft Aerodynamics); Sodja, J. (TU Delft Aerospace Structures & Computational Mechanics); Sciacchitano, A. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics)","","2022","The aeroelastic response of a very flexible wing in steady and unsteady inflow conditions is measured in a wind tunnel experiment. An integrated aeroelastic characterization is performed with a non-intrusive optical setup that allows simultaneous measurements of the structural motion and the flow field around the wing. The experimental aerodynamic loads that are inferred from the flow field measurements are in very good agreement with reference data from a force balance. Prior to the wind tunnel experiment, results of the numerical modal analysis of a structural model of the wing are compared with the experimental results from a ground vibration test. An aeroelastic model validation is achieved by applying the experimental aerodynamic loads to the structural model of the wing. The results of this structural analysis are compared with the measured structural response in the wind tunnel for steady inflow conditions, yielding differences of around 15% in tip displacements when using a linear structural model.","","en","conference paper","","","","","","","","","","","Aerodynamics","","",""
"uuid:7613d7ef-ca71-4d8d-b8fc-0d7fa367b247","http://resolver.tudelft.nl/uuid:7613d7ef-ca71-4d8d-b8fc-0d7fa367b247","Water-depth identification from free-surface data using the KdV-based nonlinear Fourier transform","de Koster, P.B.J. (TU Delft Team Sander Wahls); Brühl, M. (TU Delft Team Sander Wahls); Wahls, S. (TU Delft Team Sander Wahls)","","2022","We propose a novel method to determine the average water depth from shallow, weakly nonlinear water waves that are approximated by the Korteweg-de Vries equation. Our identification method only requires free-surface measurements from two wave gauges aligned in the direction of wave propagation. The method we propose is based on comparing solitonic components in wave packets, which are computed using the nonlinear Fourier transform (NFT) (typical time-series data often contains at least some solitonic components, even when these components are not directly visible). When the correct water depth is used for the normalisation of the wave, the solitonic components found by the NFT remain constant as the wave packet propagates, whereas any other water depth will result in solitonic components that do not remain constant. The basic idea is thus to iteratively determine the water depth that leads to a best fit between the solitonic components of time series measurements at two different gauge positions. We present a proof-of-concept on experimental bore data generated in a wave flume, where the identified water depth is within 5% of the measured value.","Fourier transforms; Water; Waves; Gages; Time series; Wave packets; Flumes; Korteweg-de Vries equation; Water waves; Wave propagation","en","conference paper","ASME","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Sander Wahls","","",""
"uuid:db5a5531-c72e-46bb-87dd-7920a348f132","http://resolver.tudelft.nl/uuid:db5a5531-c72e-46bb-87dd-7920a348f132","The interaction between a sonic jet and an oblique shock wave in a supersonic crossflow","Dacome, G. (TU Delft Aerodynamics); Schrijer, F.F.J. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics)","","2022","The current study considers the configuration of a transverse jet in a supersonic crossflow that is interacting with an impinging oblique shock wave. The relevance of this configuration is associated to high-speed air-breathing propulsion systems, where a shock may be induced in the combustion chamber to enhance mixing. To investigate the behaviour of this system, experiments were conducted in a supersonic test facility at a freestream Mach number of 2, analyzing the effect of three main control variables: the jet momentum flux ratio, the shock strength and the impingement position of the shock on the jet plume. Measurements were acquired using surface oil-flow visualization, schlieren photography and Particle Image Velocimetry. Results reveal that near-field momentum-driven mixing remains largely unaffected after the introduction of the impinging shock wave, while mid-to-far-field mixing mechanisms do change. An increase in jet plume elevation was observed, as well as the formation of a strong shear layer downstream of the jet, which acts as a source of vorticity that promotes entrainment towards the jet mid-field. A stronger shock wave was found to be more beneficial for mixing performance. This effect was seen to decrease with weakening shock strength or by shifting the strong shock further downstream.","","en","conference paper","","","","","","","","","","","Aerodynamics","","",""
"uuid:4a5b50ab-ac84-4699-babf-0638a2ebb03e","http://resolver.tudelft.nl/uuid:4a5b50ab-ac84-4699-babf-0638a2ebb03e","Adaptive Manual Control: a Predictive Coding Approach","Terenzi, L. (Student TU Delft); Zaal, P.M.T. (NASA Ames Research Center); Pool, D.M. (TU Delft Control & Simulation); Mulder, Max (TU Delft Control & Simulation)","","2022","Improved understanding of human adaptation can be used to design better (semi-)automated systems that can support the human controller when task characteristics suddenly change. This paper evaluates the effectiveness of a model-based adaptive control technique, Model Reference Adaptive Control (MRAC), for describing the adaptive control policy used by human operators while controlling a time-varying system in a pursuit-tracking task. Ten participants took part in an experiment in which they controlled a time-varying system whose dynamics changed twice between approximate single and double integrator dynamics, and vice versa. Our proposed MRAC controller is composed of a feedforward and a feedback controller and an internal reference model that is used to drive an adaptive control policy. MRAC's adaptive control gains, the internal model parameters, and the learning rates were estimated from the experiment data using non-linear optimization aimed at maximizing the quality-of-fit of participants' control outputs. Participants' control behavior rapidly changed when the dynamics of the controlled system changed, in particular for transitions from single to double integrator dynamics. The MRAC model was indeed able to accurately capture the transient dynamics exhibited by the participants when the system changed from an approximate single to a double integrator, however, for the opposite transition the MRAC gains were always adapted too slowly. Therefore, in its current form, our MRAC model can be used to approximate human adaptation in pursuit tracking tasks when a change in the dynamics of the controlled system requires significant (rate) feedback controller adaptation to maintain satisfactory closed-loop control performance.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:634e5166-f92d-4109-8085-0aec758a65f5","http://resolver.tudelft.nl/uuid:634e5166-f92d-4109-8085-0aec758a65f5","Hands-on Project Based Learning during lockdown – Lessons learned and what does the future hold?","Schuurman, M.J. (TU Delft Structural Integrity & Composites); Rans, C.D. (TU Delft Structural Integrity & Composites)","","2022","The Faculty of Aerospace Engineering at the Delft University of Technology has an active learning philosophy which is embedded in its curriculum. The first year project Design and Construction is run in the second semester. The course aims to provide “hands-on” experience to students in design and construction. Applying knowledge from courses and developing interdisciplinary (soft) skills. A total of 400 students are divided into 40 groups of approximately ten students which are given several design challenges during the project. At the end of the project a final design challenge is given to build and test an aluminium wing design. In March 2020, half-way through the project, the Dutch government announced a nationwide lockdown which resulted in the University being closed to students and the remainder of the academic year being offered online. This paper will reflect on the challenges, solutions and online experience of the project and examines the on-campus v.s. online experience. The conclusion can be drawn that the learning objectives can be reached both on-campus and online. As was found in previous studies, online requires a common collaboration program and more structure to meet or exceed on-campus education. There is an advantage for online looking at the student grade data.","","en","conference paper","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:b75c9e2f-5064-4d62-8330-de8743514ad4","http://resolver.tudelft.nl/uuid:b75c9e2f-5064-4d62-8330-de8743514ad4","Buckling of Composite Cylindrical Shells with Circular Cutouts","Schiller, A. (TU Delft Aerospace Structures & Computational Mechanics); Bisagni, C. (TU Delft Aerospace Structures & Computational Mechanics)","","2022","Cylindrical shells are common structural elements in the aerospace sector due to their high load-carrying capacity per unit weight. Cutouts may, however, significantly reduce this load-carrying capacity, especially when cylindrical shells buckle under axial compression. Since the buckling load is often a crucial design parameter, it is important to predict this value efficiently. Hence, a procedure to rapidly calculate the linear buckling load of axially compressed quasi-isotropic composite cylindrical shells with circular cutouts was derived. After minimizing the total potential energy of the structure with the Ritz method, the buckling loads were obtained as the solutions to an eigenvalue problem. Comparing these predictions with the results from linear and nonlinear finite element analyses shows that the analytical buckling loads follow the general trends of the numerical solutions and are calculated orders of magnitude faster. This makes the approach suitable for preliminary design where many design permutations must be evaluated in a short period of time.","","en","conference paper","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:bca98543-7be3-4389-8d82-edaaf1d2e389","http://resolver.tudelft.nl/uuid:bca98543-7be3-4389-8d82-edaaf1d2e389","Attitude Estimation of a Quadcopter with one fully damaged rotor using on-board MARG Sensors","Solanki, P. (TU Delft Control & Simulation); de Visser, C.C. (TU Delft Control & Simulation)","","2022","Quadcopters are becoming increasingly popular across diverse sectors. Since rotor damages occur frequently, it is essential to improve the attitude estimation and thus ultimately the ability to control a damaged quadcopter. This research is based on a state-of-the-art method that makes it possible to control the quadcopter despite the total failure of a single rotor, where the attitude and position of the quadcopter are provided by an external system. In the present research, a novel attitude estimator called Adaptive Fuzzy Complementary Kalman Filter (AFCKF) has been developed and validated that works independently of any external systems. It is able to estimate the attitude of a quadcopter with one fully damaged rotor while only relying on the on-board MARG (Magnetometer, Accelerometer, Rate Gyroscope) sensors. The AFCKF provides significantly better attitude estimates for flights with a damaged rotor than mainstream filters, estimating the roll and pitch of the quadcopter with an RMS error of less than 1.7 degrees and a variance of less than 2 degrees. The proposed filter also provides accurate yaw estimates despite the fast spinning motion of the damaged quadcopter, and thus outperforms existing methods at the cost of only a small increase in computation.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:40b0a6f7-ee27-4375-8354-33499394d8d2","http://resolver.tudelft.nl/uuid:40b0a6f7-ee27-4375-8354-33499394d8d2","Preliminary performance assessment of a long-range eVTOL aircraft","Beyne, E.E.A. (Student TU Delft); Castro, Saullo G.P. (TU Delft Aerospace Structures & Computational Mechanics)","","2022","The electric aircraft industry is starting to gain traction mainly due to the growing specific energy capacity of new batteries. Within this industry electric vertical takeoff and landing (eVTOL) aircraft serve the urban and regional air mobility market, requiring less infrastructure investments such as large airports, due to their vertical takeoff and landing capabilities. The present study investigates the preliminary performance evaluation of a tandem wing long-rage eVTOL concept. First, the power and energy consumption of a typical mission with a range of 300 km is estimated, consisting of: vertical takeoff, climb, cruise, loiter, descent, and vertical landing. The takeoff, climb, descend and landing phases are simulated numerically. The climb performance is evaluated in vertical and horizontal configuration. The flight paths were optimized aiming at a minimum energy consumption, showing very high climb rates due to the large power needed for vertical takeoff. To quantify the effect of different payloads on the aircraft range, a payload range diagram is constructed. Next, a sensitivity analysis is performed with respect to some operational parameters, relating the range and mission block speed to cruising altitude, cruise speed and wind speed. Finding the sensitivity of the flight range to these input parameters allows one to adequately select the safety margins for a given battery capacity. Finally, a conclusion and recommendation for next studies is given.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:94cca012-680c-4483-9ba0-107683a2ee9f","http://resolver.tudelft.nl/uuid:94cca012-680c-4483-9ba0-107683a2ee9f","Wind and Airflow Angle Estimation Using an Adaptive Extended Rauch-Tung-Striebel Smoother","Fang, X. (Technische Universität München); de Visser, C.C. (TU Delft Control & Simulation); Pool, D.M. (TU Delft Control & Simulation); Holzapfel, Florian (Technische Universität München)","","2022","This paper proposes a new method that estimates the three-dimensional stochastic wind velocity for an aircraft equipped with a Pitot-static tube and airflow vanes. Since the performance of most state estimators, e.g., the extended Rauch-Tung-Striebel smoother, relies on the process and measurement noise covariance settings, the proposed method employs the expectation-maximization approach to estimate the noise covariance matrices to improve the estimation accuracy. Numerical simulations demonstrated that the proposed method can successfully estimate the noise covariance matrices, especially for the noise covariance of the wind velocity, using the measurement data and reconstruct the wind velocity offline. Additionally, the smoothed true airspeed, angle of attack, and angle of sideslip data are more accurate compared to the direct measurements. This feature is also beneficial for other applications such as the aerodynamic model identifications of aircraft.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:442e4cce-37f5-4e7a-a633-badee05ae0e3","http://resolver.tudelft.nl/uuid:442e4cce-37f5-4e7a-a633-badee05ae0e3","PropR: Property-Based Automatic Program Repair","Gissurarson, Matthías Páll (Chalmers University of Technology); Applis, L.H. (TU Delft Software Engineering); Panichella, A. (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Technology); Sands, David (Chalmers University of Technology)","","2022","Automatic program repair (APR) regularly faces the challenge of overfitting patches — patches that pass the test suite, but do not actually address the problems when evaluated manually. Currently, overfit detection requires manual inspection or an oracle making quality control of APR an expensive task. With this work, we want to introduce properties in addition to unit tests for APR to address the problem of overfitting. To that end, we design and im- plement PropR, a program repair tool for Haskell that leverages both property-based testing (via QuickCheck) and the rich type system and synthesis offered by the Haskell compiler. We compare the repair-ratio, time-to-first-patch and overfitting-ratio when using unit tests, property-based tests, and their combination. Our results show that properties lead to quicker results and have a lower overfit ratio than unit tests. The created overfit patches provide valuable insight into the underlying problems of the program to repair (e.g., in terms of fault localization or test quality). We consider this step towards fitter, or at least insightful, patches a critical contribution to bring APR into developer workflows.","Automatic program repair; Search-based Software Engineering; Program synthesis; Property-based testing; Haskell","en","conference paper","IEEE / ACM","","","","","","","","","Software Technology","Software Engineering","","",""
"uuid:c7e245f8-868f-471f-b660-4e8160403cca","http://resolver.tudelft.nl/uuid:c7e245f8-868f-471f-b660-4e8160403cca","Aerodynamic Model Identification of the Flying V from Sub-Scale Flight Test Data","Ruiz Garcia, A. (TU Delft Flight Performance and Propulsion); Brown, M.T.H. (TU Delft Flight Performance and Propulsion); Atherstone, D.M. (TU Delft Aerospace Structures & Computational Mechanics); van Arnhem, N. (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2022","This paper presents the identification of the aerodynamic model of the ""Flying-V"", a novel aircraft configuration. The aerodynamic model is estimated using flight test data from a 4.6\% sub-scale model. The dataset includes longitudinal and lateral-directional maneuvers performed by both the pilot and the autopilot to excite the aircraft dynamic modes. The so-called Two-Step Method is used to decouple and simplify the aerodynamic identification problem; the state estimation step is performed by an Iterated Extended Kalman Filter, and the parameter-estimation step using ordinary least squares. A stepwise regression technique and previous knowledge from wind-tunnel tests are combined to select the model structure, and the identified model is validated using a third of the gathered data. The estimated models are parsimonious and considered adequate in terms of model fit, with a maximum relative Root Mean Square Error of 10% for the worst validation case. For the considered location of the center of gravity and flight conditions, the estimated aerodynamic derivatives confirm that the aircraft is longitudinally stable, both statically and dynamically; and that it is also laterally and directionally statically stable. The analysis of the dynamic modes of the sub-scale model showed stable short period and roll subsidence modes, a lightly damped Dutch roll mode, and lightly damped/unstable phugoid and spiral modes.","","en","conference paper","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:756dd022-3324-4b99-a8ce-19b2f090fa9d","http://resolver.tudelft.nl/uuid:756dd022-3324-4b99-a8ce-19b2f090fa9d","Experimental validation of nonlinear Fourier transform-based Kerr-nonlinearity identification over a 1600km SSMF link","de Koster, P.B.J. (TU Delft Team Sander Wahls); Koch, Jonas (Christian-Albrechts-Universität zu Kiel); Schulz, Olaf (Christian-Albrechts-University); Pachnicke, Stephan (Christian-Albrechts-University); Wahls, S. (TU Delft Team Sander Wahls)","","2022","Recently, a nonlinear Fourier transform-based Kerr-nonlinearity identification algorithm was demonstrated for a 1000 km NZDSF link with accuracy of 75%. Here, we demonstrate an accuracy of 99% over 1600 km SSMF. Reasons for improved accuracy are discussed.","Nonlinear Fourier Transform; Fiber-optic communication; Kerr nonlinearity; identification; soliton; Nonlinear Schrödinger equation; Experimental validation","en","conference paper","Optica Publishing Group (formerly OSA)","","","","","Accepted Author Manuscript","","","","","Team Sander Wahls","","",""
"uuid:51f65a9a-a07a-49fc-95bc-adf4b60871a4","http://resolver.tudelft.nl/uuid:51f65a9a-a07a-49fc-95bc-adf4b60871a4","Discrete-time Design and Stability Analysis for Nonlinear Incremental Fault-tolerant Flight Control","Chang, J. (TU Delft Aerospace Structures & Computational Mechanics); De Breuker, R. (TU Delft Aerospace Structures & Computational Mechanics); Wang, Xuerui (TU Delft Aerospace Structures & Computational Mechanics)","","2022","Incremental control, including incremental nonlinear dynamic inversion (INDI) and incremental backstepping (IBS), is a sensor-based control framework that enhances the control robustness by exploiting sensor measurements. Although its effectiveness has been demonstrated on various aerospace systems, the explicit and quantifiable expression for the ultimate bound of the tracking error, as a function of the sampling frequency and perturbation bound, has not been presented. This issue is addressed by the discrete-time domain stability analysis of the incremental control in this paper, which allows convenient yet realistic performance assessment and parameter tuning before performing real-world flight tests. Another challenge faced by the incremental control is that its stability criterion can be violated in severe aircraft fault scenarios, such as the control reversal. Therefore, this paper proposes a discrete-time control-direction-based incremental sliding mode control, denoted as D-INDI-SMC, which has broader applicability than the state-of-the-art incremental control methods. The robustness of D-INDI-SMC against control reversal, sensing errors, model uncertainties, actuator faults, and structural damage has been theoretically proved and numerically demonstrated.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-04","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:2217e5a1-0c8d-4b2e-9f0a-ac5806ff0bc7","http://resolver.tudelft.nl/uuid:2217e5a1-0c8d-4b2e-9f0a-ac5806ff0bc7","Aeroelastic Wing Demonstrator with a Distributed and Decentralized Control Architecture","Mkhoyan, T. (TU Delft Arts & Crafts; TU Delft Aerospace Structures & Computational Mechanics); Wang, Xuerui (TU Delft Aerospace Structures & Computational Mechanics); De Breuker, R. (TU Delft Aerospace Structures & Computational Mechanics)","","2022","This study investigated the design and development of an autonomous aeroservoelastic wing concept with distributed flaps. This wing demonstrator was developed in the scope of the SmartX project, aiming to demonstrate in-flight performance optimization and multi-objective control with over-actuated wing designs. Following a successful test campaign with a previous wing design based on active morphing, this study aims to develop an over-actuated aeroelastic wing design suitable for aeroelastic control, including flutter suppression, maneuver and gust load alleviation. A decentralized control architecture is developed for the over-actuated and over-sensed system, allowing efficient sensing data processing and control algorithms. Aerodynamic and structural analyses are performed to determine actuator torque requirements and actuation mechanism design. Furthermore, buckling analysis is performed to size the wing structure. A state-space aeroelastic dynamic model is established to analyze the gust response and control effectiveness of the wing. It is established that a linear quadratic regulator significantly improves the closed-loop performance. Furthermore, the hypotheses are confirmed that fast actuation improves load alleviation performance and high-frequency disturbance rejection effectiveness. The manufacturing and integration of the wing demonstrator are discussed, which lay a foundation for future static and dynamic wind-tunnel experiments.","","en","conference paper","","","","","","","","","","","Arts & Crafts","","",""
"uuid:dd34f49b-0810-42a2-9a48-554ceb2400a0","http://resolver.tudelft.nl/uuid:dd34f49b-0810-42a2-9a48-554ceb2400a0","Design and Validation of a Passive Camber Morphing Concept for Rotorcraft","Zahoor, Y. (TU Delft Aerospace Structures & Computational Mechanics); Sodja, J. (TU Delft Aerospace Structures & Computational Mechanics); De Breuker, R. (TU Delft Aerospace Structures & Computational Mechanics)","","2022","This paper presents an overview of the design and validation of a novel passive camber morphing system for rotorcraft. The passive system works for a variable speed rotor where a potential increase in pilot control authority and power reduction is possible. In the proposed concept, the rotor speed is varied by 10% to change the apparent centrifugal force which is used to morph a trailing edge flap by a mechanical system. In this context, the important design parameters in relation to the passive morphing concept are introduced, after which the working principle of the concept is explained. The design and development of the test demonstrator, experiments in a whirl tower setup, and test findings are also presented. The results indicate that a passive trailing edge morphing concept is feasible and has the potential to be used in a variable speed rotor to achieve the desired performance benefits.","","en","conference paper","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:32893201-84ee-497b-ae44-da271ec506e5","http://resolver.tudelft.nl/uuid:32893201-84ee-497b-ae44-da271ec506e5","Re engineering history: Flight of the Phoenix, what can we learn from a movie crash?","Schuurman, M.J. (TU Delft Structural Integrity & Composites); De Breuker, R. (TU Delft Aerospace Structures & Computational Mechanics); Kassapoglou, C. (TU Delft Aerospace Structures & Computational Mechanics)","","2022","On the 15t hof December 1965 the motion picture “Flight of the Phoenix” was released in the United States of America theatres. In the closing movie credits the following text is shown:It should be remembered. . . that Paul Mantz, a fine man and a brilliant flyer gave his life in the making of this film. Who was Paul Mantz? What happened? And what can we learn from a movie crash? This paper will examine the Tallmantz Phoenix P-1 accident which was captured on film for the motion picture “Flight of the Phoenix”. This paper will study the “Flight of the Phoenix“ story, the people involved and the special purpose aircraft build. Literature will be reviewed and analyses will be done to gain new insights to the crash of the Tallmantz Phoenix which occurred on July 8th1965","","en","conference paper","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:2fe85e48-907f-47e0-a64c-15a4b5e64c2a","http://resolver.tudelft.nl/uuid:2fe85e48-907f-47e0-a64c-15a4b5e64c2a","Topology Inference of Networks utilizing Rooted Spanning Tree Embeddings","Byrenheid, Martin (Technische Universität Dresden); Roos, S. (TU Delft Dataintensive Systems); Strufe, Thorsten (Technische Universität Dresden)","","2022","Due to its high efficiency, routing based on greedy embeddings of rooted spanning trees is a promising approach for dynamic, large-scale networks with restricted topologies. Friend-to-friend (F2F) overlays, one key application of embedding-based routing, aim to prevent disclosure of their participants to malicious members by restricting exchange of messages to mutually trusted nodes. Since embeddings assign a unique integer vector to each node that encodes its position in a spanning tree of the overlay, attackers can infer network structure from knowledge about assigned vectors. As this information can be used to identify participants, an evaluation of the scale of leakage is needed. In this work, we analyze in detail which information malicious participants can infer from knowledge about assigned vectors. Also, we show that by monitoring packet trajectories, malicious participants cannot unambiguously infer links between nodes of unidentified participants. Using simulation, we find that the vector assignment procedure has a strong impact on the feasibility of inference. In F2F overlay networks, using vectors of randomly chosen numbers for routing decreases the mean number of discovered individuals by one order of magnitude compared to the popular approach of using child enumeration indexes as vector elements.","friend-to-friend overlays; greedy embeddings; topology inference","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Dataintensive Systems","","",""
"uuid:7886151a-67bf-46b0-9b79-f0882111330c","http://resolver.tudelft.nl/uuid:7886151a-67bf-46b0-9b79-f0882111330c","Many-Revolution Earth-Centred Solar-Sail Trajectory Optimisation Using Differential Dynamic Programming","Leemans, G. (Student TU Delft); Carzana, L. (TU Delft Astrodynamics & Space Missions); Heiligers, M.J. (TU Delft Astrodynamics & Space Missions)","","2022","This work demonstrates the usability of differential dynamic programming (DDP) to obtain optimal Earth-centred solar-sail trajectories. The dynamical model is implemented as a two-body problem, augmented with an ideal solar-sail reflectance model and accounts for eclipses. The numerical performance of the optimisation algorithm is enhanced by integrating the sailcraft state in modified equinoctial elements and performing a Sundman transformation to change the independent variable from time to the true anomaly. The DDP algorithm is proven to be robust for trajectories extending up to 500 revolutions and, compared to known locally optimal steering laws, allows to obtain equally optimal solutions. The latter is demonstrated in this paper through a set of test cases that range from theoretical scenarios to realistic mission applications, including increasing the specific orbital energy of NASA’s upcoming ACS3 mission. Additionally, the algorithm's ability to cope with different optimisation settings, perturbing accelerations and constraints is demonstrated.","","en","conference paper","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:9d1e4460-6cbe-4d67-877f-08f0d3901897","http://resolver.tudelft.nl/uuid:9d1e4460-6cbe-4d67-877f-08f0d3901897","Validation and Application of the Axisymmetric Analogue Technique on Rapid Hypersonic Shape Optimisation","Brchnelová, M. (Student TU Delft); Mooij, E. (TU Delft Astrodynamics & Space Missions)","","2022","The beginning of the conceptual design phase of (re)entry missions requires aerodynamic methods to reduce the initial design space. For this purpose, full computational fluid dynamics (CFD) simulations are unsuitable due to their computational requirements. Rapid hypersonic methods are thus often employed to approximate the heat flux and skin friction on the most critical parts of the (re)entry vehicle, such as the nose and the leading edges. However, the vast majority of these rapid methods only allow for a computation of these parameters at specific fixed locations and not on the other parts of the vehicle. One method that overcomes this is the axisymmetric analogue method, that determines the entire viscous flowfield from the inviscid flowfield solution. This method has typically been coupled to inviscid Euler simulations, but even Euler simulations can still consume a lot of computational time. In earlier research, it was shown that a reasonable accuracy can also be obtained if this method is coupled with the inviscid flowfield computed via the modified Newtonian technique. In this paper, we extend the validation and estimation of the uncertainties of this method using CFD, evaluate the respective corrections for thermal and chemical fluxes separately, and apply these corrections back to the solver. The biconic DART vehicle, partial optimisation of which was presented in the previous paper, is revisited, here optimising only four parameters instead of five as originally intended, as using five parameters resulted in an unfeasible geometry. We perform a full response surface methodology and analysis of variance accounting for the CFD corrections and examine the final optimised design also again with the Newtonian/axisymmetric code. The proposed methodology leads to a small underestimate of the heat fluxes, but is considered sufficient for the conceptual design phase.","","en","conference paper","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:fec96ebf-a740-4758-93cc-419e3778fc9c","http://resolver.tudelft.nl/uuid:fec96ebf-a740-4758-93cc-419e3778fc9c","Just Noticeable Differences for Variations in Quasi-Steady Stall Buffet Model Parameters","Imbrechts, A. (Student TU Delft); de Visser, C.C. (TU Delft Control & Simulation); Pool, D.M. (TU Delft Control & Simulation)","","2022","To gain more insight into human sensitivity to variations in simulated stall buffets, Just Noticeable Difference (JND) thresholds were estimated using a passive human-in-the-loop flight simulator experiment. Using an in-house developed flow separation-based stall and buffet model of the Cessna Citation II, JND thresholds were determined for the model's buffet characteristic frequency parameter omega0 and the buffet onset threshold parameter Xthres for the vertical stall buffet only. With a subjective yes/no 1-up/1-down staircase procedure that uses repeated pairwise comparisons of quasi-steady symmetric stall simulations (where one is a stall with the baseline buffet model and the other one has an offset buffet parameter), upper and lower JND thresholds were measured from 21 pilots. The experiment results show that the pilots noticed the differences in simulated buffet dynamics at comparably similar percentage-wise offsets for Xthres and omega0 with respect to the baseline parameter values. The maximum observed JND thresholds did not exceed 30-35% across all experiment conditions, indicating that pilots are fairly sensitive to even small offsets in the key stall buffet model parameters. Moreover, the estimated JND thresholds for omega0 are in agreement with the +/-2 Hz tolerance currently used in stall buffet simulation qualification standards. However, for Xthres, the results show that human pilots already notice differences in stall buffet onset characteristics well before the maximum allowed tolerance (+/- 2.0 deg angle of attack) is reached, which suggests that stricter tolerances on simulated buffet onsets for quasi-steady symmetric stalls may help to further enhance stall training in simulators.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:04102f42-0386-454b-858a-4dc28474150d","http://resolver.tudelft.nl/uuid:04102f42-0386-454b-858a-4dc28474150d","Predicting Helicopter Damage Caused by a Collision with an Unmanned Aerial System Using Explicit Finite Element Analysis","Jonkheijm, L. (TU Delft Structural Integrity & Composites); Chen, B. Y. (TU Delft Aerospace Structures & Computational Mechanics); Schuurman, M.J. (TU Delft Structural Integrity & Composites)","","2022","With the rising number of Unmanned Aerial Systems (UAS) flying in the sky, an increase in collisions with manned aircraft seems inevitable. Since these devices are permitted to operate in airspace which they share with rotorcraft, a helicopter is certainly not retained from the risk of colliding with a UAS. The only prevailing impact related certification requirement for rotorcraft is the §29.631, which is only applicable to all larger (Part 29) rotorcraft. This requirement states that the rotorcraft must be capable of safe continuation of the flight and/or safe landing after an impact with a 1 kg bird up to the rotorcraft’s maximum horizontal velocity. In this paper, simulations have been performed in explicit Finite Element software to assess how much damage a Part 29 compliant helicopter would sustain after colliding with a UAS. For this purpose, an Agusta A-109 helicopter windshield was impacted by a DJI Phantom III quadcopter UAS under various conditions. The results of the simulations showed that the windshield would sustain severe damage after the impact. Not only would the windshield break into dangerous fragments that could enter the cockpit, parts of the UAS would also penetrate the windshield. These items could strike the crew and a safe continuation of the flight and/or safe landing following the impact cannot be guaranteed. A similar level of safety compared to the bird strike requirement in the prevailing certification requirement is therefore not assured.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-01","","","Structural Integrity & Composites","","",""
"uuid:56a9e62b-70fa-4f7a-bc56-5561c1df1dbd","http://resolver.tudelft.nl/uuid:56a9e62b-70fa-4f7a-bc56-5561c1df1dbd","Recent and Projected Trends in Global Civil Aviation Fleet Average NOxEmissions Indices","Domingos de Azevedo Quadros, F. (TU Delft Aircraft Noise and Climate Effects); Snellen, M. (TU Delft Aircraft Noise and Climate Effects); Dedoussi, I.C. (TU Delft Aircraft Noise and Climate Effects)","","2022","Aircraft emitted oxides of nitrogen (NOx) contribute both to climate change and air quality degradation. The trend of higher gas temperatures, caused by engine design choices seeking lower fuel consumption and achieve more complete combustion, has the adverse effect of increasing NOx formation, which might however be compensated by improved combustor designs. The tradeoff between lowering NOx or CO¬2 emissions is an important consideration in mitigating the environmental impacts of aviation, and, and in context of the industry’s environmental targets and forecasts, quantifying the technological trend taking place can provide an indication of future emission totals. In this study, we estimate bottom-up global fleet average aviation fuel burn and NOx emissions for the years 2005 and 2018 and extrapolate their totals to 2030, 2040, and 2045 with current air traffic and engine performance forecasts. Average NOx emission indices are evaluated for different aircraft classes at each year considered, and their changes over time are discussed together with a sensitivity analysis on the assumptions made.","","en","conference paper","","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:07f6e940-7185-480b-a212-d7b052047713","http://resolver.tudelft.nl/uuid:07f6e940-7185-480b-a212-d7b052047713","Mission Analysis and Navigation Design for Uranus Atmospheric Flight","Bessette, Emilie (Student TU Delft); Mooij, E. (TU Delft Astrodynamics & Space Missions); Stam, D.M. (TU Delft Astrodynamics & Space Missions)","","2022","We present a 3 Degrees of Freedom mission design and analysis for in-situ probing of Uranus' atmosphere consisting of two un-propelled gliders and one orbiting spacecraft in continuous line of sight. We focus on the study of the gliders' navigation and science modules. Because of the lack of a Global Navigation Satellite System around Uranus and the ineffective use of optical sensors due to the planet's large distance to the Sun and high atmospheric opacity, the post-processing relation between the vehicles' estimated state and measured scientific data is investigated to yield accurate state estimations. In-situ probing by the two gliders will make it possible to measure spatially variable atmospheric properties over a flight duration of up to 12 Earth days, as compared to a few hours for a conventional descent probe. Future work will include a 6 Degrees of Freedom simulation of the vehicles' flight, the chosen planet's wind model, a Flush Air Data Sensor as an additional navigation sensor, and a band-pass filter to reduce the estimated variables' noise.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Astrodynamics & Space Missions","","",""
"uuid:104c2976-037c-48b2-af3e-6fcd1ed78df7","http://resolver.tudelft.nl/uuid:104c2976-037c-48b2-af3e-6fcd1ed78df7","Safe Curriculum Learning for Linear Systems with Parametric Unknowns in Primary Flight Control","De Buysscher, D.D.C. (Student TU Delft); Pollack, T.S.C. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation)","","2022","Safe Curriculum Learning aims at improving safety and efficiency aspects of Reinforcement Learning (RL). Curricular RL approaches divide a task into stages of increasing complexity in order to increase efficiency. This paper proposes a black box safe curriculum learning architecture applicable to systems with parametric unknowns. The agent domain solely requires knowledge of the state and action spaces’ dimensions for a given task and system. By adding system identification capabilities to existing safe curriculum learning paradigms, the proposed architecture ensures safe learning of tracking tasks without requiring initial knowledge of the system dynamics. A model estimate is generated online to complement safety filters that rely on uncertain models for their safety guarantees. This research explicitly targets linearised systems with decoupled dynamics. The paradigm is initially verified on a mass-spring-damper system, after which it is applied to a quadrotor altitude and attitude tracking task. The RL agent is able to safely learn an optimal policy that can track an independent reference on each degree of freedom.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:cc9d5b53-9723-41af-a9c4-2a02ddb13c0c","http://resolver.tudelft.nl/uuid:cc9d5b53-9723-41af-a9c4-2a02ddb13c0c","Modelling and Handling Quality Assessment of the Flying-V Aircraft","van Overeem, S. (Student TU Delft); Wang, Xuerui (TU Delft Aerospace Structures & Computational Mechanics); van Kampen, E. (TU Delft Control & Simulation)","","2022","Considerable growth in the number of passengers and cargo transported by air is predicted. Besides that, aircraft noise and climate impact become increasingly important factors in aircraft design. These existing challenges in aviation boost interest in the design of innovative aircraft configurations. One of these configurations is a V-shaped flying wing named the Flying-V. This work aims at developing a flight dynamic simulation model of the Flying-V based on aerodynamic data obtained from the Vortex Lattice Method and wind tunnel experiments. The simulation model is used to assess the stability and handling qualities for certification and qualification purposes. Prior work has shown an assessment of the stability and handling qualities based on a linear aerodynamic model. However, to capture the longitudinal undesired behaviour of the Flying-V it is necessary to use a nonlinear aerodynamic model. Therefore, this paper illustrates how a flight dynamic simulation model, based on combined aerodynamic data from the Vortex Lattice Method and wind tunnel experiments, is used for certification and qualification purposes. The stability and handling qualities are assessed by analysing the aircraft dynamic modes and analysing nonlinear system handling qualities based on linearisation for both the cruise and approach condition.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:1055f66c-a2ac-4acc-910f-e3768a402c59","http://resolver.tudelft.nl/uuid:1055f66c-a2ac-4acc-910f-e3768a402c59","Wing Stiffness and Hinge Release Threshold Effects on Folding Wingtip Gust Load Alleviation","Carrillo Córcoles, X. (Student TU Delft); Mertens, C. (TU Delft Aerodynamics); Sciacchitano, A. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics); De Breuker, R. (TU Delft Aerospace Structures & Computational Mechanics); Sodja, J. (TU Delft Aerospace Structures & Computational Mechanics)","","2022","An aeroelastic wind tunnel experiment to identify the influence of the wing stiffness and hinge release threshold on the gust load alleviation performance of a folding wingtip design is presented in this study. Five models with different stiffness and tailoring properties are tested and the wing root bending moment at different conditions is compared to the response with locked hinge conditions to assess the impact on the gust load alleviation capabilities of the folding wingtip. The results show that the structural properties do not have an important impact on the peak load alleviation but the hinge release threshold and timing do. Releasing with the correct timing can reduce significantly the peak loads. However, the dynamics of the system are affected by this release: the flutter speed is decreased and, although the performance can improve, load oscillations increase, which can be considered detrimental for reasons such as fatigue.","","en","conference paper","","","","","","","","","","","Aerodynamics","","",""
"uuid:864e9a7e-2443-4077-87cb-2adfbb98e59e","http://resolver.tudelft.nl/uuid:864e9a7e-2443-4077-87cb-2adfbb98e59e","Black-box Online Aerodynamic Performance Optimization for a Seamless Wing with Distributed Morphing","Ruland, O.L. (Student TU Delft); Mkhoyan, T. (TU Delft Arts & Crafts; TU Delft Aerospace Structures & Computational Mechanics); De Breuker, R. (TU Delft Aerospace Structures & Computational Mechanics); Wang, Xuerui (TU Delft Aerospace Structures & Computational Mechanics)","","2022","Morphing is a promising bio-inspired technology, with the potential to make aircraft more economical and sustainable through adaptation of the wing shape for best efficiency at any flight condition. This paper proposes an online black-box performance optimization strategy for a seamless wing with distributed morphing control. Pursuing global performance, the presented method integrates a global radial basis function neural network (RBFNN) surrogate model with a derivative-free evolutionary optimization algorithm. The effectiveness of the optimization strategy was validated on a vortex lattice method (VLM) aerodynamic model of an over-actuated morphing wing augmented by wind tunnel experiment data. Simulations show that the proposed method is able to control the morphing shape and angle of attack to achieve various target lift coefficients with better aerodynamic efficiency than the unmorphed wing shape. The global nature of the on-board model allows the presented method to find shape solutions for a wide range of target lift coefficients without the need for additional model excitation maneuvers. Compared to the unmorphed shape, up to 14.6% of lift-to-drag ratio increase is achieved.","","en","conference paper","","","","","","","","","","","Arts & Crafts","","",""
"uuid:cb836e81-9621-4e36-8e7a-0771ed5e11bf","http://resolver.tudelft.nl/uuid:cb836e81-9621-4e36-8e7a-0771ed5e11bf","Experimental study of the effect of wing sweep on transonic buffet","D'Aguanno, A. (TU Delft Aerodynamics); Camps Pons, C. (Student TU Delft); Schrijer, F.F.J. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics)","","2022","In this study the effect of wing sweep on transonic buffet is studied experimentally to reveal the differences between two-dimensional (2D) and three-dimensional (3D) wing configurations. Background oriented schlieren (BOS) and stereographic particle image velocimetry (PIV) have been used as measurement techniques, performing experiments on: an airfoil, an unswept wing and two swept wings with a sweep angle of 15° and 30° respectively (all wings are based on the OAT15A airfoil). All wings have been tested at a constant normal Mach number (Ma∞n=0.7) with respect to the leading edge. The results show that the buffet oscillations are much stronger for the airfoil than for the three finite-span wings. A large difference in the buffet behavior can be noticed between the airfoil and the unswept wing, particularly in correspondence of the more outboard spanwise locations, suggesting that in the latter an important role could be played by finite-wing effects, notably the tip vortex. A spectral analysis has shown that for the swept wings the classical 2D buffet peak (occurring at f=160 Hz for the present conditions) is substantially attenuated, while additional contributions in the range of 450-850 Hz appear. The PIV results showed, for the 30° sweep angle wing, a periodical occurrence of a secondary supersonic area downstream of the main shockwave structure, which is absent for the other wing models. The stereographic PIV configuration allowed the reconstruction of the spanwise oriented velocity component, obtaining in the trailing edge area, spanwise outboard velocities (80-100 m/s) which are in agreement with the spanwise convection of buffet cells observed in literature in this region.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-06-01","","","Aerodynamics","","",""
"uuid:71f2ac35-095d-4c9c-a518-c5134bdee2c7","http://resolver.tudelft.nl/uuid:71f2ac35-095d-4c9c-a518-c5134bdee2c7","Streamwise fences for the reduction of trailing-edge noise in a NACA633018 airfoil","Fiscaletti, D. (TU Delft Wind Energy); Luesutthiviboon, S. (TU Delft Reflection & Lifestyle; TU Delft Aircraft Noise and Climate Effects); Avallone, F. (TU Delft Wind Energy); Casalino, D. (TU Delft Wind Energy)","","2022","Streamwise fences for the reduction of the trailing-edge noise are experimentally investigated on a NACA633018 airfoil. Interchangeable trailing-edge inserts with streamwise fences of different spacing and height are tested in an anechoic wind tunnel. Far-field trailing-edge noise was measured by an array of microphone and the airfoil drag was calculated from the wake profiles acquired by a wake rake. The transversal spacing between the fences has a much stronger impact on noise reduction than the fences height. A maximum noise reduction of 5-6dB is obtained from fences having a spacing of 2 mm, and it is achieved in the range of Strouhal numbers based on the chord of 15-40, equivalent to frequencies 1-3 kHz. When increasing the spacing between the fences from 2 mm to 4 mm, a different aeroacoustic behavior is observed, with a lower noise reduction at high frequencies, and a higher noise reduction and low frequencies. Increasing the angle of attack from α=0° to α=6° does not lead to any significant deterioration of the noise reduction performance. From a wake survey, the coefficient of drag was found to increase of only 6-7%when installing trailing-edge inserts with fences.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-06-01","","","Wind Energy","","",""
"uuid:cb1bcaa8-ce6d-4411-945a-89241b919ccf","http://resolver.tudelft.nl/uuid:cb1bcaa8-ce6d-4411-945a-89241b919ccf","Experimental Investigation of Isolated Roughness Induced Transition in a Swept Wing Boundary Layer","Zoppini, G. (TU Delft Aerodynamics); Ragni, D. (TU Delft Wind Energy); Kotsonis, M. (TU Delft Aerodynamics)","","2022","The application of an isolated roughness element in the laminar boundary layer developing on the surface of a wing, introduces flow instabilities that eventually lead to the breakdown of the laminar flow structures and the formation of a turbulent wedge. The present work, investigates the instabilities and transition process initiated by an isolated roughness element applied in a swept wing boundary layer. Specifically, the perturbations induced by a cylindrical element are analysed, providing relevant insights regarding the nature of the instabilities developing in the flow field. The global flow features are measured through infrared thermography, while local information on the stationary and unsteady disturbances are provided by hot-wire anemometry. The collected results, prove that the main instabilities responsible for the wedge origin and evolution are related to the shedding process initiated in the wake of the roughness element. Additionally, the dominant flow features identified in the present work, show significant similarities with those pertaining to 2D boundary layer transition initiated by isolated roughness elements.","","en","conference paper","","","","","","","","","","","Aerodynamics","","",""
"uuid:692baee5-31fd-42f4-8c73-0a1ef0bcd7e4","http://resolver.tudelft.nl/uuid:692baee5-31fd-42f4-8c73-0a1ef0bcd7e4","Displacement-based multi-modal formulation of Koiter’s method applied to cylindrical shells","Castro, Saullo G.P. (TU Delft Aerospace Structures & Computational Mechanics); Jansen, E. L. (Rotterdam University of Applied Sciences)","","2022","The multi-modal formulation of Koiter's asymptotic method provides a systematic and efficient procedure to evaluate the initial post-buckling behaviour and to assess the nonlinear behavior of structures. This manuscript presents a displacement-based multi-modal formulation of Koiter's method for cylindrical shells, which are structures known for their high imperfection sensitivity and for having clustered bifurcation modes that highly interact. A third-order interpolation is used for the in-plane and out-of-plane displacements by means of the Bogner-Fox-Schmit-Castro (BFSC) element, with 4 nodes and 10 degrees-of-freedom per node, aiming at an accurate representation of the second-order fields required in the initial post-buckling analysis. The single-curvature of the shell is considered in the finite element kinematics and the study includes nonlinear kinematics from Von Kármán and Sanders. The mesh is obtained by closing the circumferentially oriented coordinate at the position where the mesh completes one revolution about the shell perimeter. The proposed formulation and implementation is verified in detail by comparing results for composite shells against established literature for multi-mode asymptotic expansions. A fast convergence of the proposed formulation is observed for linear buckling, pre-buckling state and the initial post-buckling coefficients. The developed formulation enables a close relationship between formulae and the implemented code, and is implemented using state-of-the-art collaborative software. The authors made the implemented routines in a publicly available data set with the aim to popularize Koiter's method.","","en","conference paper","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:98dfd00b-dfa6-4b5f-a947-d6cee78e3473","http://resolver.tudelft.nl/uuid:98dfd00b-dfa6-4b5f-a947-d6cee78e3473","Recording Neuronal Activity On Chip with Segmented 3D Microelectrode Arrays","Revyn, N. (TU Delft Electronic Components, Technology and Materials); Hu, Michel H.Y. (Leiden University Medical Center); Frimat, Jean-Philippe (Leiden University Medical Center); de Wagenaar, B. (TU Delft Electronic Components, Technology and Materials); van den Maagdenberg, Arn M.J.M. (Leiden University Medical Center); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Mastrangeli, Massimo (TU Delft Electronic Components, Technology and Materials)","","2022","We present preliminary recordings on chip of three-dimensional (3D) electric neuronal activity from cultures of cortical neurons derived from human-induced pluripotent stem cells (hiPSCs). The recordings were obtained through 3D microelectrode arrays (MEAs) composed of truncated, 90 μm-high Si micropyramids endowed with multiple, electrically distinct, and vertically arranged TiN microelectrodes. The unique design and implementation of the 3D microelectrodes, complemented by a 60-electrode readout interface, allow for 3D spatial recording of neuronal activity, as well as single-unit recordings in high throughput, which are currently not possible with commercial MEA platforms. Future work will aim at optimizing extended 3D MEAs over optically transparent substrates for electro-physiological investigation of 3D neuronal tissues and organoids.","brain-on-chip; electrodes; electrophysiology; microelectrode array; microfabrication; neurons; organ-on-chip","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-08-11","","","Electronic Components, Technology and Materials","","",""
"uuid:a3219085-f9cb-4f16-9ff0-82f3f5315018","http://resolver.tudelft.nl/uuid:a3219085-f9cb-4f16-9ff0-82f3f5315018","Visible Blind Quadrant Sun Position Sensor in a Silicon Carbide Technology","Romijn, J. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); May, Alexander (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Erlbacher, Tobias (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Leijtens, J.A.P. (Lens R&D BV); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials)","","2022","In this paper, we present a quadrant sun position sensor microsystem device in a silicon carbide technology that operates in a field-of-view of ±33° and reaches a mean error of 1.9° in this range. This will allow, for the first time, an inherently visible blind sun position sensor in a CMOS compatible technology. Opto-electronic integration of the photodetectors and CMOS readout circuitry on-chip is vital to compete with the performance of silicon state-of-the-art and for the concept to be adopted by industry, which is where previous implementations of visible blind sun sensors are lacking.","4H-SiC; silicon carbide; sun position sensors; UV photodetectors; wide bandgap semiconductors","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-08-11","","","Electronic Components, Technology and Materials","","",""
"uuid:df4e36ee-2da6-40da-9ac0-49b476451ff4","http://resolver.tudelft.nl/uuid:df4e36ee-2da6-40da-9ac0-49b476451ff4","Bulk Acoustic Wave Based Mocrfluidic Particle Sorting with Capacitive Micromachined Ultrasonic Transducers","Kawasaki, S. (TU Delft Electronic Components, Technology and Materials); Yeh, Jia-Jun (Student TU Delft); Saccher, M. (TU Delft Electronic Components, Technology and Materials); Li, Jian (Student TU Delft); Dekker, R. (Philips Research)","","2022","The main limitation of acoustic particle separation for microfluidic application is its low sorting efficiency. This is due to the weak coupling of surface acoustic waves (SAWs) into the microchannel. In this work, we demonstrate bulk acoustic wave (BAW) particle sorting using capacitive micromachined ultrasonic transducers (CMUTs) for the first time. A collapsed mode CMUT was driven in air to generate acoustic pressure within the silicon substrate in the in-plane direction of the silicon die. This acoustic pressure was coupled into a water droplet, positioned at the side of the CMUT die, and measured with an optical hydrophone. By using a beam steering approach, the ultrasound generated from 32 CMUT elements were added in-phase to generate a maximum peak-to-peak pressure of 0.9 MPa. Using this pressure, 10 µm latex beads were sorted almost instantaneously.","CMUT; Microfluidic particle sorting; Acoustic particle sorting; bulk acoustic wave","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-08-11","","","Electronic Components, Technology and Materials","","",""
"uuid:768be150-d63e-4e7b-a152-644217de31f6","http://resolver.tudelft.nl/uuid:768be150-d63e-4e7b-a152-644217de31f6","Security at the End of the Tunnel: The Anatomy of VPN Mental Models Among Experts and Non-Experts in a Corporate Context","Binkhorst, V.F. (Student TU Delft); Fiebig, T. (TU Delft Information and Communication Technology; Max Planck Institut für Informatik); Krombholz, Katharina (CISPA Helmholtz Center); Pieters, Wolter (Radboud Universiteit Nijmegen); Labunets, K. (Universiteit Utrecht)","","2022","With the worldwide COVID-19 pandemic in 2020 and 2021 necessitating working from home, corporate Virtual Private Networks (VPNs) have become an important item securing the continued operation of companies around the globe. However, due to their different use case, corporate VPNs and how users interact with them differ from public VPNs, which are now commonly used by end-users. In this paper, we present a first explorative study of eleven experts' and seven non-experts' mental models in the context of corporate VPNs. We find a partial alignment of these models in the high-level technical understanding while diverging in important parameters of how, when, and why VPNs are being used. While, in general, experts have a deeper technical understanding of VPN technology, we also observe that even they sometimes hold false beliefs on security aspects of VPNs. In summary, we show that the mental models of corporate VPNs differ from those for related security technology, e.g., HTTPS. Our findings allow us to draft recommendations for practitioners to encourage a secure use of VPN technology (through training interventions, better communication, and system design changes in terms of device management). Furthermore, we identify avenues for future research, e.g., into experts' knowledge and balancing privacy and security between system operators and users.","","en","conference paper","USENIX Association","","","","","","","","","","Information and Communication Technology","","",""
"uuid:5127c02b-55ff-4c99-859c-6f168b623d03","http://resolver.tudelft.nl/uuid:5127c02b-55ff-4c99-859c-6f168b623d03","SynTest-Solidity: Automated Test Case Generation and Fuzzing for Smart Contracts","Olsthoorn, Mitchell (TU Delft Software Engineering); Stallenberg, D.M. (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Technology); Panichella, A. (TU Delft Software Engineering)","","2022","Ethereum is the largest and most prominent smart contract platform. One key property of Ethereum is that once a contract is deployed, it can not be updated anymore. This increases the importance of thoroughly testing the behavior and constraints of the smart contract before deployment. Existing approaches in related work either do not scale or are only focused on finding crashing inputs. In this tool demo, we introduce SynTest-Solidity, an automated test case generation and fuzzing framework for Solidity. SynTest-Solidity implements various metaheuristic search algorithms, including random search (traditional fuzzing) and genetic algorithms (i.e., NSGA-II, MOSA, and DynaMOSA). Finally, we performed a preliminary empirical study to assess the effectiveness of SynTest-Solidity in testing Solidity smart contracts.","","en","conference paper","IEEE / ACM","","","","","","","","","Software Technology","Software Engineering","","",""
"uuid:9836394b-5257-4e3d-9d46-4d66c5a14489","http://resolver.tudelft.nl/uuid:9836394b-5257-4e3d-9d46-4d66c5a14489","A 121.4dB DR, -109.8dB THD+N Capacitively-Coupled Chopper Class-D Audio Amplifier","Zhang, H. (TU Delft Electronic Instrumentation); Berkhout, Marco (Goodix Technology); Makinwa, K.A.A. (TU Delft Microelectronics); Fan, Q. (TU Delft Electronic Instrumentation)","Fujino, Laura C. (editor)","2022","Class-D amplifiers (CDAs) are often used in audio applications due to their superior power efficiency. Due to the sensitivity of the human ear, a large dynamic range (DR) is desired, and audio DACs with up to 130dB DR are commercially available [1]. However, the DR of the CDAs they drive is typically much lower [2]-[4], mainly due to the thermal noise introduced by the input resistors of their resistive feedback networks. Reducing this resistance is difficult, as it reduces the CDA's input impedance and increases the required loop-filter capacitance. Alternatively, the CDA could be configured as a capacitively coupled chopper amplifier (CCCA), whose capacitive feedback network could then achieve low noise without reducing input impedance. However, the large PWM component present at its output would then saturate its input stage. By exploiting the inherent PWM filtering present in a feedback-after-LC architecture, this paper presents a capacitively coupled chopper CDA, resulting in significantly improved DR and THD+N. The prototype achieves 8V_RMS of integrated output noise (A-weighted), a 121.4dB DR, and -1 09.8dB THD+N while delivering a maximum of 15/26W into an 8/4Omega load with 93%/88% efficiency.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-17","","Microelectronics","Electronic Instrumentation","","",""
"uuid:0e022d0d-5ea0-48b3-8757-863122145520","http://resolver.tudelft.nl/uuid:0e022d0d-5ea0-48b3-8757-863122145520","A ±25A Versatile Shunt-Based Current Sensor with 10kHz Bandwidth and ±0.25% Gain Error from -40°C to 85°C Using 2-Current Calibration","Tang, Z. (TU Delft Electronic Instrumentation); Brito Zamparette, R.L. (TU Delft Electronic Instrumentation); Furuta, Yoshikazu (MIRISE Technologies); Nezuka, Tomohiro (MIRISE Technologies); Makinwa, K.A.A. (TU Delft Microelectronics)","Fujino, Laura C. (editor)","2022","Accurate current sensing is critical in many industrial applications, such as battery management and motor control. Precise shunt-based current sensors have been reported with gain errors of less than 1% over the industrial temperature range (-40°C to 85°C) [1]–[4]. However, since they are intended for coulomb counting, their bandwidth is limited to a few tens of Hz, making them unsuitable for battery impedance or motor-current sensing. This paper presents a current sensor with a wide (10kHz) bandwidth and a tunable temperature compensation scheme (TCS), which allows it to be flexibly used with different types of shunts while maintaining high accuracy. A low-cost room-temperature calibration scheme is proposed to optimize gain flatness over temperature by exploiting the shunt's self-heating at large currents. Over the industrial temperature range and a ±25A current range, it achieves state-of-the-art gain error (±0.25%) with both low-cost PCB and stable metal-alloy shunts.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-17","","Microelectronics","Electronic Instrumentation","","",""
"uuid:16508c77-f369-49b4-b398-1f0b364ccb35","http://resolver.tudelft.nl/uuid:16508c77-f369-49b4-b398-1f0b364ccb35","A MEMS Coriolis-Based Mass-Flow-to-Digital Converter with 100g/h/surdHz Noise i Floor and Zero Stability of pm 0.35mg/h","Campos de Oliveira, A. (TU Delft Electronic Instrumentation); Pan, S. (TU Delft Electronic Instrumentation); Makinwa, K.A.A. (TU Delft Microelectronics)","Fujino, Laura C. (editor)","2022","Flow sensors with high resolution (<200g/h/surdHz) and low offset drift (<pm 0.4mg/h) are essential in many microfluidic applications, such as flow cytometry and biological/chemical assays. Although thermal flow sensors can meet these specifications [1], [2], they measure flow velocity, so their calibration is fluid specific. Coriolis flow sensors [3]-[5] are a promising alternative because they measure mass flow and density regardless of fluid type, thus offering more flexibility. However, this has typically been at the expense of lower resolution, offset drift, and large footprint. This paper presents a mass-flow-to-digital converter (phi DC) based on a MEMS Coriolis mass flow sensor and a dedicated readout IC (ROIC). Compared to the state-of-the-art [5], it is more compact and has a digital output. Furthermore, it achieves a 3x improvement in resolution (100 g/h/ surd Hz) and a more than 2 ×improvement in zero stability (pm 0.35mg/h.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-17","","Microelectronics","Electronic Instrumentation","","",""
"uuid:c5909991-f5c2-440f-b3f3-d62ac1ed678e","http://resolver.tudelft.nl/uuid:c5909991-f5c2-440f-b3f3-d62ac1ed678e","More Effective Test Case Generation with Multiple Tribes of AI","Olsthoorn, Mitchell (TU Delft Software Engineering)","","2022","Software testing is a critical activity in the software development life cycle for quality assurance. Automated Test Case Generation (TCG) can assist developers by speeding up this process. It accomplishes this by evolving an initial set of randomly generated test cases over time to optimize for predefined coverage criteria. One of the key challenges for automated TCG approaches is navigating the large input space. Existing state-of-the-art TCG algorithms struggle with generating highly-structured input data and preserving patterns in test structures, among others. I hypothesize that combining multiple tribes of AI can improve the effectiveness and efficiency of automated TCG. To test this hypothesis, I propose using grammar-based fuzzing and machine learning to augment evolutionary algorithms for generating more structured input data and preserving promising patterns within test cases. Additionally, I propose to use behavioral modeling and interprocedural control dependency analysis to improve test effectiveness. Finally, I propose integrating these novel approaches into a testing framework to promote the adoption of automated TCG in industry.","","en","conference paper","","","","","","","","","","","Software Engineering","","",""
"uuid:46b624f3-99e6-4c7a-b752-e75df18c6952","http://resolver.tudelft.nl/uuid:46b624f3-99e6-4c7a-b752-e75df18c6952","Effect of long-term pore pressure evolution on the integrity of cement plugs of abandoned oil wells in CCS sites","Martínez, Ariadna (Universitat Politecnica de Catalunya); Liaudat, J. (TU Delft Geo-engineering); López, Carlos Maria (Universitat Politecnica de Catalunya); Carol, Ignacio (Universitat Politecnica de Catalunya)","","2022","In Carbon Capture Storage (CCS) sites, an important element of risk to be considered is the integrity of the cement seals of the abandoned wells in the reservoir [1]. The main goal of abandonment procedure once the life of a well is completed is to provide an effective isolation of the reservoir fluids in order to reduce environmental risk of contamination. In the case that the site has been reconverted to CCS, this is even more essential to prevent CO2 leaks from the storage site. It is important to note that the cracking conditions of the well cement seal can be affected by the long term changes in pore pressures that take place after the oil exploitation activities have stopped [2]. For example, slow pressure return around extraction wells (where the pore pressure had been subject to a sustained reduction during long extraction periods) may cause a progressive reduction of the effective stresses acting on the cement casing and plug, while the opposite can happen at injection wells. And these effects may be partially modified by the overall structural response due to the volume changes implied by the effective stress changes [3]. In this paper, a preliminary study of the effects of such stress changes on the potential integrity of a 2D cross-section of the sealed oil well system (caprock-external cement sheath-steel casingcement plug) during its service-life (injection/production activities and abandonment) has been performed by means of FE method including zero-thickness interface elements to represent potential cracks. In particular, these elements are pre-inserted in the analysis in between the contacts of caprock-external cement sheath, external cement sheath-casing and casing-cement plug. The results presented show that, depending on the initial state and range of pressure evolution, the different interfaces considered may open or close in a non-trivial manner during the pressure return process. This seems to indicate the importance of considering carefully the pressure return process and subsequent effective stresses evolution in abandoned reservoirs recycled to CCS, in order to avoid that new cracks in well cement seals may lead to potential CO2 leakage in the storage site.","","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:a0f6ba80-1f7f-472b-814c-6636cd6dbbb6","http://resolver.tudelft.nl/uuid:a0f6ba80-1f7f-472b-814c-6636cd6dbbb6","Numerical Modelling of Two-Phase Flow in Fractured Rock Masses Using Zero-Thickness Interface Elements","Barandiarán, Lucía (Universitat Politecnica de Catalunya); Liaudat, J. (TU Delft Geo-engineering); López, Carlos Maria (Universitat Politecnica de Catalunya); Carol, Ignacio (Universitat Politecnica de Catalunya)","","2022","In recent years, the authors and co-workers have developed a 3D finite element model for coupled thermo-hydro-mechanical (THM) problems in fractured rock masses. Zero-thickness interface elements are used for taking into account explicitly the effect of fractures and discontinuities in the fluid flow as well as the effect of fluid pressure in the crack propagation. Furthermore, the use of zero-thickness elements as a discrete modelling approach for fractures and discontinuities makes it possible to account for the heat transport taking place within these elements, even when advection dominates over diffusion (high Peclet number) [1]. The model has been implemented in the finite element code DRAC5, which is equipped with fracture-based interface elements and MPI parallel capabilities [2]. The code was originally developed considering water-saturated porous medium and fractures. The new developments described in the present paper, include the extension of the original formulation to the case of two-phase (liquid and gas) flow within the porous medium and discontinuities. The liquid includes only liquid water species, while the gas phase includes water vapour and gas species. The formulation includes the equilibrium equation, the mass balance of water and gas species and the energy balance equation. The parameters of the retention and relative permeability curves for the interface elements, such as the gas entry value and the residual water saturation, are updated with the variation of the normal aperture. The new capabilities of the model are illustrated with some academic verification examples.","","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:2a4b6138-595f-44f2-9d26-da0eb2d60d1a","http://resolver.tudelft.nl/uuid:2a4b6138-595f-44f2-9d26-da0eb2d60d1a","Artificial Trust as a Tool in Human-AI Teams","Centeio Jorge, C. (TU Delft Interactive Intelligence); Tielman, M.L. (TU Delft Interactive Intelligence); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden)","","2022","Mutual trust is considered a required coordinating mechanism for achieving effective teamwork in human teams. However, it is still a challenge to implement such mechanisms in teams composed by both humans and AI (human-AI teams), even though those are becoming increasingly prevalent. Agents in such teams should not only be trustworthy and promote appropriate trust from the humans, but also know when to trust a human teammate to perform a certain task. In this project, we study trust as a tool for artificial agents to achieve better team work. In particular, we want to build mental models of humans so that agents can understand human trustworthiness in the context of human-AI teamwork, taking into account factors such as human teammates', task's and environment's characteristics.","HART; trustworthiness; trust; human-robot teams; human-agent; human-AI; hybrid intelligence; intelligent agents","en","conference paper","IEEE Press","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-07","","","Interactive Intelligence","","",""
"uuid:f6bef77a-2f9b-475e-a44e-f30d6a4e14eb","http://resolver.tudelft.nl/uuid:f6bef77a-2f9b-475e-a44e-f30d6a4e14eb","Tweetology of Learning Analytics: What does Twitter tell us about the trends and development of the field?","Khalil, Mohammad (University of Bergen); Wong, L.Y.J. (TU Delft Statistics); Er, Erkan (Middle East Technical University); Heitmann, Martin (University of Bergen); Belokrys, Gleb (University of Bergen)","","2022","Twitter is a very popular microblogging platform that has been actively used by scientific communities to exchange scientific information and to promote scholarly discussions. The present study aimed to leverage the tweet data to provide valuable insights into the development of the learning analytics field since its initial days. Descriptive analysis, geocoding analysis, and topic modeling were performed on over 1.6 million tweets related to learning analytics posted between 2010-2021. The descriptive analysis reveals an increasing popularity of the field on the Twittersphere in terms of number of users, twitter posts, and hashtags emergence. The topic modeling analysis uncovers new insights of the major topics in the field of learning analytics. Emergent themes in the field were identified, and the increasing (e.g., Artificial Intelligence) and decreasing (e.g., Education) trends were shared. Finally, the geocoding analysis indicates an increasing participation in the field from more diverse countries all around the world. Further findings are discussed in the paper.","geospatial analysis; learning analytics; topic modeling; Twitter; Twitter analysis","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Statistics","","",""
"uuid:93e2d5fe-8c23-4241-ba10-e5185c226f93","http://resolver.tudelft.nl/uuid:93e2d5fe-8c23-4241-ba10-e5185c226f93","Towards Collaborative Convergence: Quantifying Collaboration Quality with Automated Co-located Collaboration Analytics","Praharaj, Sambit (Open University of the Netherlands); Scheffel, Maren (Ruhr-Universität Bochum); Schmitz, Marcel (Zuyd University of Applied Science); Specht, M.M. (TU Delft Web Information Systems); Drachsler, Hendrik (Open University of the Netherlands; Goethe University)","","2022","Collaboration is one of the four important 21st-century skills. With the pervasive use of sensors, interest on co-located collaboration (CC) has increased lately. Most related literature used the audio modality to detect indicators of collaboration (such as total speaking time and turn taking). CC takes place in physical spaces where group members share their social (i.e., non-verbal audio indicators like speaking time, gestures) and epistemic space (i.e., verbal audio indicators like the content of the conversation). Past literature has mostly focused on the social space to detect the quality of collaboration. In this study, we focus on both social and epistemic space with an emphasis on the epistemic space to understand different evolving collaboration patterns and collaborative convergence and quantify collaboration quality. We conduct field trials by collecting audio recordings in 14 different sessions in a university setting while the university staff and students collaborate over playing a board game to design a learning activity. This collaboration task consists of different phases with each collaborating member having been assigned a pre-fixed role. We analyze the collected group speech data to do role-based profiling and visualize it with the help of a dashboard.","co-located collaboration; collaboration; collaboration analytics; multimodal learning analytics","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:3f622cc4-e4c3-4e40-9d40-3f871a6ec003","http://resolver.tudelft.nl/uuid:3f622cc4-e4c3-4e40-9d40-3f871a6ec003","A 1.66Gb/s and 5.8pJ/b Transcutaneous IR-UWB Telemetry System with Hybrid Impulse Modulation for Intracortical Brain-Computer Interfaces","Song, Minyoung (Stichting IMEC Nederland); Huang, Yu (Student TU Delft; Stichting IMEC Nederland); Shen, Yiyu (Stichting IMEC Nederland); Shi, Chengyao (Stichting IMEC Nederland; Eindhoven University of Technology); Breeschoten, Arjan (Stichting IMEC Nederland); Konijnenburg, Mario (Stichting IMEC Nederland); Visser, Huib (Stichting IMEC Nederland); Romme, J.P.A. (Stichting IMEC Nederland); Dutta, Barundeb (IMEC); Alavi, S.M. (TU Delft Electronics)","Fujino, Laura C. (editor)","2022","Intra-cortical extracellular neural sensing is being rapidly and widely applied in several clinical research and brain-computer interfaces (BCIs), as the number of sensing channels continues to double every 6 years. By distributing multiple high-density extracellular micro-electrode arrays (MEAs) in vivo across the brain, each with 1000's of sensing channels, neuroscientists have begun to map the correlation of neuronal activity across different brain regions, with single-neuron precision [1]. Since each neural sensing channel typically samples at 20 to 50kS/s with a > 10b ADC, multiple MEAs demand a data transfer rate up to Gb/s [2]. However, these BCIs are severely hindered in many clinical uses due to the lack of a high-data-rate and miniature-wireless-telemetry solution that can be implanted below the scalp, i.e., transcutaneously (Fig. 24.2.1). The area of the wireless telemetry module should be miniaturized to ~3cm2 due to neurosurgical implantation constraints. A transmission range up to 10cm is highly desirable, in order to improve the reliability of the wireless link against e.g., antenna misalignment, etc. Finally, the power consumption of the wireless telemetry should be limited to ~10mW to minimize thermal flux from the module's surface area, avoiding excessive tissue heating. Most of the conventional transcutaneous wireless telemetry systems adopt inductive coupling, but the data-rate is limited to a few Mb/s. A near-infrared (NIR) optical transcutaneous TX using a vertical-cavity-surface-emitting laser (VCSEL) [2] demonstrated a data-rate up to 300Mb/s but suffers from a limited transmission range (4mm) and requires a sub-mm precise alignment between the implant TX and a wearable RX. Impulse-radio UWB (IR-UWB) is promising for the targeted requirements [3]–[5].","Wireless communication; Wireless sensor networks; Power demand; Transmitting antennas; Scalp; Brain-computer interfaces; Telemetry","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:d5763259-4226-4e9b-b2f7-34bc6de1f4b1","http://resolver.tudelft.nl/uuid:d5763259-4226-4e9b-b2f7-34bc6de1f4b1","A 2.6-to-4.1GHz Fractional-N Digital PLL Based on a Time-Mode Arithmetic Unit Achieving -249.4dB FoM and -59dBc Fractional Spurs","Gao, Z. (TU Delft Electronics); He, J. (TU Delft Electronics); Fritz, Martin (Sony Europe); Gong, J. (TU Delft QCD/Sebastiano Lab); Shen, Y. (TU Delft Electronics); Zong, Z. (TU Delft Electronics); Chen, Peng (University College Dublin); Staszewski, R.B. (TU Delft Electronics); Alavi, S.M. (TU Delft Electronics); Babaie, M. (TU Delft Electronics)","Fujino, Laura C. (editor)","2022","In a fractional-N PLL, it is beneficial to minimize the input range of its phase detector (PD) as it promotes better linearity and higher PD gain for suppressing noise contributions of the following loop components. This can be done by canceling the predicted instantaneous time offset between the frequency reference (FREF) and the variable oscillator-clock (CKV) edges prior to the PD. There are currently two main cancellation strategies. The first is to align FREF and CKV by inserting a digital-to-time converter (DTC) on either path. However, due to the DTC nonlinearity and its susceptibility to PVT variations, the PLL can suffer from large fractional spurs. Although system-level techniques, e.g., background calibration [1], supply ripple reduction [2], and DTC code randomization [3], can partially alleviate these DTC issues, the overall system complexity worsens. The second method is to convert and cancel the predicted time offset in the voltage domain [4]. This arrangement is less sensitive to PVT variations. However, the accuracy of the time-to-voltage conversion relies on the strict trade-offs between the power consumption, noise, and linearity of a current source. In this work, we introduce a third solution based on a time-mode arithmetic unit (TAU), which outputs a weighted sum of time delays between the (falling) edges of FREF and CKV, as well as between two consecutive CKV edges. Compared with DTC-based solutions, it is less sensitive to PVT variations, as its output merely varies by the ratio of RC time constants, thus ensuring low fractional spurs with no extra system complexity. Compared to the voltage-domain solutions, the absence of a current source is beneficial for phase-noise optimization and migration to more advanced technology nodes. Moreover, TAU can implicitly provide a time-amplification (TA) gain, thus further suppressing the noise of subsequent blocks.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:959b9133-fa65-48ab-8ed1-b2fd20e90379","http://resolver.tudelft.nl/uuid:959b9133-fa65-48ab-8ed1-b2fd20e90379","A 0.049mm2 7.1-to-16.8GHz Dual-Core Triple-Mode VCO Achieving 200dB FoMA in 22nm FinFET","Gong, J. (TU Delft QCD/Sebastiano Lab; TU Delft QuTech Advanced Research Centre); Patra, Bishnu (intel); Enthoven, L.A. (TU Delft QCD/Sebastiano Lab; TU Delft QuTech Advanced Research Centre); van Staveren, J. (TU Delft QCD/Sebastiano Lab; TU Delft QuTech Advanced Research Centre); Sebastiano, F. (TU Delft Quantum Circuit Architectures and Technology; TU Delft QuTech Advanced Research Centre); Babaie, M. (TU Delft Electronics; TU Delft QuTech Advanced Research Centre)","Fujino, Laura C. (editor)","2022","LC VCOs with low phase noise (PN) and an octave frequency-tuning range (FTR) are required for multistandard communication devices, software-defined radios, and wireline data links. A viable popular approach is to exploit multicore mode-switching VCOs for two reasons: (1) their PN improves linearly by in-phase coupling of N identical VCOs; (2) the resonant-mode switching enhances the VCO FTR without degrading the tank quality factor (Q) as no RF current ideally flows through lossy mode-selection switches. However, it is still challenging for dual-mode VCOs to achieve a competitive FoM while covering an octave FTR at oscillation frequencies (F_OSC) above 6GHz [1]. To enhance the number of oscillation modes to 3, [2] added a center-loop inductor (L_C) to a transformer, as shown in Fig. 9.2.1. However, a large FTR gap is measured, since the transformer windings should be strongly coupled to accommodate L_C, The authors of [3] and [4] realized a triple- and quad-mode operation, respectively, by coupling two individual transformer-based resonators (see Fig. 9.2.1). Apart from the large area penalty, the former needs an extra third winding (L_T) in each transformer that degrades the tank Q, while the latter used large, fixed coupling capacitors (C_M) that load the tank in two of the resonant modes, thus limiting the VCO FTR.","","en","conference paper","IEEE","","","","","","","","","","QCD/Sebastiano Lab","","",""
"uuid:62696e2e-65af-4890-a8ba-caba0ba0da69","http://resolver.tudelft.nl/uuid:62696e2e-65af-4890-a8ba-caba0ba0da69","A 23-to-29GHz Receiver with mm-Wave N-Input-N-Output Spatial Notch Filtering and Autonomous Notch-Steering Achieving 20-to-40dB mm-Wave Spatial Rejection and -14dBm In-Notch IP1 dB","Zhang, L. (TU Delft Electronics); Babaie, M. (TU Delft Electronics)","Fujino, Laura C. (editor)","2022","Digital beamforming receivers (RXs) support MIMO operation and offer great flexibility and accuracy in multi-beam formation and calibration. However, compared with analog phased-array and hybrid systems, due to the absence of any rejection for spatial in-band blockers, the RX/ADC dynamic range and linearity should be high enough to prevent array saturation. Therefore, the use of self-steering spatial notch filters (SNFs) is necessary to aid the digital beamformers and reduce RX/ADC power consumption while strong blockers exist. To address that, the sub-6GHz RXs in [1], [2] synthesize a baseband spatial notch impedance and translate it to RF by passive mixers. However, this technique cannot be directly applied at mm-wave frequencies as the impedance translational performance of the passive mixers degrades significantly. Hence, the mm-wave beamformer in [3] realizes a cascadable SNF at an intermediate frequency (IF). However, the front-end mm-wave components like mixers and phase shifters have to tolerate strong blockers, thus degrading RX linearity. Besides, it uses multiple IF buffers and VGAs for signal scaling and combining, which could be power-hungry if a similar method is adopted to realize a mm-wave SNF. To improve on those limitations, we propose a scalable SNF structure, which (1) suppresses the strongest in-band blocker at mm-wave frequencies, (2) supports N-input-N-output MIMOs, and (3) requires no active blocks except the phase shifters. A two-step autonomous notch-steering technique is also developed to adjust the SNF notch direction power-efficiently and accurately.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:7c364baa-380a-4df3-9ac9-801099b9f72d","http://resolver.tudelft.nl/uuid:7c364baa-380a-4df3-9ac9-801099b9f72d","A -91 dB THD+N Resistor-Less Class-D Piezoelectric Speaker Driver Using a Dual Voltage/ Current Feedback for LC Resonance Damping","Karmakar, S. (TU Delft Electronic Instrumentation); Berkhout, Marco (Goodix Technology); Makinwa, K.A.A. (TU Delft Microelectronics); Fan, Q. (TU Delft Electronic Instrumentation)","Fujino, Laura C. (editor)","2022","Piezoelectric speakers are gaining popularity on account of their improving form-factor and audio quality, making them a good fit for many audio applications such as in televisions, laptops, etc. Such speakers can be modelled as a large capacitive load, and so are typically driven by a Class-AB amplifier via a series resistor that ensures driver stability, and limits load current, but wastes power [1], [2]. In [3], the Class-AB amplifier is replaced by a more power-efficient Class-D amplifier (CDA) in series with an additional inductor. However, a series resistor is still required to damp the resulting LC resonant circuit, which could otherwise draw excessive currents when excited by large-signal distortion (e.g. clipping) harmonics around the LC resonance frequency. Alternatively, by using a feed-forward architecture based on LC filter diagnostics to limit overshoot currents, the series resistor can be replaced by a second inductor, at the expense of increased system complexity and cost [4].","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-17","","Microelectronics","Electronic Instrumentation","","",""
"uuid:9253925f-325b-4ad4-badb-e6f976ec0c7d","http://resolver.tudelft.nl/uuid:9253925f-325b-4ad4-badb-e6f976ec0c7d","Decision Support Framework for Military Aircraft Fleet Retirement Decisions","Newcamp, Jeffrey M. (USAF Academy); Verhagen, W.J.C. (Royal Melbourne Institute of Technology University); Curran, R. (TU Delft Air Transport & Operations)","","2022","Aircraft fleet managers lack tools to aid decision-making for fleets nearing retirement, which leads to rushed and ill-informed decisions. Accordingly, aging aircraft fleets are underutilized and fleets can be retired before their useful lifetime has been expended. A decision support framework is proposed to solve the aging military aircraft retirement problem. It integrates four steps for fleet managers to simplify the decision-making process: (i) Understanding the structural toll caused by utilization, (ii) Recognizing the indicators that predispose a fleet for retirement, (iii) Determining an optimal fleet size and choosing which aircraft to retire and (iv) Optimizing end-of-life usage prior to retirement. An example using a sample military fleet is used to illustrate the effectiveness of the decision support framework, integrating both computational results and manager judgement. Fleet managers were used to validate the concepts in the framework and their opinions are presented herein. It is shown that fleet managers can utilize a decision support framework to positively impact their decision-making for full-spectrum aging aircraft retirement decisions.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Air Transport & Operations","","",""
"uuid:fe5a68d7-1291-46a1-9ac8-47b6a05b8537","http://resolver.tudelft.nl/uuid:fe5a68d7-1291-46a1-9ac8-47b6a05b8537","An Improved Pareto Front Modeling Algorithm for Large-scale Many-Objective Optimization","Panichella, A. (TU Delft Software Engineering)","","2022","A key idea in many-objective optimization is to approximate the optimal Pareto front using a set of representative non-dominated solutions. The produced solution set should be close to the optimal front (convergence) and well-diversified (diversity). Recent studies have shown that measuring both convergence and diversity depends on the shape (or curvature) of the Pareto front. In recent years, researchers have proposed evolutionary algorithms that model the shape of the non-dominated front to define environmental selection strategies that adapt to the underlying geometry. This paper proposes a novel method for non-dominated front modeling using the Newton-Raphson iterative method for roots finding. Second, we compute the distance (diversity) between each pair of non-dominated solutions using geodesics, which are generalizations of the distance on Riemann manifolds (curved topological spaces). We have introduced an evolutionary algorithm within the Adaptive Geometry Estimation based MOEA (AGE-MOEA) framework, which we called AGE-MOEA-II. Computational experiments with 17 problems from the WFG and SMOP benchmarks show that AGE-MOEA-II outperforms its predecessor AGE-MOEA as well as other state-of-the-art many-objective algorithms, i.e., NSGA-III, MOEA/D, VaEA, and LMEA.","Evolutionary algorithms; Multi-objective Optimisation; Newton-Raphson (N-R) method; Geodesic distance","en","conference paper","Association for Computer Machinery","","","","","","","","","","Software Engineering","","",""
"uuid:eb0f773b-1f08-479f-8d6a-bf731529d978","http://resolver.tudelft.nl/uuid:eb0f773b-1f08-479f-8d6a-bf731529d978","(Re)organizing circular design projects: Four tool applications and reflections","van den Berg, Marc (University of Twente); Schraven, D.F.J. (TU Delft Integral Design & Management); Schultheiss, F.G. (HAN University of Applied Sciences); Frese, Tristan (Schijf Groep)","Shahnoori, Shore (editor); Mohammadi, Masi (editor)","2022","","circular economy; design; organizations; project management; tools","en","conference paper","Technische Universiteit Eindhoven","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Integral Design & Management","","",""
"uuid:577ab415-c712-47aa-a0fa-b605b4c5b0d6","http://resolver.tudelft.nl/uuid:577ab415-c712-47aa-a0fa-b605b4c5b0d6","LADM Valuation Information Model Compliant Prototype for Visualisation and Dissemination of 3D Valuation Units and Groups","Kara, A. (TU Delft GIS Technologie); van Oosterom, P.J.M. (TU Delft GIS Technologie); Kathmann, Ruud; Ilgar, Azer; Lemmen, Christiaan (Netherlands Cadastre)","","2022","The interest in using 3D data in property valuation has been increasing during the last decade. The usage of 3D data models in valuation can be basically grouped in two main categories: (a) supporting mathematical models with variables produced through 3D analyses (e.g. view) in order to better estimate the values of properties and (b) consuming 3D data models to visualise valuation units in 3D and disseminate values of properties associated with the visualised units (legal or physical space). The current paper focuses on the latter category in particular.
The main purpose of this paper is to develop a prototype system utilising the proposed Valuation Information Model extension of ISO 19152 Land Administration Domain Model (LADM_VM) compliant dataset in order to create web-based, thematic valuation maps for 3D valuation units (e.g. condominium) and groups (e.g. multi-occupied building). In the first part of the paper, it is attempted to justify why an extension to the core LADM is required to represent valuation information. It is noted that LADM_VM enables to record 3D spaces of valuation units, and input and output data of 3D analyses. Therefore, LADM_VM can be used as basis for developing 3D visualisation and dissemination prototype. In the second part of the paper, special attention will be given to the 3D visualisation and dissemination of spatial, thematic and temporal characteristics of valuation information and a prototype is developed using the open datasets of the Netherlands.
It is expected that the outputs of this paper will contribute to the development of local or national prototype systems for sharing valuation information effectively and efficiently. Therefore, it may be considered that the outputs of the paper not supports in increasing the communication level with public, but also supports politicians and planners in decision-making processes and helping them to understand the property market better. With the proposed system (prototype) the trust in the valuation is expected to further increase due to the high level of transparency.","Property valuation; ISO 19152; Land Administration Domain Model (LADM); Valuation Information Model; 3D visualization, Dissemination","en","conference paper","","","","","","","","","","","GIS Technologie","","",""
"uuid:512fccf3-972c-4421-89f2-0cb4ffe56c14","http://resolver.tudelft.nl/uuid:512fccf3-972c-4421-89f2-0cb4ffe56c14","Investigating the Requirements for the ISO 19152 LADM Survey Encodings","Kalogianni, E. (TU Delft GIS Technologie); Gruler, Hans Christoph; Bar-Maor, Amir; Harold, Bruce; Lemmon, Tim; Lemmen, Christiaan (Netherlands Cadastre); van Oosterom, P.J.M. (TU Delft GIS Technologie)","","2022","The ISO 19152:2012 Land Administration Domain Model (LADM), focuses on standardised modelling of land information at the conceptual level, and together with LADM’s three main packages, it has a dedicated sub package for Spatial and Surveying representation. The first edition of the standard provides multiple spatial representations, and a rather generic survey model based on the ISO 19156:2011 Observations and Measurement Standard (O&M).
As an ISO standard, the LADM is subject to periodic revision, and currently, its revision is ongoing and among other refinements, the enhanced support of the surveying model, both at conceptual and implementation level is expected. In this scene, in order for LADM to support a broad range in surveying and data acquisition approaches and accuracies, a refinement is ongoing considering the recent evolution of technology and the encodings used in practice.
Therefore, a refined survey model has been prepared and is included at the New Working Item Proposal for LADM Edition II – Part 2, with various data acquisition techniques, as presented in this paper. Based on it and on the experience and requirements from the industry and the standardization organisations, this paper presents the requirements that encoding formats should fulfill to support the revised surveying model.","LADM; ISO; OGC; Surveying; Encodings; Interoperability; Standardisation","en","conference paper","","","","","","","","","","","GIS Technologie","","",""
"uuid:8a440a06-d972-4d0a-9100-5ebb662d1d55","http://resolver.tudelft.nl/uuid:8a440a06-d972-4d0a-9100-5ebb662d1d55","Refining the Legal Land Administration-related Aspects in LADM","Kalogianni, E. (TU Delft GIS Technologie); Kara, A. (TU Delft GIS Technologie); Beck, Anthony; Paasch, Jesper M. (Aalborg University); Zevenbergen, Jaap; Dimopoulou, Efi (National Technical University of Athens); Kitsakis, Dimitrios (National Technical University of Athens); van Oosterom, P.J.M. (TU Delft GIS Technologie); Lemmen, Christiaan (Netherlands Cadastre)","","2022","Among the topics that are introduced and/ or being refined in the context of the revision of the LADM 19152:2012, the legal Land Administration-related aspects are being investigated. With the knowledge and the experience from the developments of the LADM so far, and the ongoing discussion between the parties involved in the standardisation process, the need to clarify certain legal land-related aspects and to examine the alternatives for further refinement is highlighted.
Therefore, this paper presents prior work on LADM-related legal aspects since the vote of LADM as ISO standard (2012), till the time of the preparation of this paper (2021) to report the necessary background for this research. This concerns the developments related to the various legal refinements that have been proposed during this time period and specifically the refinement of the legal profiles, the LADM functional support to representation of both statutory and customary tenure and the work regarding the explicit definition of restrictions that arise from Public Law.
Moreover, this paper focuses on documenting the proposals on a refined legal model for the LADM Edition II. These include the following: (a) more detailed classification of RRRs, based on the two major types of interests in land: privately agreed interests as well as regulations imposed by a public agency and Public Law restrictions. The paper also includes (b) a discussion on the extent that LADM Edition I provides efficient support for the title and deed registration systems (as others e.g. in socialist environment), as well as (c) a discussion on how restrictions and responsibilities can be modelled as rights’ relationships between an owning and a benefitting Party.","Land administration; LADM; ISO 19152; Land rights; Restrictions; Titles; Deeds; Code lists","en","conference paper","","","","","","","","","","","GIS Technologie","","",""
"uuid:630738ce-5386-4f78-95ce-93b13a14b285","http://resolver.tudelft.nl/uuid:630738ce-5386-4f78-95ce-93b13a14b285","Formalisation of Code Lists and Their Values – The Case of ISO 19152 Land Administration Domain Model","Kara, A. (TU Delft GIS Technologie); Rowland, Alexandra; van Oosterom, P.J.M. (TU Delft GIS Technologie); Stubkjaer, Erik (Aalborg University); Çağdaş, Volkan; Folmer, E (Kadaster; University of Twente); Lemmen, Christiaan (Netherlands Cadastre); Quak, C.W. (TU Delft GIS Technologie); Meggiolaro, Laura","","2022","A code list in Unified Modeling Language (UML), a simple list of values without any structure, can be employed as a simple data type to further capture the semantics of a domain. The code list values as used in international standards (e.g. ISO and OGC) are generally presented without definition, reference to the source of a definition, multi-lingual alternative term support and semantic relationships (e.g. hierarchical, associative). Moreover, managing, implementing, and maintaining UML code lists can be considered as a difficult task since they generally do not provide structured and semantically enriched values. This is also true in the case of ISO 19152:2012 Land Administration Domain Model (LADM), which is currently under systematic review and adding more content, meaning and structure to code list values could be considered an improvement.
In last decade, there is a growing interest in representing terms as well as code list values using Semantic Web technologies (e.g. RDF, OWL, SKOS, SPARQL) and making them available on a registry (e.g. ISO/TC211 Geolexica, OGC Definitions Server, INSPIRE code list register, BARTOC), including land administration domain (e.g. CaLAThe, LandVoc). However, there is no joint understanding in structuring, extending and maintaining code list values, which may be achieved through an agreed metamodel. Such a metamodel should also provide insight into content, localisation (multi-lingual support), versioning and implementation.
The aim of this study is to propose a framework (basically a metamodel) for structuring, extending, maintaining and implementing semantically enriched code lists, and to discuss the application of the proposed framework to be included in the revision of LADM. To achieve this aim, the requirements for refined code list values are firstly collected considering existing thesauri, vocabularies and standards. Subsequently, a metamodel is proposed for the refined code list. The proposed metamodel is applied to a code list of LADM as well as a part of a selected country profile.","Code list; Formalisation; Metamodel; Semantic web; ISO 19152; LADM","en","conference paper","","","","","","","","","","","GIS Technologie","","",""
"uuid:0a3e68eb-fb81-46fb-b50f-d72f38555b25","http://resolver.tudelft.nl/uuid:0a3e68eb-fb81-46fb-b50f-d72f38555b25","Toward a Zero-Emission Container Terminal: Simulation-Based Research on Horizontal Equipment","van Duin, Ron (TU Delft Transport and Logistics; Rotterdam University of Applied Sciences); van der Wijst, C. (Student TU Delft); Geerlings, H. (Erasmus Universiteit Rotterdam); van Wee, G.P. (TU Delft Transport and Logistics)","","2022","A brownfield container terminal aims to realize a zero-emission vehicle fleet by 2040. To prepare for the fleet investments, the terminal wants to ascertain the consequences for its costs and operational performance of implementing a new generation of zero-emission equipment for the horizontal transportation of the containers at the terminal. To address this issue, a literature research is carried out to formulate the technological criteria for energy carriers and to identify possible energy sources that could facilitate the transition from a fossil fuel-based vehicle fleet toward a zero-emission vehicle fleet. The list of possible energy carriers is reduced by an assessment. The outcome of the assessment results in two best options, namely, the lithium-ion battery and hydrogen, for the vehicle fleet. Consequently, a discrete event simulation is carried out to assess the operational performances of these two energy carriers. This approach can be applied for many other terminals that are exploring ways to achieve a zero-emission fleet.","","en","conference paper","Transportation Research Board (TRB)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-01","","","Transport and Logistics","","",""
"uuid:057a82f4-df7b-4d5c-9493-2c254a59d001","http://resolver.tudelft.nl/uuid:057a82f4-df7b-4d5c-9493-2c254a59d001","How Can Digital Technologies Support the Circular Transition of Social Housing Organizations? Empirical Evidence from Two Cases","Çetin, Sultan (TU Delft Real Estate Management); Straub, A. (TU Delft Design & Construction Management); Gruis, V.H. (TU Delft Real Estate Management)","","2022","The world is facing an alarming housing crisis. The challenge for the construction industry is to find sustainable ways to meet this growing housing demand. The concept of Circular Economy could be an alternative approach as it aims to regenerate, narrow, slow, and close resources loops. Digital technologies are seen as enablers to implementing these looping strategies through their capabilities for managing information and supporting collaboration and new business model creation. In the built environment, many digital innovations have emerged that support the circular transition of the industry at various spatial scales. However, these innovations mainly focus on nano, micro, and macro scales and lack perspectives on the meso level (real estate portfolio). This research aims to understand how digital technologies can support circular strategies at the meso level by collecting empirical evidence from the European social housing organizations actively experimenting with circular strategies. We conducted a multiple-case study method and chose two cases from the UK and Belgium. We collected data through desk research and online group interviews. Our results indicate that housing organizations adopt a wide range of circular strategies for managing their housing portfolio. The support of digital technologies to perform the circularity is low. Our findings suggest five potentially enabling digital technologies at the meso level supporting the housing sector towards circularity: circular asset management tools, digital building logbooks, material passports, BIM, and collaboration tools.","circular economy; built environment; social housing; digital technology; case study; Meso scale","en","conference paper","Eindhoven University of Technology","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-09","","","Real Estate Management","","",""
"uuid:c966c346-ed66-4066-b204-dcfac634139c","http://resolver.tudelft.nl/uuid:c966c346-ed66-4066-b204-dcfac634139c","Full Spectrum b-Modulation of Time-Limited Signals Using Linear Programming","Wahls, S. (TU Delft Team Sander Wahls)","","2022","We present the first method for the joint modulation of the continuous and the discrete nonlinear Fourier spectrum of finite duration signals.","","en","conference paper","Optica Publishing Group (formerly OSA)","","","","","Accepted Author Manuscript","","","","","Team Sander Wahls","","",""
"uuid:25782502-32fd-4e77-9c5f-20485d78e461","http://resolver.tudelft.nl/uuid:25782502-32fd-4e77-9c5f-20485d78e461","Software Bots in Software Engineering: Benefits and Challenges","Wessel, Mairieli (TU Delft Software Engineering); Gerosa, Marco Aurélio (Universidade de São Paulo; Northern Arizona University); Shihab, Emad (Concordia University)","","2022","Software bots are becoming increasingly popular in software engineering (SE). In this tutorial, we define what a bot is and present several examples. We also discuss the many benefits bots provide to the SE community, including helping in development tasks (such as pull request review and integration) and onboarding newcomers to a project. Finally, we discuss the challenges related to interacting with and developing software bots.","Software Bots; GitHub Bots; Chatbots; Human-bot Interaction; Open Source Software; Automation; Collaborative Development","en","conference paper","","","","","","","","","","","Software Engineering","","",""
"uuid:74dc937f-60af-4022-b5e6-21f1617f0e29","http://resolver.tudelft.nl/uuid:74dc937f-60af-4022-b5e6-21f1617f0e29","A Policy Coherence Framework for Circular Built Environment Implementation: the Case of a Campus Development","Bucci Ancapi, F.E. (TU Delft Urban Development Management); Van den Berghe, K.B.J. (TU Delft Urban Development Management); van Bueren, Ellen (TU Delft Management in the Built Environment)","Shahnoori, Shore (editor); Mohammadi, Masi (editor)","2022","Dozens of cities around the world have already envisioned a circular built environment by establishing a variety of sectorial policies, strategies, and roadmaps, among other policy documents. As circularity is introduced in the making and operation of the built environment, caveats have been raised upon the governance of circular transitions. Policy coherence – or the extent to which policies are well-aligned and create synergies for implementation – in circular built environment research remains an unaddressed aspect of policymaking that, when lacking, may affect the transition’s effectiveness. This article aims to synthesize what policy coherence entails and how can it be assessed particularly in the transition towards a circular built environment. To do so, we developed a two-step approach. Firstly, resorting to academic literature we developed a framework for policy coherence and combined it with an existing framework for circular city development. The resulting framework combines four elements: policy levels, dimensions of coherence, actions for circular city developments, and levers for circular development. Secondly, we tested our framework for the transition of Delft University of Technology’s campus, in the Netherlands, towards a circular and carbon-neutral campus by 2030. Results show that the campus transition policy is increasingly improving its coherence, however, a narrow focus on looping actions over ecologically regenerating and adaptation ones may hinder a more integral campus development in the coming years. A circular city development perspective offers the opportunity to embrace more holistic goals, instruments, and implementation measures. Valuing policy coherence as desirable, our framework highlights the benefits and difficulties towards improving coherence. It also shows the importance of understanding the circularity imperative embedded in policy documents by policy makers formulating and implementing the policies for more coherent transitions in the built environment.","circular built environment; circular city; policy coherence analysis; urban governance; urban development; campus?","en","conference paper","Technische Universiteit Eindhoven","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright older of this work and the author uses the Dutch legislation to make this work public.","","2022-09-09","","Management in the Built Environment","Urban Development Management","","",""
"uuid:5ff42ea0-393d-47c9-877d-786b66746295","http://resolver.tudelft.nl/uuid:5ff42ea0-393d-47c9-877d-786b66746295","A Conceptual Framework for a Digital Circular Built Environment: The Data Pipeline, Passport Generator and Passport Pool","Çetin, Sultan (TU Delft Real Estate Management); Rukanova, B.D. (TU Delft Information and Communication Technology); De Wolf, Catherine (ETH Zürich); Gruis, V.H. (TU Delft Real Estate Management); Tan, Y. (TU Delft Information and Communication Technology)","Shahnoori, Shore (editor); Mohammadi, Masi (editor)","2022","This article proposes a conceptual model to address the structural holes in data sharing between (and beyond) actors in the circular built environment supply chain and monitoring circular economy progress. Current digital innovations such as material passports and Building Information Modelling applications aim at increasing quality and availability of information about materials and their application in buildings to facilitate future reuse or recycling, based on the idea of buildings-as-material-banks. Although these approaches offer great potential to recover value from building materials, they mainly focus on a single building and have a limited capacity to exchange data with other supply chain actors in a timely manner. In this article, we argue that there is a need for an integrated digital infrastructure that expands beyond the industries and countries for enabling a connected global circular economy. Therefore, this article proposes an initial conceptualization of a digital infrastructure towards achieving a circular built environment. The proposed model puts forward three interoperable components: The Data Pipeline, Passport Generator, and Passport Pool, based on emerging technologies such as blockchain technology, the Internet of Things and artificial intelligence.","Circular economy; built environment; construction industry; digital technology; digital infrastructure; data pipeline; blockchain technology; artificial intelligence","en","conference paper","Technische Universiteit Eindhoven","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-09","","","Real Estate Management","","",""
"uuid:3b5065c0-f83a-4923-aade-5fbb2855df4b","http://resolver.tudelft.nl/uuid:3b5065c0-f83a-4923-aade-5fbb2855df4b","Bots for Pull Requests: The Good, the Bad, and the Promising","Wessel, Mairieli (TU Delft Software Engineering); Abdellatif, Ahmad (Concordia University); Wiese, Igor Scaliante (Universidade Tecnológica Federal Do Paraná (UTFPR)); Conte, Tayana (Federal University of Amazonas); Shihab, Emad (Concordia University); Gerosa, Marco Aurélio (Universidade de São Paulo; Northern Arizona University); Steinmacher, Igor (Northern Arizona University)","","2022","Software bots automate tasks within Open Source Software (OSS) projects’ pull requests and save reviewing time and effort (“the good”). However, their interactions can be disruptive and noisy and lead to information overload (“the bad”). To identify strategies to overcome such problems, we applied Design Fiction as a participatory method with 32 practitioners. We elicited 22 design strategies for a bot mediator or the pull request user interface (“the promising”). Participants envisioned a separate place in the pull request interface for bot interactions and a bot mediator that can summarize and customize other bots’ actions to mitigate noise. We also collected participants’ perceptions about a prototype implementing the envisioned strategies. Our design strategies can guide the development of future bots and social coding platforms.","Software Bots; GitHub Bots; Human-bot Interaction; Open Source Software; Automation; Collaborative Development; Design Fiction","en","conference paper","Association for Computer Machinery","","","","","","","","","","Software Engineering","","",""
"uuid:099bbf17-4135-4012-b517-e38e97b0a35c","http://resolver.tudelft.nl/uuid:099bbf17-4135-4012-b517-e38e97b0a35c","A Radar-Oriented Approach to the Normal Distributions Transform","Heller, Martijn (Student TU Delft); Petrov, N. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","A modification of the scan-matching technique known as the Normal Distributions Transform to be applicable to radar data is presented. The proposed modification uses the measurements of received signal power to account for possible radar cross-section (RCS) fluctuation of the scene, which is prone to cause missed detections, undesirable in scan-matching techniques. It is demonstrated that RCS fluctuations according to a Swerling III model leads to an increase of the pose estimation errors, while the proposed approach reduces the errors to values equivalent to what can be achieved in the abscence of these fluctuations.","Scan-matching; Swerling; Normal Distributions Transform","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-02","","","Microwave Sensing, Signals & Systems","","",""
"uuid:c707678e-54cb-4e6b-96c6-016629cb268c","http://resolver.tudelft.nl/uuid:c707678e-54cb-4e6b-96c6-016629cb268c","An Approach for High-Angular Resolution Implementation in Moving Automotive MIMO Radar","Yuan, S. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","A method exploiting the movement of the vehicle to boost the cross-range resolution of automotive radar by forming a larger virtual array is proposed. Initial simulated results show that the proposed method with the traditional Digital beamforming (DBF) algorithm can separate targets that cannot be otherwise recognized by the traditional MIMO approach. Furthermore, the proposed approach does not require prior knowledge of the number of targets, and can solve the MUSIC rank deficiency problem because of its larger virtual planar antenna.","MIMO array; high resolution; multiple signal classification (MUSIC); automotive radar","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-02","","","Microwave Sensing, Signals & Systems","","",""
"uuid:c8223e0a-3ee8-4d9e-a69c-3d4aca072a17","http://resolver.tudelft.nl/uuid:c8223e0a-3ee8-4d9e-a69c-3d4aca072a17","Least Squares Calibration of MIMO Radars with Collocated Arrays","Petrov, N. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","The calibration of a collocated MIMO radar is addressed by means of independent calibration of transmit and receive arrays. The solutions for both arrays' elements gain and phase terms only and the full coupling matrix estimation are presented. The proposed solution significantly improves over the conventional calibration of the virtual array in terms of calibration accuracy and reduced measurements requirement, as demonstrated by numerical simulation and validated by calibration of a commercial automotive radar.","MIMO radar; calibration; least squares","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-02","","","Microwave Sensing, Signals & Systems","","",""
"uuid:b1f003cf-24f1-4eca-b78d-7fb2fc3458d0","http://resolver.tudelft.nl/uuid:b1f003cf-24f1-4eca-b78d-7fb2fc3458d0","Designing Human-Agent Collaborations: Commitment, responsiveness, and support","Cila, N. (TU Delft Human Information Communication Design)","Lampe, Cliff (editor); Barbosa, Simona (editor)","2022","With the advancements in AI, agents (i.e., smart products, robots, software agents) are increasingly capable of working closely together with humans in a variety of ways while benefiting from each other. These human-agent collaborations have gained growing attention in the HCI community; however, the field lacks clear guidelines on how to design the agents' behaviors in collaborations. In this paper, the qualities that are relevant for designers to create robust and pleasant human-agent collaborations were investigated. Bratman's Shared Cooperative Activity framework was used to identify the core characteristics of collaborations and survey the most important issues in the design of human-agent collaborations, namely code-of-conduct, task delegation, autonomy and control, intelligibility, common ground, offering help and requesting help. The aim of this work is to add structure to this growing and important facet of HCI research and operationalize the concept of human-agent collaboration with concrete design considerations.","autonomous agent; design; human-agent collaboration; Shared Cooperative Activity","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Human Information Communication Design","","",""
"uuid:bab6a17a-eba7-41c5-abdb-ddd8b5958d9a","http://resolver.tudelft.nl/uuid:bab6a17a-eba7-41c5-abdb-ddd8b5958d9a","Reviving the milk man:: Consumers’ evaluations of circular reusable packaging offers","Magnier, L.B.M. (TU Delft Marketing and Consumer Research); Gil-Pérez, I. (University of Zaragoza)","Fitzpatrick, C. (editor)","2022","The question of prolonging the lifetime of food packages before they are disposed of has largely been overlooked. Yet, reusing packaging could bring interesting environmental benefits. In this research, we take a consumer perspective and test whether returnable packaging for fast-moving consumer goods (FMCG) has the potential to be adopted by consumers. The results of two experimental studies show that evaluations of returnable packaging are generally positive. Consumers
perceive returnable packages as much more eco-friendly than their disposable counterparts, and the product inside as more qualitative even though the tested products were sensitive (i.e. yoghurt and ice-cream). In addition, they are more likely to recommend a product sold in a returnable packaging and high environmentally concerned individuals are more likely to repurchase it. However, when signs of usage resulting from multiple uses were introduced on the returnable packaging, attitudes were
generally less positive and individuals were also less likely to recommend and repurchase the product. These results highlight the importance of downplaying the negative effects of signs of usage in the
design of returnable packaging.","Circular Economy; Cities; Collaboration; Engagement; Scaling Innovation","en","conference paper","University of Limerick","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:80f22d8e-765c-4473-9d8f-3cdbc69abb93","http://resolver.tudelft.nl/uuid:80f22d8e-765c-4473-9d8f-3cdbc69abb93","A poorly educated guess: consumers’ lifetime estimations, attitudes towards repairability, and a product lifetime label","van den Berge, R.B.R. (TU Delft Marketing and Consumer Research); Magnier, L.B.M. (TU Delft Marketing and Consumer Research); Mugge, R. (TU Delft Marketing and Consumer Research; TU Delft Design, Organisation and Strategy)","Fitzpatrick, C. (editor)","2022","Consumers’ expectations about product lifetimes have an influence on the actual lifetimes. Promoting repairability and a product lifetime label can potentially encourage consumers to extend product lifetimes. In this paper, we present in-depth insights in how consumers make estimations about product lifetimes, and their attitudes towards repairability and a product lifetime label. Our results reveal that consumers feel unable to make a well-informed estimation about the product lifetime, have negative associations with product repairability, and have concerns about how use intensity and use behavior can be taken into account on a label. Additionally, displaying a minimum number of years on a label may cause unintentional rebound effects.","Circular Economy; Cities; Collaboration; Engagement; Scaling Innovation","en","conference paper","University of Limerick","","","","","","","","","Design, Organisation and Strategy","Marketing and Consumer Research","","",""
"uuid:6f595920-e36d-4880-8d0a-c3d742a2a295","http://resolver.tudelft.nl/uuid:6f595920-e36d-4880-8d0a-c3d742a2a295","Preserving Causality in Time Domain Integral Equation-Based Methods","Loreto, Fabrizio (University of L'Aquila); Romano, Daniele (University of L'Aquila); Antonini, Giulio (University of L'Aquila); Stumpf, Martin (Brno University of Technology); Lager, I.E. (TU Delft Electrical Engineering Education); Vandenbosch, Guy A.E. (Katholieke Universiteit Leuven)","","2022","The critical relevance of ensuring the excitation's causality in electromagnetic (EM) simulations is exploited by the computation of strictly causal time domain interaction integrals as they occur in the partial element equivalent circuit (PEEC) method. Under the hypothesis of thin, almost zero thickness objects, the presented formulas represent analytical impulse responses and, as such, are used within convolutions in the framework of the time domain PEEC solver. The proposed approach is compared with other standard approaches and clearly behaves better than frequency-domain methods in accurately catching the propagation delay and, thus, preserving the causality. Further, improved stability is observed compared to marching-on-in-time methods..","Causality; time domain integral equation methods; partial element equivalent circuit (PEEC) method","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electrical Engineering Education","","",""
"uuid:6a236b65-99a1-4d99-a1ba-2d752e7cb035","http://resolver.tudelft.nl/uuid:6a236b65-99a1-4d99-a1ba-2d752e7cb035","Auto-calibration of Automotive MIMO Radars Using Simultaneous Localisation and Mapping","Petrov, N. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","This paper presents a new method of automotive MIMO radar self-calibration which uses targets of opportunity embedded in road infrastructure, such as road signs and traffic lights. While conventional offline calibration of a phased array antenna requires accurate knowledge of the positions of calibration targets relative to the radar, such information is not available in a dynamic scenario. To compensate for this, we have developed an estimation procedure based on an extended Kalman filter (EKF) to address the challenge of simultaneous localisation, mapping and calibration. Numerical simulations demonstrate the possibility to decrease the sidelobes level and compensate the steering bias of a MIMO radar with the proposed method..","Radar; MIMO arrays; calibration; SLAM","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-02","","","Microwave Sensing, Signals & Systems","","",""
"uuid:77f92155-3f4a-4a42-9756-8a8f6f823c73","http://resolver.tudelft.nl/uuid:77f92155-3f4a-4a42-9756-8a8f6f823c73","Enhancing Angular Resolution Using Neural Networks in Automotive Radars","Roldan Montero, I. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","Poor angular resolution is one of the main disadvantages of automotive radars, and the reason why lidar technology is widely used in the automotive industry. For a fixed frequency, the angular resolution of a conventional Multiple-Input Multiple-Output (MIMO) radar is limited by the number of physical antennas, and therefore improve the resolution involves increasing the size and the cost of the system, critical constraints in the automotive industry. In this work, a novel approach is presented to overcome this limitation, where a Neural Network (NN) is used to enhance the angle resolution of a MIMO radar without increasing the number of physical elements, but extrapolating the antennas signals in a teacher-student fashion. The method was validated using real data of stationary pedestrians captured outdoors, demonstrating an effective increase of three times the antenna array size. To the best knowledge of the authors, this is the first method that includes an evaluation metric in the final stages of the processing pipeline, enforcing the conservation of the target's angular shape, key for subsequent object classification.","automotive radar; MIMO; angular resolution; neural networks","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-02","","","Microwave Sensing, Signals & Systems","","",""
"uuid:01088dd0-a4e9-4803-a287-f7bd41e52fe8","http://resolver.tudelft.nl/uuid:01088dd0-a4e9-4803-a287-f7bd41e52fe8","Incorporating Texture Information into Dimensionality Reduction for High-Dimensional Images","Vieth, A. (TU Delft Computer Graphics and Visualisation); Vilanova, A. (Eindhoven University of Technology); Lelieveldt, B.P.F. (Leiden University Medical Center); Eisemann, E. (TU Delft Computer Graphics and Visualisation); Höllt, T. (TU Delft Computer Graphics and Visualisation)","O'Conner, L. (editor)","2022","High-dimensional imaging is becoming increasingly relevant in many fields from astronomy and cultural heritage to systems biology. Visual exploration of such high-dimensional data is commonly facilitated by dimensionality reduction. However, common dimensionality reduction methods do not include spatial information present in images, such as local texture features, into the construction of low-dimensional embeddings. Consequently, exploration of such data is typically split into a step focusing on the attribute space followed by a step focusing on spatial information, or vice versa. In this paper, we present a method for incorporating spatial neighborhood information into distance-based dimensionality reduction methods, such as t-Distributed Stochastic Neighbor Embedding (t-SNE). We achieve this by modifying the distance measure between high-dimensional attribute vectors associated with each pixel such that it takes the pixel's spatial neighborhood into account. Based on a classification of different methods for comparing image patches, we explore a number of different approaches. We compare these approaches from a theoretical and experimental point of view. Finally, we illustrate the value of the proposed methods by qualitative and quantitative evaluation on synthetic data and two real-world use cases.","Mathematics of computing-Dimensionality reduction; Human-centered computing-Visualization techniques; Human-centered computing-Visual analytics","en","conference paper","IEEE","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:c6b7fd52-9dbc-478b-a0af-a462e0904b5e","http://resolver.tudelft.nl/uuid:c6b7fd52-9dbc-478b-a0af-a462e0904b5e","Qualification of Initialisation Challenges in Co-Simulation setups for Integrated Energy Systems","van der Meer, A.A. (TU Delft Intelligent Electrical Power Grids); Schwarz, Jan Soren (OFFIS – Institute for Information Technology); Heussen, Kai (Technical University of Denmark)","","2022","Co-simulation is an important tool to capture the complexity of cyber-physical energy systems. The past decade lead us from command-line simulation orchestration to higher readiness-levels in terms of applicability. The configuration and time-domain initialisation of generic co-simulation setups, however, entail a lot of manual activities, especially when simulation components are tightly coupled. This paper provides a qualitative overview on what initialisation challenges crop up and how tools like mosaik can tackle these. This is illustrated with a multi-domain co-simulation example, in which the same time loop concept is applied to resolve cyclic dependencies between simulators during initialisation. The paper provides conclusions about the applicability of same time loops for initialisation purposes and will provide directions for further research on this topic.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Intelligent Electrical Power Grids","","",""
"uuid:f5f92b4f-b141-4f6e-befa-e25ee6c0800d","http://resolver.tudelft.nl/uuid:f5f92b4f-b141-4f6e-befa-e25ee6c0800d","Decentralized Private Freight Declaration & Tracking with Data Validation","Li, T. (TU Delft Cyber Security); Vos, J.V. (TU Delft Cyber Security); Erkin, Z. (TU Delft Cyber Security)","","2022","In January 2017, a truck crossed the border between Spain and France for the first time using an e-CMR: An electronic version of the primary transport document required for inter-European logistics. Since that crossing, researchers and logistic organizations have proposed a large number of ideas to further digitize Europe’s supply chain. Many of these ideas involve blockchains, but not all of them validate the data that is posted to them. As a result, participants can make illegitimate claims: Even though the blockchain enables transparency and immutability of the data stores, it does not ensure veracity. We provide several examples of works about information sharing in the supply chain that do not perform such validation. One work that does use the blockchain’s validation functionality is DEFEND. DEFEND addresses customs agencies’ lack of information for international freight inspection by tracking shipping containers throughout their journey. As containers pass from one operator to another, the blockchain participants ensure that containers are not doubly spent. In this work, we propose an extension of DEFEND, in which we further extend the capabilities for validation. Moreover, we provide actual cryptographic protocols to preserve participants’ privacy while DEFEND only described privacy on a high level. Finally, by making a more fine-grained distinction between different actors in the chain, we model the entire supply chain from buyer to seller. As a result, the buyer and seller can now track the respective package’s whereabouts through each leg of its journey.","supply chain management; blockchain technology; freight declaration","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-06","","","Cyber Security","","",""
"uuid:53eb2707-4243-464b-bda9-3f9f218bbe84","http://resolver.tudelft.nl/uuid:53eb2707-4243-464b-bda9-3f9f218bbe84","Evaluation Metrics for Continuous Human Activity Classification Using Distributed Radar Networks","Guendel, Ronny (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","Continuous Human Activity Recognition (HAR) in arbitrary directions is investigated using 5 spatially distributed pulsed Ultra-Wideband (UWB) radars. Such activities performed in arbitrary and unconstrained trajectories render a more natural occurrence of Activities of Daily Living (ADL) to be recognized. An innovative signal level fusion method was applied on the Range-Time (RT) maps, and deep learning classification via Recurrent Neural Networks (RNN) with and without bidi-rectionality was used on the computed micro-Doppler (μD) spectrogram. To assess classification performances, novel evaluation metrics accounting for the continuous nature of the sequence of activities and for imbalances in the dataset are proposed and compared with existing metrics. It is shown that conventional accuracy evaluation is too coarse, and that the proposed metrics need to be considered for a more comprehensive evaluation.","Micro-Doppler Classification; Distributed Radar; LSTM; Human Activity Recognition","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-03","","","Microwave Sensing, Signals & Systems","","",""
"uuid:396aaeb4-e774-4468-b56f-4289ae1ce3b3","http://resolver.tudelft.nl/uuid:396aaeb4-e774-4468-b56f-4289ae1ce3b3","Optimization of Uniform Amplitude Periodic Linear Phased Arrays for Grating Lobe Reduction","Aslan, Y. (TU Delft Microwave Sensing, Signals & Systems); Onat, N.B. (TU Delft Microwave Sensing, Signals & Systems)","","2022","The optimization of mode excitation coefficients in linear periodic arrays of multi-mode antenna elements is studied for grating lobe reduction. A novel beamforming architecture is proposed with a new optimization problem based on equi-amplitude element excitations for optimal power efficiency. The capabilities of the proposed synthesis approach on suppressing the grating lobe for wide scan angles, and on maintaining the peak gain at the steering angle are analyzed. A 16-element 0.7-wavelength spaced array of dual-mode circular patch antenna elements is used for demonstration purposes. It is shown that a good performance trade-off is achieved when the excitation amplitude of the high order mode is restricted to a sufficiently large value. The ratio of the peak gain outside the main lobe to the gain at the angle of steering is reduced up to about −15 dB and −14 dB for scanning towards 30 and 45 degrees off-broadside, respectively.","array synthesis; dual-mode antenna; grating lobes; large element spacing; Particle Swarm Optimization","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:0e53d37c-c45f-4f45-a3b9-ded7aedec471","http://resolver.tudelft.nl/uuid:0e53d37c-c45f-4f45-a3b9-ded7aedec471","Calibration of Cognitive Classification Systems for Radar Networks for Increased Reliability","Svenningsson, P.O. (TU Delft Microwave Sensing, Signals & Systems); Kruse, N.C. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","Cognitive radar frameworks rely on the ability to quantify and reason on future uncertainty, which allows for the selection of an optimal decision policy. These methods require that the uncertainty estimates provided by the underlying statistical model are well-calibrated, i.e. consistent with true uncertainty. In this work, the utilization of probability calibration techniques for target classification is explored. It is shown from simulations and experimental data that the proposed techniques can be used to correct errors in uncertainty estimates caused by incorrect modeling assumptions, such as the independence of sensors and the independence of classification covariates. This correction improves classification performance and the reliability of cognitive systems so that resources are utilized in accordance with user-defined cost functions.","Cognitive radar; probability calibration; resource management; target classification","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-03","","","Microwave Sensing, Signals & Systems","","",""
"uuid:ca1b2094-448b-44a6-80f0-b8825d6e4d21","http://resolver.tudelft.nl/uuid:ca1b2094-448b-44a6-80f0-b8825d6e4d21","Exploiting PUF Variation to Detect Fault Injection Attacks","Köylü, T.C. (TU Delft Computer Engineering); Caetano Garaffa, L. (TU Delft Computer Engineering); Reinbrecht, Cezar (TU Delft Computer Engineering); Zahedi, M.Z. (TU Delft Computer Engineering); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Taouil, M. (TU Delft Computer Engineering)","Kubatova, Hana (editor); Steininger, Andreas (editor); Jenihhin, Maksim (editor); Garbolino, Tomasz (editor); Fiser, Petr (editor); Belohoubek, Jan (editor); Borecky, Jaroslav (editor)","2022","The massive deployment of Internet of Things (IoT) devices makes them vulnerable against physical tampering attacks, such as fault injection. These kind of hardware attacks are very popular as they typically do not require complex equipment or high expertise. Hence, it is important that IoT devices are protected against them. In this work, we present a novel fault injection attack detector with high flexibility and low overhead. Our solution is based on the reuse of a security primitive used in many IoT devices, i.e., ring oscillator (RO) physically unclonable function (PUF). Our results show that we obtain a high detection effectiveness and no false alarms against most popular fault injection attacks based on voltage and clock manipulations.","fault injection detection; PUF; RO; IoT; hardware security","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:346912da-ec31-44ca-ac3c-680cbe469973","http://resolver.tudelft.nl/uuid:346912da-ec31-44ca-ac3c-680cbe469973","Quantitative Risk Assessment of Cyber Attacks on Cyber-Physical Systems using Attack Graphs","Semertzis, I. (TU Delft Intelligent Electrical Power Grids); Subramaniam Rajkumar, Vetrivel (TU Delft Intelligent Electrical Power Grids); Stefanov, Alexandru (TU Delft Intelligent Electrical Power Grids); Fransen, Frank (TNO); Palensky, P. (TU Delft Intelligent Electrical Power Grids)","","2022","Over the past decade, the number of cyber attack incidents targeting critical infrastructures such as the electrical power system has increased. To assess the risk of cyber attacks on the cyber-physical system, a holistic approach is needed that considers both system layers. However, the existing risk assessment methods are either qualitative in nature or employ probabilistic models to study the impact on only one system layer. Hence, in this work, we propose a quantitative risk assessment method for cyber-physical systems based on probabilistic and deterministic techniques. The former uses attack graphs to evaluate the attack likelihood, while the latter analyzes the potential cyber-physical impact. This is achieved through a dynamic cyber-physical power system model, i.e., digital twin, able to simulate power system cascading failures caused by cyber attacks. Additionally, we propose a domain-specific language to describe the assets of digital substations and thereby model the attack graphs. Using the proposed method, combined risk metrics are calculated that consider the likelihood and impact of cyber threat scenarios. The risk assessment is conducted using the IEEE 39-bus system, consisting of 27 user-defined digital substations. These substations serve as the backbone of the examined cyber system layer and as entry-points for the attackers. Results indicate that cyber attacks on specific substations can cause major cascading failures or even a blackout. Thereby, the proposed method identifies the most critical substations and assets that must be cyber secured.","attack graphs; cyber-physical systems; digital twin; cyber attacks; risk assessment","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-09","","","Intelligent Electrical Power Grids","","",""
"uuid:b133f11e-7b86-4643-ba6d-245c285f4d9b","http://resolver.tudelft.nl/uuid:b133f11e-7b86-4643-ba6d-245c285f4d9b","Extracting Learning Performance Indicators from Digital Learning Environments","Sundaramoorthy, P.P. (Eindhoven University of Technology); Vertegaal, Cornelis (Eindhoven University of Technology); Martinez, Cecilia (Universidad Nacional de Córdoba); Serra, Ramiro (Eindhoven University of Technology); Verhoeven, C.J.M. (TU Delft Electronics); Montagne, A.J.M. (TU Delft Electronics); Bentum, Mark J (Eindhoven University of Technology)","Jemni, Mohammed (editor); Kalle, Ilhem (editor); Akkari, Abdeljalil (editor)","2022","In the last decades, there has been a steady adoption of digital online platforms as learning environments applied to all levels of education. This increasing adoption forces a transition in educational resources which has further been accelerated by the recent pandemic, leading to an almost complete online-only learning environment in some cases. The aim of this paper is to outline the methodology involved in setting up a framework for mapping course-specific data based on student activity to standard learning indicators, which will serve as an input to performance prediction algorithms. The process involves systematically surveying, capturing, and categorising the vast range of data available in digital learning platforms. The data are collected from two sample courses and distilled into five dimensions represented by the generic learning indicators: prior knowledge, preparation, participation, interaction, and performance. The data is weighted based on course development and teaching member’s perspectives to account for course-wise variations. The framework established will allow portability of prediction algorithms between courses and provide a means for meaningful and directed learner formative feedback. Two courses, both bachelor-level and worth 5 European Credits (ECs), that use several online learning platforms in their teaching tools have been chosen in this study to explore the nature and range of student interaction data available, accessible, and usable in a course. The first course is Electromagnetics II at Eindhoven University of Technology, and the second course is Electronics at Delft University of Technology. Both Universities are located in the Netherlands. This work is in the scope of a broader study to use such learning indicators with predictive algorithms to provide a prognosis on individual student performance. The findings in this paper will enable the realization of student performance prediction at a very early stage in the course.","Learning indicators; learning analytics; data portability; student progress monitoring; student prognosis; early warning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:8f979324-993f-4284-92fe-9c365218a5d7","http://resolver.tudelft.nl/uuid:8f979324-993f-4284-92fe-9c365218a5d7","Cross polarization in swept beam THz imaging systems using off-axis parabolic mirrors","Rezapoor, Pouyan (Aalto University); Tamminen, Aleksi (Aalto University); Tamminen, Aleksi (Aalto University); Ala-Laurinaho, Juha (Aalto University); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Rodilla, Helena (Chalmers University of Technology); Stake, Jan (Chalmers University of Technology); Taylor, Zachary D. (Aalto University)","","2022","The optical behavior of a terahertz imaging system employing a train of four identical off-axis parabolic mirrors with oblique incidence angle illumination is investigated in this work. The aperture filling and aberrations of a single off-axis parabolic mirror when illuminated by a Gaussian terahertz beam at its focus point is measured and simulated. The amplitude of E-field in transverse electric (TE) and transverse magnetic (TM) polarizations at target plane reveals a significant cross polarization, even when there is zero cross polarization at the source beam, amplitude of which is ∼ 33% of TE polarization. The investigation of the E-field on the detector plane reveals that this ratio is ∼ 1.5% at the detector plane, and the cross polarized E-field at the target plane is rotated back to co polarization. Although its amplitude is negligible, the TM distribution at detector plane is bimodal and tilted about the optical axis.","THz; sub-millimeter wave; polarization; off-axis parabolic mirror","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Tera-Hertz Sensing","","",""
"uuid:cb6754ca-385a-4e63-b24e-bb9213ca9387","http://resolver.tudelft.nl/uuid:cb6754ca-385a-4e63-b24e-bb9213ca9387","Receiver Structures for Phase Modulated FMCW Radars","Kumbul, U. (TU Delft Microwave Sensing, Signals & Systems); Petrov, N. (TU Delft Microwave Sensing, Signals & Systems); Silveira Vaucher, C. (TU Delft Electronics; NXP Semiconductors); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","Two receiver structures of phase modulated FMCW signals with low ADC sampling requirement are investigated, namely the matched filter of the dechirped signal and the group delay filter approach. The sensing performance of the investigated receiver strategies are analyzed in application to BPSK modulated chirp. Numerical simulations demonstrate that both techniques provide comparable performance for low to moderate bandwidth of the modulation signal. Matched filter outperforms the group delay receiver for the modulation waveform with large bandwidth, hence with the price of larger computational complexity.","Modulated chirps; Filter bank; Group delay filter; Phase-coded FMCW; Joint sensing and communication","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-11","","","Microwave Sensing, Signals & Systems","","",""
"uuid:4560aad9-50b6-4823-85bf-1c7a81a11a56","http://resolver.tudelft.nl/uuid:4560aad9-50b6-4823-85bf-1c7a81a11a56","Potential and Challenges of Foam-Assisted CO2 Sequestration","Rossen, W.R. (TU Delft Reservoir Engineering); Farajzadeh, R. (Shell Global Solutions International B.V.); Hirasaki, George J. (Rice University); Amirmoshiri, Mohammadreza (Pixelligent Technologies)","","2022","Foam is a promising means to assist in the permanent, safe subsurface sequestration of CO2, whether inaquifers or as part of an enhanced-oil-recovery (EOR) process. Here we review the advantages demonstratedfor foam that would assist CO2 sequestration, in particular sweep efficiency and residual trapping, and thechallenges yet to be overcome. CO2 is trapped in porous geological layers by an impermeable overburden layer and residual trapping,dissolution into resident brine, and conversion to minerals in the pore space. Over-filling of geologicaltraps and gravity segregation of injected CO2 can lead to excessive stress and cracking of the overburden.Maximizing storage while minimizing overburden stress in the near term depends on residual trapping inthe swept zone. Therefore, we review the research and field-trial literature on CO2 foam sweep efficiencyand capillary gas trapping in foam. We also review issues involved in surfactant selection for CO2 foamapplications. Foam increases both sweep efficiency and residual gas saturation in the region swept. Both propertiesreduce gravity segregation of CO2. Among gases injected in EOR, CO2 has advantages of easier foamgeneration, better injectivity, and better prospects for long-distance foam propagation at low pressuregradient. In CO2 injection into aquifers, there is not the issue of destabilization of foam by contact with oil,as in EOR. In all reservoirs, surfactant-alternating-gas foam injection maximizes sweep efficiency whilereducing injection pressure compared to direct foam injection. In heterogeneous formations, foam helpsequalize injection over various layers. In addition, spontaneous foam generation at layer boundaries reducesgravity segregation of CO2. Challenges to foam-assisted CO2 sequestration include the following: 1) verifying the advantagesindicated by laboratory research at the field scale 2) optimizing surfactant performance, while furtherreducing cost and adsorption if possible 3) long-term chemical stability of surfactant, and dilution ofsurfactant in the foam bank by flow of water. Residual gas must reside in place for decades, even if surfactantdegrades or is diluted. 4) verifying whether foam can block upward flow of CO2 through overburden, eitherthrough pore pathways or microfractures. 5) optimizing injectivity and sweep efficiency in the field-designstrategy. We review foam field trials for EOR and the state of the art from laboratory and modeling research onCO2 foam properties to present the prospects and challenges for foam-assisted CO2 sequestration.","","en","conference paper","Society of Petroleum Engineers (SPE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-18","","","Reservoir Engineering","","",""
"uuid:790b0250-e428-4071-aeba-0508e8707ef2","http://resolver.tudelft.nl/uuid:790b0250-e428-4071-aeba-0508e8707ef2","Vertical Movements and Petroleum System Modelling in the Southern Chotts Basin, Central Tunisia","Bruna, P.B.R. (TU Delft Applied Geology); Bertotti, G. (TU Delft Applied Geology); Amor, Salma Ben (Mazarine-Energy B.V.); Nasri, Ahmed (Mazarine-Energy B.V.); Ouahchi, Sondes (Mazarine-Energy B.V.)","Meghraoui, Mustapha (editor); Sundararajan, Narasimman (editor); Banerjee, Santanu (editor); Hinzen, Klaus-G. (editor); Eshagh, Mehdi (editor); Roure, François (editor); Chaminé, Helder I. (editor); Maouche, Said (editor); Michard, André (editor)","2022","The southern Chotts basin (SCB), Central Tunisia, has shown hydrocarbon potential since the end of the 1980s. This basin records a complex structural history which appears decoupled at the Hercynian or Variscan unconformity. The Paleozoic series is deformed by short to medium wavelength folds (kilometres-multi kilometres scale) and by steep normal faults. The Mesozoic series is largely less deformed. The evolution of the basin through time is still a matter of debate as the preserved Paleozoic series is fragmented (e.g. affected by erosions). In this paper, we proposed a reconstruction of the vertical movements affecting the basin and an evaluation of their magnitude. Using basin modelling techniques, we provided new insights on the possible thermal evolution of the basin that might be used in the future exploration phases. This study was completed by structural restorations allowing the reconstruction of the paleogeography of the basin at the time of deposition of principal reservoir formations.","Basin modelling; Fractures; Southern Chotts basin; Structural restoration; Vertical movements","en","conference paper","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geology","","",""
"uuid:94ad0265-6668-49d8-bbb1-e9c310e59dd8","http://resolver.tudelft.nl/uuid:94ad0265-6668-49d8-bbb1-e9c310e59dd8","Multivariate Polarimetric Bistatic Clutter Statistical Analysis","Carotenuto, V. (Università degli Studi di Napoli Federico II); Aubry, A. (Università degli Studi di Napoli Federico II); De Maio, A. (Università degli Studi di Napoli Federico II); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2022","This paper deals with the analysis of simultaneously collected co- and cross-polarized bistatic sea-clutter returns with special emphasis on their representation as a Spherically Invari-ant Random Process (SIRP). The study is conducted by using appropriate testing procedures involving the complex envelope of the measured data that provide both first- and higher-order compatibility conditions. The results highlight that the SIRP model is a good candidate for the representation of bistatic coherent clutter, and usually the coherence time of the SIRP texture is longer than that in the monostatic case.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-03","","","Microwave Sensing, Signals & Systems","","",""
"uuid:a57ebb95-11d0-4d77-8d4c-279a6810d972","http://resolver.tudelft.nl/uuid:a57ebb95-11d0-4d77-8d4c-279a6810d972","Fusion of Data from Multiple Automotive Radars for High-Resolution DoA Estimation","Suvarna, Anusha Ravish (NXP Semiconductors); Koppelaar, Arie (NXP Semiconductors); Jansen, Feike (NXP Semiconductors); Wang, J. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","High angular resolution is in high demand in automotive radar. To achieve a high azimuth resolution a large aperture antenna array is required. Although MIMO technique can be used to form larger virtual apertures, a large number of transmitter-receiver channels are needed, which is still technologically challenging and costly. To circumvent this problem, we propose a high-resolution Direction of Arrival (DoA) estimation by using multiple small radar sensors distributed on the fascia of the automobile. To exploit the diversity gain due to different target observation angles by different radars, a block Focal Under determined System Solver based approach is proposed to incoherently fuse the data from multiple small MIMO sensors. This method significantly improves the DoA estimation compared to single sensor, decreases probability of false alarm and increases probability of multiple target detection. Its performance is demonstrated through both numerical simulations and experimental results.","Compressive Sensing (CS); FOCUSS; Block sparsity; distributed radar; MIMO; automotive radar; OMP; BOMP; incoherent processing; ambiguity function; single snap-shot; DoA estimation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-03","","","Microwave Sensing, Signals & Systems","","",""
"uuid:63de4fa6-8570-4c0a-ae2a-a3becabaac23","http://resolver.tudelft.nl/uuid:63de4fa6-8570-4c0a-ae2a-a3becabaac23","Radar-based Human Activities Classification with Complex-valued Neural Networks","Yang, Ximei (Student TU Delft); Guendel, Ronny (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2022","Human activities classification in assisted living is one of the emerging applications of radar. The conventional analysis considers micro-Doppler signatures as the chosen input for feature extraction or deep learning classification algorithms, or, less frequently, other radar data formats such as the range-time, the range-Doppler, or the Cadence Velocity Diagram. However, these data are typically used as real-valued images, whereas they are actually complex-valued data structures. In this paper, neural networks processing radar data as complex data structures are investigated, with a focus on spectrograms, range-time, and range-Doppler plots as the data formats of choice. Different network architectures are explored both in terms of complex numbers' representations and the depth/complexity of the architecture itself. Experimental data with 9 activities and 15 volunteers collected using an UWB radar are used to test the networks' performances. It is shown that for certain data formats and network architectures, there is an advantage in using complex-valued networks compared to their real-valued counterparts.","Micro-Doppler Classification; Deep learning; Human Activity Recognition; Complex-valued Networks","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-03","","","Microwave Sensing, Signals & Systems","","",""
"uuid:6bdab46a-ca8b-4708-b0bd-d23b350d6318","http://resolver.tudelft.nl/uuid:6bdab46a-ca8b-4708-b0bd-d23b350d6318","Monitoring shear-stress changes using seismic measurements from controlled sources and ambient noise and optical fibres","Buisman, M. (TU Delft Applied Geophysics and Petrophysics; Port of Rotterdam); Martuganova, E.M. (German Research Centre for Geosciences); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","","2022","Monitoring the nautical depth is vital for the safe passage of water transport. Port authorities worldwide have different navigable depth criteria and use various methods to ensure the safe navigability and manoeuvrability of ships in ports and waterways. These measurements often require a surveying vessel and are limited in repeatability and accuracy. Often, it is challenging to survey at heavily occupied quay walls; this may hinder economical activities. Additionally, because the current monitoring techniques depend on a surveying vessel's availability, monitoring significant changes in the nautical depth after, for instance, storms, is challenging, especially over large areas. Reliable continuous depth measurements could therefore help to optimise ships’ docking operations in heavily occupied areas. We show how the nautical depth can be measured and demonstrate the potential for estimating shear stresses using distributed acoustic sensing. Our laboratory study and our field test show that the acoustic energy differs for non- Newtonian fluids with different shear strength. For our laboratory experiment, we use natural and synthetic sediment suspensions for measuring the difference in acoustic attenuation with an optical fibre wrapped around a polyvinyl chloride (PVC) pipe. Our first acoustic measurement conducted one hour after mixing has a shear strength of 17 Pa and shows very high attenuation. The second laboratory test recorded 24 hours after mixing, with the shear strength of 48 Pa, reveals a tremendous signal-attenuation decrease and thus amplitude increase. In our field experiment, we observe a similar increase in amplitude with increased shear strength when recording propeller noise from passing vessels for frequencies < 60 Hz. We also observe a reverse trend for frequencies > 100 Hz. This difference in amplitude with depth might be related to a difference in fibre coupling and a difference in attenuation of acoustic waves. Additionally, our field experiment shows the potential to use Distributed Acoustic Sensing for continuous depth measurements.","fiber optic sensing; fiber optic sensor; Fluid mud; Nautical bottom; Mud","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:bfd5dd7e-33c4-45ac-9c4d-ed492838886c","http://resolver.tudelft.nl/uuid:bfd5dd7e-33c4-45ac-9c4d-ed492838886c","Why do settling and yield stress of mud differ in european ports?","Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Shakeel, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Chassagne, C. (TU Delft Environmental Fluid Mechanics); Gebert, J. (TU Delft Geo-engineering)","","2022","In some ports and waterways, hindered (delayed) settling of mud suspended in the water phase can be detected. Hindered settling phenomena are typically linked to a combination of sediment properties, suspended sediment concentration or density, hydrodynamic conditions, presence or absence of organic bridging between mud particles and the properties of the water phase such as salinity. Hindered settling may be desired or undesired for maintenance of the nautical depth - it might be beneficial if the properties meet the nautical bottom criteria for safe navigation and maneuvering; however, in case fast settlement and consolidation is necessary for efficient dredging, hindered settling is disadvantageous. Yield stress of mud has been extensively studied for the nautical bottom and port maintenance purposes over the last years. New rheological protocols have been developed for measuring rheological characteristics of mud deposits and analysing the structural recovery of mud. Additional knowledge has been gained from studying the role of density and organic matter and further comparison to the yield stresses measured in the laboratory and in the field. This work connects the knowledge of settling phenomena and rheology. Settling and rheological behaviour of mud from different European ports has been extensively studied. Variation of yield stress values in different ports has been studies by correlating rheological properties and settling of mud to other key sediment properties like density, mud composition, clay content and clay type, total organic carbon (TOC) and organic matter degradation.","Nautical bottom; Maintenance Dredging; Density; Organic matter; Rheology","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:9ad06c7c-663a-4b89-8a2e-56ddd63e0123","http://resolver.tudelft.nl/uuid:9ad06c7c-663a-4b89-8a2e-56ddd63e0123","Shear strength measurement in soft mud deposits: application of GraviProbe and RheoTune","Meshkati, Ebi (Deltares); Terwindt, Jarno (Deltares); van Kessel, Thijs (Deltares); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); Talmon, A.M. (TU Delft Offshore and Dredging Engineering; Deltares); Bezuijen, Adam (Deltares; Universiteit Gent)","","2022","Soft mud deposits are increasingly encountered around the world, from natural offshore deposits and mud layers in estuaries, ports, and waterways to progressively growing leftover from treatment and extraction facilities, mines, and oil refineries. Reliable monitoring of the temporal and spatial strength buildup in such deposits is crucial to optimize their sediment management plan. In this study, two well-established shear strength profilers i.e. GraviProbe 2.0 (dotOcean) and RheoTune (Stema Systems) are investigated. Their working principles are described, and their performance is compared against direct strength measurement. Finally, capabilities, limitations, and points of improvement of both instruments are discussed.","Soft mud deposits; strength measurement; rheology; yield stress; GraviProbe; RheoTune","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:b0795f66-18f4-4d2f-a2fe-b789dd0dd7ab","http://resolver.tudelft.nl/uuid:b0795f66-18f4-4d2f-a2fe-b789dd0dd7ab","Influence of re-circulation dredging on fluid mud dynamics in seaport emden","Gebert, J. (TU Delft Geo-engineering); van Rhees, Floris (Deltares); Shakeel, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Habdank, Janis (Niedersachsen Ports); Amman, Baerbel (Niedersachsen Ports)","","2022","Maintenance of the nautical depth in the seaport of Emden, Germany, is achieved by re-circulation of fluid mud using a trailing suction hopper dredger. Continued re-circulation has proven to maintain low settling rates and to keep yield stresses of re-circulated fluid mud below 50-100 Pa, in combination with densities of 1.15- 1.2 t/m³ enabling safe navigation through these layers. It is assumed that low-density extracellular polymeric substances (EPS), produced by a highly adapted microbial community, are responsible for the desired effect of keeping fine-grained sediment in suspension and that the regular contact with the oxygenated water phase during recirculation dredging supports thriving of this community. Climate change models have indicated increased future discharge of fresh water from the hinterland into the saline port of Emden. It is hypothesized that increased freshwater discharge alters the composition and activity of the microbial community and hence changes the prerequisites for maintaining the currently favourable properties of fluid mud. In this paper we evaluate this hypothesis by elucidating the main factors governing the success of the current practice of re-circulation dredging in the Port of Emden by means of field and laboratory investigations. Initial results obtained in this ongoing research suggest that the low yield stresses of fluid mud in the Port of Emden are not the result of a specialized microbial community producing high concentrations of EPS, but can be solely attributed to a reduction in density as achieved by re-circulation dredging. Secondly, it appears that future possible increases in the share of freshwater do not affect the sediment’s rheological response, settling behaviour and concentration of extracellular polymeric substances and will hence not adversely impact the maintenance of the nautical depth.","Re-circulation dredging; microbiology; salinity; fluid mud","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Geo-engineering","","",""
"uuid:7d71f73b-f984-4349-88d5-d6190365ea02","http://resolver.tudelft.nl/uuid:7d71f73b-f984-4349-88d5-d6190365ea02","A 1-to-4GHz Multi-Mode Digital Transmitter in 40nm CMOS Supporting 200MHz 1024-QAM OFDM signals with more than 23dBm/66% Peak Power/Drain Efficiency","Beikmirza, M.R. (TU Delft Electronics); Shen, Y. (TU Delft Electronics); de Vreede, L.C.N. (TU Delft Electronics); Alavi, S.M. (TU Delft Electronics)","","2022","To support wideband complex modulated signals and comply with the stringent requirements of modern communication standards in an energy-efficient manner, recently, digital transmitters (DTXs) have been explored to fully benefit from the high-speed switching and integration capabilities of nanoscale CMOS technologies [1]–[5]. These DTXs are primarily exploiting a polar or Cartesian architecture. In a polar DTX [1], [2], two eigenvectors of amplitude (p) and phase $(\phi)$ are generated from the in-phase (I) and quadrature (Q) baseband signals using non-linear coordinate rotation transformations (i.e., CORDIC). Provided that $\rho$ is constant, the achievable drain efficiency (DE) is constant (Fig. 1 top). However, polar $\text{DTXs}$ cannot manage large modulation bandwidth due to their non-linear $\mathrm{I}/\mathrm{Q}$ to $\rho/\phi$ conversion. Moreover, their phase and amplitude paths must recombine at the output stage without any delay mismatch to maintain linear operation. In contrast, Cartesian DTX variants can handle signals with large modulation bandwidth [3]. Nevertheless, their DE is lower than their polar counterparts owing to the linear combination of orthogonal I/Q vectors, yielding a 3-dB worst-case output power loss at the orthogonal (I/Q) axes. Alternatively, a multi-phase operation can be utilized that compromises polar and Cartesian features by mapping the I/Q signals into two non-orthogonal basis vectors with 45° relative phase difference and magnitudes of $\mathrm{I}_{\text{MP}}=\mathrm{I}-\mathrm{Q}$, QMP $=\sqrt{2}\mathrm{Q}$ [4]. This architecture inherits the advantages of the cartesian DTX, such as wideband operation, symmetrical, and synchronized $\mathrm{I} /\mathrm{Q}$ paths along with a DE behavior that imitates the polar case. This paper presents a multi-mode DTX that uses both Cartesian and multi-phase operation modes to target applications requiring large modulation bandwidth, decent spectral purity and average efficiency.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:3b56a0f7-acc3-4d60-9913-b2917027cb7e","http://resolver.tudelft.nl/uuid:3b56a0f7-acc3-4d60-9913-b2917027cb7e","A 0.5-3GHz Receiver with a Parallel Preselect Filter Achieving 120dB/dec Channel Selectivity and +28dBm Out-of-Band IIP3","Montazerolghaem, M.A. (TU Delft Electronics); de Vreede, L.C.N. (TU Delft Electronics); Babaie, M. (TU Delft Electronics)","","2022","Recent sub-6GHz receivers (RXs) attempted to realize RF channel selection at the RX input for suppressing large close-in blockers. Although mixer-first RXs can achieve sharp RF filtering and good out-of-band (OOB) linearity, they suffer from large noise figure (NF) and high LO leakage [1] [2]. Alternatively, [3]–[5] exploited an N-path notch filter around an LNTA to simultaneously achieve low NF and a moderate channel selection at the RX input. However, their OOB IIP3 and blocker 1dB compression point (B1dS) are at least 10dB worse than the mixer-first RXs. This paper proposes an LNTA-based RX that shows a similar OOB linearity as prior art mixer-first RXs without sacrificing NF. This is achieved by (1) adding a parallel preselect filter at the RX input to improve the RF selectivity and achieve +6dBm B1dB; (2) proposing third-order RF and baseband filters to attenuate close-in blockers by a 120dB/dec roll-off; (3) introducing a feedback network to reduce the in-band (IB) gain fluctuations to <0.5dB.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:36add4fb-53f3-4afc-b59e-83c653b19555","http://resolver.tudelft.nl/uuid:36add4fb-53f3-4afc-b59e-83c653b19555","Two Pioneering Female Architects in South Africa: Gertruida Brinkman and Eleanor Ferguson","Clarke, Nicholas (TU Delft Heritage & Design); Kuipers, M.C. (TU Delft Heritage & Values)","Jordá Such, Carmen (editor); Palomares Figueres, Maite (editor); Tostões, Ana (editor); Pottgiesser, Uta (editor)","2022","This paper continues on from a recently completed research project on shared built heritage of South Africa and the Netherlands from 1902–61, mainly created by Dutch–born architects. It focuses on two pioneering female architects in South Africa, Gertruida Brinkman (1906–77, née Siemerink) and Eleanor Ferguson (also Stakesby–Lewis; 1900–82), both of Dutch descent and married to South African architects. They were not only the first two women architects to lead a private practice in southern Africa, but also introduced ideas of the Modern Movement through their built projects, while continuously demonstrating a great concern for quality of life. Brinkman, graduated from the University of the Witwatersrand, was based in Port Elizabeth (now Gqeberha). She undertook two ‘grand tours’, through respectively Europe (1939) and Brazil (1954), which influenced her oeuvre. The other protagonist, the globetrotter Ferguson, trained at the Delft Institute of Technology (now TU Delft) and relocated subsequently to South Africa. With her third husband, she set up a joint practice in Johannesburg in 1938 and acted, under her maiden name, as its principal designer. The personal circumstances of both pioneers resulted in other priorities than seeking publicity in architectural journals. They focussed on designing and building, alongside a general social commitment additional to raising their children. Consequently, their legacies are hitherto scarcely known, except for some incidental references, which triggered our interest. By applying a combination of field, archival and bibliographical investigations with oral history research, we can now draft portraits of these two pioneering women architects. Their discovered portfolios reached far beyond the domestic sphere, including amongst others clubs, office buildings, schools, hospitals and industrial buildings and complexes. These discoveries show that biographical research is essential to augment the limited bibliographical information available on the contributions made by female architects to the built environment.","South Africa; Female Architects; Gertruida Brinkman; Joop Eleanor Ferguson; Pioneer; Industrial archtiecture; Hospital design; Residential design","en","conference paper","Tirant lo Blanch","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-21","","","Heritage & Design","","",""
"uuid:331985c5-6c34-4c33-be8c-1c710c7e47d5","http://resolver.tudelft.nl/uuid:331985c5-6c34-4c33-be8c-1c710c7e47d5","Pairwise review-based explanations for voice product search","Penha, G. (TU Delft Web Information Systems); Krikon, Eyal (Amazon.com Inc.); Murdock, Vanessa (Amazon.com Inc.)","","2022","Explanations describe product recommendations in a human interpretable way in order to achieve a goal, e.g. persuade users to buy. Unlike web product search, where users have access to diverse information as to why the products might be suitable for their needs, in the voice product search domain the amount of information that can be disclosed is inherently limited. Users in general evaluate a maximum of two products and usually buy low consideration products when using the voice channel [3]. In order to enable decision making in voice product searches we propose here a framework for generating pointwise and pairwise review-based explanations that disclose further information about the products. The POINTWISE method selects a helpful sentence from the top review of the recommended product based on a BERT-based model and uses the extracted sentence to fill a response template. The PAIRWISE method first selects a diverse pair of products - in terms of their review-based representations - from the top-k ranked products for a query, then chooses a helpful review sentence for each product in the pair, and finally fills a template with the sentences. Besides further describing the product, the PAIRWISE method gives a reference point to the users and enables a comparison of the recommendations based on two diverse products for the same information need. Our crowd-sourced evaluation of explanations based on queries from a widely used e-commerce platform shows that the proposed pairwise explanations provide statistically significant improvements compared to the POINTWISE and BASELINE methods for two goals: Effectiveness, i.e. helping users to make good decisions, and Transparency, i.e. explaining how the system works. The gains of PAIRWISE over POINTWISE and BASELINE are consistent for different subsets of data based on the diversity of the selected pairs, average product price associated with the query and the query ambiguity.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:9c602536-ab3f-4a55-89e0-e4c819de9434","http://resolver.tudelft.nl/uuid:9c602536-ab3f-4a55-89e0-e4c819de9434","First Early Career Researchers Roundtable for Information Access Research","Trippas, Johanne R. (University of Melbourne); Maxwell, D.M. (TU Delft Web Information Systems)","","2022","The COVID-19 pandemic has changed the way we work, study, and conduct research. Ongoing stresses and uncertainties of the pandemic have impacted research activities and collaborations, especially for graduate researchers1 and Early Career Researchers (ECRs)2. It has also changed the way we connect with the broader research communities. For example, in the last year, conferences were either postponed or held online. Even though many conferences implemented social activities, connecting online with peers is hard. Thus, serendipity and forming new bonds or research connections at conferences have been more complex. Indeed, graduate researchers and ECRs have increased challenges connecting and establishing new research connections in online driven environments. This workshops aims to empower graduate and ECRs, make new research connections, and foster a sense of belonging. The First ECRs Roundtable on Information Access Research workshop at ACM CHIIR'22 looks into the future of research, collaborations, and self-development to ask the following. The workshop is hands-on and interactive-with two key talks to kick-start discussion. Rather than a series of technical talks, we solicit position statements from attendees on opportunities, problems, and solutions on (post-)pandemic research on information access within the wider CHIIR community. Building on work presented at ACM CHIIR 2021 [10], this workshop empowers attendees to share their do's and don'ts, review their practices for success, and refine which strategies work for them. The workshop provides a neutral platform for an open and honest discussion about the lessons learned from working in a pandemic. Outcomes include a technical report written by the attendees.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:57afc962-0e0c-4d76-8ae9-5b9a9f3d8d97","http://resolver.tudelft.nl/uuid:57afc962-0e0c-4d76-8ae9-5b9a9f3d8d97","Numerical modelling of discrete gas flow pathways in water saturated clay","Liaudat, J. (TU Delft Geo-engineering); Dieudonné, A.A.M. (TU Delft Geo-engineering); Vardon, P.J. (TU Delft Geo-engineering)","","2022","","","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:76194b25-760f-443d-bd98-580425b588e0","http://resolver.tudelft.nl/uuid:76194b25-760f-443d-bd98-580425b588e0","Can flocculation reduce the dispersion of deep sea sediment Plumes?","Ali, W. (TU Delft Environmental Fluid Mechanics); Enthoven, D.H.B. (Student TU Delft); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Helmons, R.L.J. (TU Delft Offshore and Dredging Engineering; Norwegian University of Science and Technology (NTNU)); Chassagne, C. (TU Delft Environmental Fluid Mechanics)","","2022","For green energy transition, the industry seeks alternative resources for nickel and cobalt, the main ingredients for energy storage devices and other applications. Polymetallic nodules lying at the abyssal plain are rich in these mineral resources, which leads to an increased interest in deep-sea mining (DSM) of polymetallic nodules. During the DSM operation, the seabed will be disturbed, resulting in a suspended sediment plume. Such a plume can have a significant environmental impact. As a result, defining the main processes and quantifying sediment plume dispersion is vital for predicting the possible ecological implications. Flocculation could play a key role in minimizing and better prediction of dispersion of turbidity flows generated by deep-sea mining equipment. In this work, we study the effect of flocculation on the propagation of deep-sea sediment plumes by means of conducting a series of lock exchange experiments using artificial deep-sea sediment. Experiments were conducted in fresh and saline water with different clay and synthetic organic matter concentrations. Experiments are conducted in freshwater for comparison with saline water. The head velocity is measured via video analysis. At the end of the lock exchange experiments, subsamples at various run-out lengths are collected for particle size and settling velocity measurements. When experiments are conducted with synthetic organic matter in saline water, the results show that the head velocity reduces significantly compared to freshwater conditions due to the formation of dense flocs.","Sediment plume; flocculation; Deep sea mining","en","conference paper","WODA","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Environmental Fluid Mechanics","","",""
"uuid:ab29c59f-72e6-4930-9608-64c1c5e14953","http://resolver.tudelft.nl/uuid:ab29c59f-72e6-4930-9608-64c1c5e14953","Cryogenic CMOS for Qubit Control and Readout","Pellerano, Stefano (Intel Corporation); Subramanian, Sushil (Intel Corporation); Park, Jong-Seok (Intel Corporation); Patra, Bishnu (Intel Corporation); Xue, X. (TU Delft QCD/Vandersypen Lab); Vandersypen, L.M.K. (TU Delft QN/Vandersypen Lab); Babaie, M. (TU Delft Electronics); Charbon-Iwasaki-Charbon, E. (EPFL Switzerland); Sebastiano, F. (TU Delft Quantum Circuit Architectures and Technology)","","2022","Quantum computers have been heralded as a novel paradigm for the solution of today's intractable problems, whereas the core principles of quantum computation are superposition, entanglement and interference, three fundamental properties of quantum mechanics [1]. A quantum computer generally comprises a quantum processor, made of an array of quantum bits or qubits, and a classical controller, which is used to control and read out the qubits. Quantum algorithms are generally mapped onto a circuit of quantum gates that operate on multiple qubits. Unlike conventional digital bits, qubits can take a coherent state ranging from |0〉 to |1〉 on a continuous sphere, known as the Bloch Sphere and they are implemented based on several mechanisms. While many solid-state implementations of qubits exist, an exhaustive description of available technologies is beyond the scope of this paper [2] [3].","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-18","","","QCD/Vandersypen Lab","","",""
"uuid:88446fb4-ebd6-45b1-b375-bd8ce13afdd2","http://resolver.tudelft.nl/uuid:88446fb4-ebd6-45b1-b375-bd8ce13afdd2","Chemical Enhanced Oil Recovery and the Dilemma of More and Cleaner Energy","Farajzadeh, R. (TU Delft Reservoir Engineering); Eftekhari, Ali Akbar (Technical University of Denmark); Kahrobaei, Siavash (Shell Global Solutions International B.V.); Mjeni, Rifaat (Petroleum Development Oman); Boersma, Diederik (Shell Global Solutions International B.V.); Bruining, J. (TU Delft Reservoir Engineering)","","2022","We develop a method based on concept of exergy-return on exergy-investment (ERoEI) to determine the energy efficiency and CO2 footprint of polymer and surfactant enhanced oil recovery (EOR). This integrated approach considers main surface and subsurface elements of the chemical EOR methods. The main energy investment in oil recovery by water injection is mainly related to circulation of water with respect to exergy of the oil produced. At large water cuts of >90%, more than 70% of the total invested energy is spent on pumping the fluids. Consequently, production of barrels of oil is associated with large amounts of CO2 emission for mature oil fields with large water cuts. Our analysis shows that injection of polymer increases the energy efficiency of the oil recovery system. Because of additional oil (exergy gain) and less water circulation (exergy investment), the project-time averaged energy invested (and consequently CO2 emitted) to produce one barrel of oil from polymer flooding is less than that of the water flooding at large water cuts. We conclude that polymer injection into reservoirs with high water cut can be a solution for two major challenges of the transition period: (1) meet the global energy demand via an increase in oil recovery and (2) reduce the CO2 footprint of oil production (more and cleaner oil). For surfactant-polymer EOR, the extent of improvement in energy efficiency depends on the incremental gain and the simplicity of the formulations.","","en","conference paper","Society of Petroleum Engineers","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Reservoir Engineering","","",""
"uuid:74d8e267-f7d0-4969-ad65-45b50c63070e","http://resolver.tudelft.nl/uuid:74d8e267-f7d0-4969-ad65-45b50c63070e","Modeling airflow-driven water droplet removal from a flat surface","Danczul, Tobias (Technische Universität Wien); Hetebrij, Wouter (Vrije Universiteit Amsterdam); Khalighi, Faeze (Eindhoven University of Technology); Kogler, Lukas (Technische Universität Wien); Lahaye, D.J.P. (TU Delft Mathematical Physics); Luckins, Ellen (University of Oxford); Munters, Wim (Eindhoven University of Technology); Neunteufel, Michael (Technische Universität Wien); Vuik, Cornelis (TU Delft Delft Institute of Applied Mathematics)","van den Broek, Bram (editor)","2022","","computational fluid dynamics; droplets; lubrication theory; multiphase flow; Navier-Stokes equations","en","conference paper","Fontys","","","","","","","","","","Mathematical Physics","","",""
"uuid:d9e26a23-3e2b-4681-8080-36af69464221","http://resolver.tudelft.nl/uuid:d9e26a23-3e2b-4681-8080-36af69464221","How do Conversational Agents Transform Qualitative Interviews? Exploration and Support of Researchers' Needs in Interviews at Scale","Bulygin, D. (TU Delft Internet of Things)","","2022","In recent years, conversational agents (CAs) have been receiving more attention as tools for collecting data through qualitative interviews. The problem is we know little about how CAs affect both the interviewees and interviewers. This PhD project is dedicated to studying how to evaluate CA-mediated interviews and their effects on participants (both interviewees and interviewers). The findings of this project will allow us to support the interview practitioners with the tools for interview analytics and interview data analysis. It will be especially helpful in the large-scale settings which CA-mediated interviews enable. This proposal describes State-of-the-art on the topic and presents the motivation of a study with key research questions to answer.","chatbots; conversational agents; interviews; large-scale interviewing","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Internet of Things","","",""
"uuid:8eca1d34-29c0-4127-85f1-33d67e680e96","http://resolver.tudelft.nl/uuid:8eca1d34-29c0-4127-85f1-33d67e680e96","In-situ reliability monitoring of power packages using a Thermal Test Chip","Martin, H.A. (TU Delft Electronic Components, Technology and Materials; Chip Integration Technology Center); Sattari, R. (TU Delft Electronic Components, Technology and Materials); Smits, E.C.P. (Chip Integration Technology Center); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify Research); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","With an increasing demand for high-power electronics, the need to meet stringent automotive norms and better understand the critical failure mechanisms are crucial in order to improve their reliablity. To that end, we developed an in-situ reliability monitoring setup capable of actively measuring the thermal performance of the package during lifetime testing. A Thermal Test Chip (TTC) assembled into a Power Quad Flat No-lead (PQFN) package was employed as a test vehicle for non-destructive reliability assessment. The TTC comprises resistive heaters as a heat source and resistive temperature elements for measuring the thermal response. The transient thermal behavior was evaluated based on the contribution of heat source to a temperature field, and the temperature distribution was measured at multiple spatial positions. The experimental results provide insights into the thermal properties’ influence on the thermal behavior of the package. A compact electro-thermal model based on analogies was developed to deconvolute and analyze the transient thermal measurements. The results of the compact model correlate with the experimental measurements, and the model’s accuracy was verified using finite element simulations. The development of such thermal characterization experiments and computationally inexpensive models assist in further understanding the impact of failures in advancing high-power density electronics.","Reliability of power electronics; In-situ analysis on reliability monitoring; Transient thermal measurements; Thermal Test Chips; Thermal Compact Modelling; Cauer electro-thermal model; Von-Neumann stability criterion","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-20","","","Electronic Components, Technology and Materials","","",""
"uuid:593cb1dc-e5ab-4a8b-9eb1-908bbc26a2e9","http://resolver.tudelft.nl/uuid:593cb1dc-e5ab-4a8b-9eb1-908bbc26a2e9","Towards Identity Preserving Normal to Dysarthric Voice Conversion","Huang, Wen-Chin (Nagoya University); Halpern, B.M. (TU Delft Multimedia Computing; Universiteit van Amsterdam; Netherlands Cancer Institute); Violeta, Lester Phillip (Nagoya University); Scharenborg, O.E. (TU Delft Multimedia Computing); Toda, Tomoki (Nagoya University)","","2022","We present a voice conversion framework that converts normal speech into dysarthric speech while preserving the speaker identity. Such a framework is essential for (1) clinical decision making processes and alleviation of patient stress, (2) data augmentation for dysarthric speech recognition. This is an especially challenging task since the converted samples should capture the severity of dysarthric speech while being highly natural and possessing the speaker identity of the normal speaker. To this end, we adopted a two-stage framework, which consists of a sequence-to-sequence model and a nonparallel frame-wise model. Objective and subjective evaluations were conducted on the UASpeech dataset, and results showed that the method was able to yield reasonable naturalness and capture severity aspects of the pathological speech. On the other hand, the similarity to the normal source speaker’s voice was limited and requires further improvements.","voice conversion; pathological speech; dysarthric speech; sequence-to-sequence modeling; autoencoder","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Multimedia Computing","","",""
"uuid:2ce7a2d8-c5a3-49d6-a543-c104b78e41a5","http://resolver.tudelft.nl/uuid:2ce7a2d8-c5a3-49d6-a543-c104b78e41a5","Wave-Domain Approach for Cancelling Noise Entering Open Windows","Ratering, Daan (Student TU Delft); Kleijn, W.B. (TU Delft Signal Processing Systems; Victoria University of Wellington); Gonzalez Silva, J. (TU Delft Team Riccardo Ferrari); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari)","","2022","Active control of noise propagating through apertures is commonly realized with closed-loop LMS algorithms. However, these algorithms require a large number of error microphones and provide only local attenuation. Slow convergence and high computational effort are additional disadvantages. We propose a wave-domain approach that converges instantaneously, operates with low computational effort and does not require error microphones. It inherently controls sound in all directions in the far-field. The soundfield from the aperture is matched in a least squares sense with the generated soundfield from the loudspeaker array using orthonormal basis functions. Compensation for algorithmic delay, induced by blockwise processing, can be based on microphone placement or signal prediction, at the cost of a loss in attenuation performance. Our simulation results indicate that wave-domain processing has the potential to outperform LMS-based methods in practical active noise control for apertures.","open window; aperture; active noise control; wave-domain algorithm; multiple-error least mean squares algorithm; algorithmic delay compensation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-27","","","Signal Processing Systems","","",""
"uuid:070bf3c9-6848-4062-9004-246f5171f939","http://resolver.tudelft.nl/uuid:070bf3c9-6848-4062-9004-246f5171f939","Aerial Base Station Placement Leveraging Radio Tomographic Maps","Romero, Daniel (University of Agder); Viet, Pham Q. (University of Agder); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2022","Mobile base stations on board unmanned aerial vehicles (UAVs) promise to deliver connectivity to those areas where the terrestrial infrastructure is overloaded, damaged, or absent. A fundamental problem in this context involves determining a minimal set of locations in 3D space where such aerial base stations (ABSs) must be deployed to provide coverage to a set of users. While nearly all existing approaches rely on average characterizations of the propagation medium, this work develops a scheme where the actual channel information is exploited by means of a radio tomographic map. A convex optimization approach is presented to minimize the number of required ABSs while ensuring that the UAVs do not enter no-fly regions. A simulation study reveals that the proposed algorithm markedly outperforms its competitors.","Aerial base stations; radio tomography; radio maps; spectrum cartography; placement","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-27","","","Signal Processing Systems","","",""
"uuid:e5432579-616c-47b7-be8d-3fa4abdc70fc","http://resolver.tudelft.nl/uuid:e5432579-616c-47b7-be8d-3fa4abdc70fc","Passtrans: An Improved Password Reuse Model Based on Transformer","He, Xiaoxi (Peking University); Cheng, Haibo (Peking University); Xie, Jiahong (Peking University); Wang, Ping (Peking University); Liang, K. (TU Delft Cyber Security)","","2022","Passwords have been widely used in online authentication, and they form the front line that protects our data security and privacy. But the security of password may be easily harmed by insecure password generator. Massive reports state that users are always keen to generate new passwords by reusing or fine-tuning old secrets. Once an old password is leaked, the users may suffer from credential tweaking attacks. We propose a password reuse model PassTrans and simulate credential tweaking attacks. We evaluate the performance in leaked password datasets, and the results show that 67.51% of accounts is breakable under 1,000 guesses, indicating our model is accurate in capturing password reuse behavior.","credential tweaking attack; password model; password reuse; similarity","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:0ad519c7-759c-4f9a-83ea-3cbd5b8edeec","http://resolver.tudelft.nl/uuid:0ad519c7-759c-4f9a-83ea-3cbd5b8edeec","WordMarkov: A New Password Probability Model of Semantics","Xie, Jiahong (Peking University); Cheng, Haibo (Peking University); Zhu, Rong (Peking University); Wang, Ping (Peking University); Liang, K. (TU Delft Cyber Security)","","2022","To date there are few researches on the semantic information of passwords, which leaves a gap preventing us from fully understanding the passwords characteristic and security. We propose a new password probability model for semantic information based on Markov Chain with both generalization and accuracy, called WordMarkov, that can capture the semantic essence of password samples. Further, we evaluate our design via password guessing attacks, on six real-world datasets, and we show that WordMarkov obtains 24.29%–67.37% improvement over the state-of-the-art password probability models. Even more surprising is that WordMarkov achieves 75.35%–96.34% attack improvement on ""long"" passwords, indicating the importance of semantic parts in long passwords.","Markov Chain; password probability model; word segmentation; semantic information of password","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:77dcdf09-b0d6-452c-942c-eaea0ada27c7","http://resolver.tudelft.nl/uuid:77dcdf09-b0d6-452c-942c-eaea0ada27c7","Experimental Assessment of the Viability of High Temperature Steam Foam Applications","Jones, S.A. (TU Delft Reservoir Engineering); Bos, Robert (Shell Technology Oman); Lastovka, Vaclav (Petroleum Development Oman); Farajzadeh, R. (TU Delft Reservoir Engineering); Riyami, Mohammed (Petroleum Development Oman)","","2022","The efficiency of oil processes depends on the product of volumetric sweep and microscopic sweep. In oil recovery by steam injection the microscopic sweep is generally good; however, obtaining a good volumetric sweep can be challenging. This is caused by low density and viscosity of the injected steam combined with the reservoir heterogeneity, in particular existence of thief zone. Consequently, the steam utilization factor measured by steam-to-oil ratio (SOR, kg steam/bbl of oil) for many steam-flooding projects becomes poor. All these issues can be addressed by a successful application of steam foam technology. In steam foam applications, steam (plus a non-condensing gas) is injected simulateneously with a surfactant solution. Under the favorable injection conditions a foam is formed inside the reservoir leading to significant reduction of steam mobility and can eventually improve sweep efficiency. In the literature many successful steam foam pilots have been reported. However, most of these applications are at relatively shallow reservoirs with low pressures and thus low temperatures. In our paper we investigate if steam foam can also be effectively used for applications at high steam temperatures, significantly exceeding 200°C. To test the viability of steam foam technology at high temperatures, we have tested the stability of multiple surfactants at reservoir conditions. For those surfactants that showed good stability, core flood tests have been carried out to test the ability to form foam and to assess the resulting foam strength. Steam foam tests have also been carried out at temperature up to 240°C.","enhanced recovery; drilling fluids and materials; concentration; farajzadeh; flow in porous media; upstream oil & gas; high temperature steam foam application; thermal method; sagd; fluid dynamics","en","conference paper","Society of Petroleum Engineers","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-01","","","Reservoir Engineering","","",""
"uuid:934537d7-1828-4287-a6a1-a150db33dc81","http://resolver.tudelft.nl/uuid:934537d7-1828-4287-a6a1-a150db33dc81","Case Study of Condensate Dropout Effect in Unconventional Gas/Condensate Reservoirs with Hydraulically Fractured Wells","Alsultan, A.H.A. (TU Delft Civil Engineering & Geosciences; Saudi Aramco); Shaoul, Josef R. (Fenix Consulting Delft); Park, Jason (Fenix Consulting Delft); Zitha, P.L.J. (TU Delft Reservoir Engineering)","","2022","Condensate banking is a major issue in the production operations of gas condensate reservoirs. Increase in liquid saturation in the near-wellbore zone due to pressure decline below dew point, decreases well deliverability and the produced condensate-gas ratio (CGR). This paper investigates the effects of condensate banking on the deliverability of hydraulically fractured wells producing from ultralow permeability (0.001 to 0.1 mD) gas condensate reservoirs. Cases where condensate dropout occurs over a large volume of the reservoir, not only near the fracture face, were examined by a detailed numerical reservoir simulation. A commercial compositional simulator with local grid refinement (LGR) around the fracture was used to quantify condensate dropout as a result of reservoir pressure decline and its impact on well productivity index (PI). The effects of gas production rate and reservoir permeability were investigated. Numerical simulation results showed a significant change in fluid compositions and relative permeability to gas over a large reservoir volume due to pressure decline during reservoir depletion. Results further illustrated the complications in understanding the PI evolution of hydraulically fractured wells in ""unconventional"" gas condensate reservoirs and illustrate how to correctly evaluate fracture performance in such a situation. The findings of our study and novel approach help to more accurately predict post-fracture performance. They provide a better understanding of the hydrocarbon phase change not only near the wellbore and fracture, but also deep in the reservoir, which is critical in unconventional gas condensate reservoirs. The optimization of both fracture spacing in horizontal wells and well spacing for vertical well developments can be achieved by improving the ability of production engineers to generate more realistic predictions of gas and condensate production over time.","","en","conference paper","Society of Petroleum Engineers","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","Civil Engineering & Geosciences","","Reservoir Engineering","","",""
"uuid:cf0db0b5-0561-48c8-ae98-2dc312a1bbd4","http://resolver.tudelft.nl/uuid:cf0db0b5-0561-48c8-ae98-2dc312a1bbd4","Sensing soft robots' shape with cameras: an investigation on kinematics-aware SLAM","Rosi, Emanuele Riccardo (University of Genoa); Stölzle, Maximilian (TU Delft Learning & Autonomous Control); Solari, Fabio (University of Genoa); Della Santina, C. (TU Delft Learning & Autonomous Control; German Aerospace Center)","","2022","The nature of continuum soft robots calls for novel perception solutions, which can provide information on the robot's shape while not substantially modifying their bodies' softness. One way to achieve this goal is to develop innovative and completely deformable sensors. However, these solutions tend to be less reliable than classic sensors for rigid robots. As an alternative, we consider here the use of monocular cameras. By admitting a small rigid component in our design, we can leverage well-established solutions from mobile robotics. We propose a shape sensing strategy that combines a SLAM algorithm with nonlinear optimization based on the robot's kinematic model. We prove the method's effectiveness in simulation and with experiments of a single-segment continuous soft robot with a camera mounted to the tip. We achieve mean relative translational errors below 9% simulations and experiments alike, and as low as 0.5% on average for some simulation conditions.","Simultaneous localization and mapping; Shape; Robot kinematics; Robot vision systems; Estimation; Kinematics; Soft robotics","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-28","","","Learning & Autonomous Control","","",""
"uuid:f51c6222-6f23-4076-99a1-72ae267ad502","http://resolver.tudelft.nl/uuid:f51c6222-6f23-4076-99a1-72ae267ad502","Convolutional Filtering in Simplicial Complexes","Isufi, E. (TU Delft Multimedia Computing); Yang, M. (TU Delft Multimedia Computing)","","2022","This paper proposes convolutional filtering for data whose structure can be modeled by a simplicial complex (SC). SCs are mathematical tools that not only capture pairwise relationships as graphs but account also for higher-order network structures. These filters are built by following the shift-and-sum principle of the convolution operation and rely on the Hodge-Laplacians to shift the signal within the simplex. But since in SCs we have also inter-simplex coupling, we use the incidence matrices to transfer the signal in adjacent simplices and build a filter bank to jointly filter signals from different levels. We prove some interesting properties for the proposed filter bank, including permutation and orientation equivariance, a computational complexity that is linear in the SC dimension, and a spectral interpretation using the simplicial Fourier transform. We illustrate the proposed approach with numerical experiments.","Hodge Laplacian; simplicial filter; topological signal processing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Multimedia Computing","","",""
"uuid:53f1da58-abee-4834-9822-cce691900b18","http://resolver.tudelft.nl/uuid:53f1da58-abee-4834-9822-cce691900b18","Simplicial Convolutional Neural Networks","Yang, M. (TU Delft Multimedia Computing); Isufi, E. (TU Delft Multimedia Computing); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2022","Graphs can model networked data by representing them as nodes and their pairwise relationships as edges. Recently, signal processing and neural networks have been extended to process and learn from data on graphs, with achievements in tasks like graph signal reconstruction, graph or node classifications, and link prediction. However, these methods are only suitable for data defined on the nodes of a graph. In this paper, we propose a simplicial convolutional neural network (SCNN) architecture to learn from data defined on simplices, e.g., nodes, edges, triangles, etc. We study the SCNN permutation and orientation equivariance, complexity, and spectral analysis. Finally, we test the SCNN performance for imputing citations on a coauthorship complex.","Simplicial complex; Hodge Laplacian; simplicial filter; simplicial neural network","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-27","","","Multimedia Computing","","",""
"uuid:f880fbd4-83cd-4acb-a9b4-6295e8092eb2","http://resolver.tudelft.nl/uuid:f880fbd4-83cd-4acb-a9b4-6295e8092eb2","Flood of July 13-15 2021: a new type of floods in Western Europe?","Thewissen, A. (TU Delft Sanitary Engineering); Hrachowitz, M. (TU Delft Water Resources); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","Flood typology; international; tributary; flood frequency analysis; data analysis","en","conference paper","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:1c89bdde-399f-4dce-bdbb-ea6c5fbb24d5","http://resolver.tudelft.nl/uuid:1c89bdde-399f-4dce-bdbb-ea6c5fbb24d5","The discharge magnitude of the 1374 millennium flood event in the Rhine river","Ngo, Hieu (University of Twente); Bomers, Anouk (University of Twente); Augustijn, Dennie CM. (University of Twente); Ranasinghe, Roshanka (University of Twente; IHE Delft Institute for Water Education); Filatova, T. (TU Delft Policy Analysis); Hulscher, Suzanne J.M.H. (University of Twente)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","historic flood event; hydraulic modelling approach; flood reconstruction; flood frequency analysis","en","conference paper","","","","","","","","","","","Policy Analysis","","",""
"uuid:3d81edbe-b54c-4a45-9d6f-4ce03e5d1bfb","http://resolver.tudelft.nl/uuid:3d81edbe-b54c-4a45-9d6f-4ce03e5d1bfb","Modelling open channel flow for the features of a flexible groyne","van Alderwegen, E. (Student TU Delft); Mosselman, E. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); Zijlema, A. (Student TU Delft); Bahrami-Yarahmadi, M. (Shahid Chamran University of Ahvaz); Reedijk, B (BAM)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","Groynes; Fluent; 3D CFD modelling; River Training Works","en","conference paper","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:a2c54a5a-8a7d-497d-b512-4d05dff3a48f","http://resolver.tudelft.nl/uuid:a2c54a5a-8a7d-497d-b512-4d05dff3a48f","Predicting the flow and transport of plastic debris in open waters","Yan Toe, C. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Uijttewaal, W.S.J. (TU Delft Environmental Fluid Mechanics); Wüthrich, D. (TU Delft Hydraulic Structures and Flood Risk)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","Megaplastic items; Finite-size effect","en","conference paper","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:fa4e4d23-f57f-4b4a-9f60-d293a053f4bc","http://resolver.tudelft.nl/uuid:fa4e4d23-f57f-4b4a-9f60-d293a053f4bc","Nature-friendly banks in the IJssel River - Measurements, analyses and recommendations","Duró, Gonzalo (Witteveen+Bos); Mosselman, E. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); Schippers, Melanie (Witteveen+Bos); Reneerkens, Michiel (Rijswaterstaat); Ridderinkhof, Wim (Witteveen+Bos)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","Nature-friendly banks; monitoring; IJssel Rive; River Training Works","en","conference paper","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:453d787c-bcca-4396-a5a5-f41e0a57ab5c","http://resolver.tudelft.nl/uuid:453d787c-bcca-4396-a5a5-f41e0a57ab5c","The influence of floodplain geometry on riverbed elevation change within and between flood events","Ahrendt, S.M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; University of Washington); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); van Denderen, R. Pepijn (HKV Lijn in Water); Schielen, R.M.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Rijkswaterstaat); Horner-Devine, Alexander (Student TU Delft)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","Groynes; Fluent; 3D CFD modelling; River Training Works","en","conference paper","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:9fc65653-7ffa-4351-aa72-4504a658076f","http://resolver.tudelft.nl/uuid:9fc65653-7ffa-4351-aa72-4504a658076f","Tidal response to polder construction in the Pussur-Sibsa estuary","Beemster, Joris (Wageningen University & Research); van Maren, D.S. (TU Delft Environmental Fluid Mechanics; East China Normal University; Deltares); Hoitink, A.J.F. (Wageningen University & Research)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","Land Reclamation; Idealized Modelling; Tidal Amplification","en","conference paper","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:95f5ca2d-51dc-4bc1-8c7f-3a7d14c2e2ed","http://resolver.tudelft.nl/uuid:95f5ca2d-51dc-4bc1-8c7f-3a7d14c2e2ed","Effects of urbanization, deforestation and climate change on flooding in Cap-Haitien, Haiti","Jean Louisa, Madoche (IHE Delft Institute for Water Education); Crosato, A. (TU Delft Environmental Fluid Mechanics; IHE Delft Institute for Water Education); Mosselman, E. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); Maskey, Shreedhar (IHE Delft Institute for Water Education)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","Hydrology; Haiti; Cap-Haitien; Floods; SOBEK1D2D; SWAT; modeling","en","conference paper","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:4c8271de-4c50-4346-8ca2-e1ec9ebd14c2","http://resolver.tudelft.nl/uuid:4c8271de-4c50-4346-8ca2-e1ec9ebd14c2","Geul River flooding reproduced with a Delft3D depth-averaged model","Abi Aad, Zeina (IHE Delft Institute for Water Education; Witteveen+Bos); Duro, G. (Witteveen+Bos); Cattapan, A. (IHE Delft Institute for Water Education); Crosato, A. (TU Delft Environmental Fluid Mechanics; IHE Delft Institute for Water Education)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","river; floot; Valkenburg","en","conference paper","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:504147e2-1587-4c84-8f2e-1b4ca9e823a5","http://resolver.tudelft.nl/uuid:504147e2-1587-4c84-8f2e-1b4ca9e823a5","Final evaluation of longitudinal-training-walls pilot in the river Waal","Mosselman, E. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); Buijse, Tom (Deltares; Wageningen University & Research)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","River training; river Waal","en","conference paper","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:a795ce9a-19ce-4293-b8a9-e1882a633584","http://resolver.tudelft.nl/uuid:a795ce9a-19ce-4293-b8a9-e1882a633584","Vulnerability of villages in the Dutch Geul valley","Strijker, B. (TU Delft Hydraulic Structures and Flood Risk); Kok, M. (TU Delft Hydraulic Structures and Flood Risk)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","Flood risk; HAND; vulnerability; bottlenecks; water systems","en","conference paper","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:111cc888-c262-4fe4-8ced-f7a8b9b77625","http://resolver.tudelft.nl/uuid:111cc888-c262-4fe4-8ced-f7a8b9b77625","Exploring the relationship between eDNA and eRNA to advance biomonitoring techniques in rivers","Dercksen, J.A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Stancanelli, L.M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","eDNA; biomonitoring; river restoration; eRNA","en","conference paper","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:dd88a80f-3ce7-48d2-99c1-75e4f3203073","http://resolver.tudelft.nl/uuid:dd88a80f-3ce7-48d2-99c1-75e4f3203073","Plastics on the move: Discharges to plastic transport in the Odaw river, Ghana","Boahemaa Pinto, Rose (Wageningen University & Research); Barendse, Tom (Wageningen University & Research); Van Emmerik, Tim H.M. (Wageningen University & Research); an der Ploeg, Martine (Wageningen University & Research); Annor, F.O. (TU Delft Water Resources; TAHMO); Duah, Kwame (TAHMO); Udo, Job (HKV); Lugt, Dorien (HKV Consultants); Uijlenhoet, R. (TU Delft Water Resources)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","macroplastics; river; discharges","en","conference paper","","","","","","","","","","","Water Resources","","",""
"uuid:169f9cee-b949-48e8-8211-3955c55133b7","http://resolver.tudelft.nl/uuid:169f9cee-b949-48e8-8211-3955c55133b7","Channel Bed Erosion Characteristics in the Upper Dutch Rhine Bifurcation Region","Chowdhury, M. Kifayath (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Ylla Arbos, C. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Verbeek, Merel C. (TU Delft Environmental Fluid Mechanics; Rijkswaterstaat); Schropp, M.H.I. (Rijkswaterstaat); Schielen, R.M.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Rijkswaterstaat)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","river bifurcation; Pannerdense Kop; long term morphological change; bed erosion; Rhine River","en","conference paper","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:39686d33-6398-4c3b-9056-f8eb700170ee","http://resolver.tudelft.nl/uuid:39686d33-6398-4c3b-9056-f8eb700170ee","Near-field of an experimental turbidity current triggered by an impinging water jet – a preliminary assessment","Buffon, P. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Uijttewaal, W.S.J. (TU Delft Environmental Fluid Mechanics); Franca, M.J. (Karlsruhe Institut für Technologie); Valero Huerta, Daniel (Karlsruhe Institut für Technologie)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","physical modelling; sediment management; water injection dredging","en","conference paper","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:d1cf88d6-776b-4572-bf58-d33ee8d70fab","http://resolver.tudelft.nl/uuid:d1cf88d6-776b-4572-bf58-d33ee8d70fab","River Bar Downscaling with Bed Sills and Bottom Groynes: A Numerical Study","Alahmady, Gamal (IHE Delft Institute for Water Education; National Water Research Center, Egypt); Crosato, A. (TU Delft Environmental Fluid Mechanics; IHE Delft Institute for Water Education); Werner, Micha (IHE Delft Institute for Water Education)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","River Morphodynamic; Bar Removal; Bed Sills; Bottom Groynes; Structure Configurations","en","conference paper","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:053492ed-d60a-4072-910c-73f4e5746a75","http://resolver.tudelft.nl/uuid:053492ed-d60a-4072-910c-73f4e5746a75","Effects of Suspended Sediment Transport on Bar Characteristics","Gamal Mezieda, Mohammed (IHE Delft Institute for Water Education; National Water Research Center, Egypt); Siele, Meles (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Crosato, A. (TU Delft Environmental Fluid Mechanics; IHE Delft Institute for Water Education)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","Bars; Delft3D; Suspended sediment","en","conference paper","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:8aac2729-c792-4a4f-9c44-8d5c43631ebf","http://resolver.tudelft.nl/uuid:8aac2729-c792-4a4f-9c44-8d5c43631ebf","Modelling both local and national effects of construction and operation of the Pwalugu Multipurpose Dam","Rademaker, R. (Student TU Delft); Slinger, J (TU Delft Policy Analysis); Mul, Marloes L. (International Water Management Institute Ghana; IHE Delft Institute for Water Education)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","Dam Operation; Flood-Recession Agriculture; Riparian Communities; System Dynamics","en","conference paper","","","","","","","","","","","Policy Analysis","","",""
"uuid:50e93daa-49e9-434f-b845-6e754d91dc12","http://resolver.tudelft.nl/uuid:50e93daa-49e9-434f-b845-6e754d91dc12","Best Practices for Longitudinal Training Walls to mitigate channel bed erosion","Czapiga, Matthew (University of South Carolina); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Viparelli, Enrica (University of South Carolina)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","Morphodynamics; Longitudinal Training Walls; River Engineering","en","conference paper","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:96029f9d-528f-4a00-877a-3693275ef259","http://resolver.tudelft.nl/uuid:96029f9d-528f-4a00-877a-3693275ef259","Mid-Century Channel Response to Climate Change in the Lower Rhine River","Ylla Arbos, C. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Schielen, R.M.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Rijkswaterstaat)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","Climate Change; Lower Rhine River; Channel Respons","en","conference paper","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:40d64a4d-ffed-430c-aa98-4e38dbe690a9","http://resolver.tudelft.nl/uuid:40d64a4d-ffed-430c-aa98-4e38dbe690a9","Living labs for improved collaboration in river management","Bhatta, A. (TU Delft Policy Analysis); Vreugdenhil, H.S.I. (TU Delft Policy Analysis; Deltares); Slinger, J (TU Delft Policy Analysis)","Blom, Astrid (editor); Stancanelli, Laura M. (editor); Dercksen, Jelle A. (editor); Ylla Arbós, Clàudia (editor); Chowdhury, M. Kifayath (editor); Ahrendt, Shelby M. (editor); Piccoli, Carolina (editor); Schielen, Ralph M.J. (editor); Sloff, Kees (editor); Slinger, Jill H. (editor)","2022","","Living labs; river management","en","conference paper","","","","","","","","","","","Policy Analysis","","",""
"uuid:327d4ca0-040e-4aeb-9c7f-0a9a749986cc","http://resolver.tudelft.nl/uuid:327d4ca0-040e-4aeb-9c7f-0a9a749986cc","Rapid manufacturing of color-based hemispherical soft tactile fingertips","Scharff, R.B.N. (Istituto Italiano di Tecnologia); Boonstra, D. (TU Delft Human-Robot Interaction); Willemet, L. (TU Delft Human-Robot Interaction); Lin, X. (Carl Zeiss Meditec AG); Wiertlewski, M. (TU Delft Human-Robot Interaction)","","2022","Tactile sensing can provide access to information about the contact (i.e. slippage, surface feature, friction), which is out of reach of vision but crucial for manipulation. To access this information, a dense measurement of the deformation of soft fingertips is necessary. Recently, tactile sensors that rely on a camera looking at a deformable membrane have demonstrated that a dense measurement of the contact is possible. However, their manufacturing can be time-consuming and labor-intensive. Here, we show a new design method that uses multi-color additive manufacturing and silicone casting to efficiently manufacture soft marker-based tactile sensors that are able to capture with high-resolution the three-dimensional deformation field at the interface. Each marker is composed of two superimposed color filters. The subtractive color mixing encodes the normal deformation of the membrane, and the lateral deformation is found by centroid detection. With this manufacturing method, we can reach a density of 400 markers on a 21 mm radius hemisphere, allowing for regular and dense measurement of the deformation. We calibrated and validated the approach by finding the curvature of objects with a threefold increase in accuracy as compared to previous implementations. The results demonstrate a simple yet effective approach to manufacturing artificial fingertips for capturing a rich image of the tactile interaction at the location of contact.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Human-Robot Interaction","","",""
"uuid:b423776c-458c-4c16-8abe-43ef2dbd3241","http://resolver.tudelft.nl/uuid:b423776c-458c-4c16-8abe-43ef2dbd3241","Low Complex Accurate Multi-Source RTF Estimation","Li, C. (TU Delft Signal Processing Systems); Martinez, Jorge (TU Delft Electrical Engineering Education); Hendriks, R.C. (TU Delft Signal Processing Systems)","","2022","Many multi-microphone algorithms depend on knowing the relative acoustic transfer functions (RTFs) of the individual sound sources in the acoustic scene. However, accurate joint RTF estimation for multiple sources is a challenging problem. Existing methods to jointly estimate the RTF for multiple sources have either no satisfying performance, or, suffer from a very large computational complexity. In this paper, we propose a method for robust estimation of the individual RTFs in a multi-source acoustic scenario. The presented algorithm is based on linear algebraic concepts and therefore of lower computational complexity compared to a recently presented state-of-the-art algorithm, while having a similar performance. Experimental results are presented to demonstrate the RTF estimation performance as well as the noise reduction performance when combining the estimated RTFs with a beamformer.","Joint diagonalization; microphone array signal processing; source separation; RTF estimation; speech enhancement","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Signal Processing Systems","","",""
"uuid:f5f9693b-025e-49b7-b3ae-1d36b87f6f36","http://resolver.tudelft.nl/uuid:f5f9693b-025e-49b7-b3ae-1d36b87f6f36","High-Voltage and High-Current IGBT Press-pack Module for Power Grid","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Jiang, Jing (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ye, H. (TU Delft Electronic Components, Technology and Materials; Chongqing University)","","2022","On the basis of the development and application requirements of flexible DC transmission techniques, a 1 kA/10 kV half-bridge IGBT press-pack module is studied. The module is composed of three subunits in series, and each subunit consists of IGBT chips in parallel. In order to solve the problem of chips failure caused by non-uniform rigid-contacting pressure in the press-pack modules, the elastic-contacting structure is designed to ensure excellent electrical connection between chips and contact terminal. During the operating conditions, the heat generated by IGBT chips can induce the increasing of internal temperature of the module, affecting the reliability of the module. A cooling structure is introduced between the subunits to solve the heat dissipation problem of the module. In addition, the thermal analysis of subunit and the cooling structure is performed by using the finite element simulation, and the chip layout and water-cooling scheme are optimized. The testing of electrical parameters of the IGBT module is also conducted.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:30b3f7a8-2d97-4b96-acca-d84074d2bd15","http://resolver.tudelft.nl/uuid:30b3f7a8-2d97-4b96-acca-d84074d2bd15","Effects of shell thickness on the thermal stability of Cu-Ag core-shell nanoparticles: A molecular dynamics study","Li, Shizhen (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Jiang, Jing (Fudan University); Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Gao, Chenshan (Chongqing University; Southern University of Science and Technology); Liu, Yang (Harbin University of Science and Technology); Ye, H. (Southern University of Science and Technology; Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Cu-Ag core-shell (CS) nanoparticle (NP) is considered as a cost-effective alternative material to nano silver sintering material in die attachment application. To further reduce the cost, the thickness of the Ag shell can be adjusted. Whereas the shell thickness will also affect the thermal stability of the Cu-Ag CSNPs. In this study, molecular dynamics simulation was applied to study the thickness effect on the thermal behavior of Cu-Ag CSNPs. The melting points of CSNPs and Pure NPs can be determined by the evolutions of Potential Energy (PE), and the Lindemann index (LI) of the system. The results indicated that the melting points of CS NPs were lower than monometallic NP and the melting point of CS NP is influenced by the size of the Cu core and the number of lattice mismatches. Moreover, the distribution of atoms’ LI showed that the premelting point is independent of shell thickness. However, the fraction of atoms that occurred premelting is increased with the decrease of the shell thickness. Otherwise, we also simulated the sintering process of double CS NPs with equal size.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:856790e6-aa6d-4027-badc-8023ee12f70b","http://resolver.tudelft.nl/uuid:856790e6-aa6d-4027-badc-8023ee12f70b","Learning Expanding Graphs for Signal Interpolation","Das, B. (TU Delft Multimedia Computing); Isufi, E. (TU Delft Multimedia Computing)","","2022","Performing signal processing over graphs requires knowledge of the underlying fixed topology. However, graphs often grow in size with new nodes appearing over time, whose connectivity is typically unknown; hence, making more challenging the downstream tasks in applications like cold start recommendation. We address such a challenge for signal interpolation at the incoming nodes blind to the topological connectivity of the specific node. Specifically, we propose a stochastic attachment model for incoming nodes parameterized by the attachment probabilities and edge weights. We estimate these parameters in a data-driven fashion by relying only on the attachment behaviour of earlier incoming nodes with the goal of interpolating the signal value. We study the non-convexity of the problem at hand, derive conditions when it can be marginally convexified, and propose an alternating projected descent approach between estimating the attachment probabilities and the edge weights. Numerical experiments with synthetic and real data dealing in cold start collaborative filtering corroborate our findings.","Incoming nodes; expanded graphs; graph signal interpolation; cold start","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Multimedia Computing","","",""
"uuid:cbf20d64-899a-474f-88a7-46efe88879bd","http://resolver.tudelft.nl/uuid:cbf20d64-899a-474f-88a7-46efe88879bd","Energy-based shape regulation of soft robots with unactuated dynamics dominated by elasticity","Borja Rosales, L.P. (TU Delft Learning & Autonomous Control); Dabiri, A. (TU Delft Team Azita Dabiri); Della Santina, C. (TU Delft Learning & Autonomous Control; German Aerospace Center)","","2022","This paper proposes a model-based control design approach for a broad class of soft robots, having their elastic field dominating gravity in the unactuated coordinates. To this end, we consider finite-dimensional dynamic models obtained from approximations of the system's energy. Then, we propose a general control architecture that can stabilize soft robots based on potential energy shaping. We discuss three specializations of this general architecture: a PD with mixed feedback-feedforward gravity compensation, a PD with feedforward compensation, and a saturated version of the latter. We provide a physical interpretation of the controllers, and we illustrate their applicability through simulations.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-28","","","Learning & Autonomous Control","","",""
"uuid:1b1d0704-d08a-481c-a3a0-8f986cad0e47","http://resolver.tudelft.nl/uuid:1b1d0704-d08a-481c-a3a0-8f986cad0e47","Full-scale experiments on a coandă-effect-based polymetallic-nodule collector","Alhaddad, S.M.S. (TU Delft Offshore and Dredging Engineering); de Jonge, Laurens (Royal IHC); Boomsma, W.B.A. (Royal IHC); Helmons, R.L.J. (TU Delft Offshore and Dredging Engineering; Norwegian University of Science and Technology (NTNU))","","2022","Polymetallic nodules are potato-sized rock accretions that form on vast areas of the abyssal plains of the global ocean. These nodules are rich in commercially precious metals, such as nickel, cobalt and copper, making them a target for potential future deep-sea exploitation. Generally, polymetallic nodules are partially buried in the seabed sediment, which is predominantly composed of clay. Among the existing mechanisms for mining polymetallic nodules (mechanical, hydraulic and hybrid), hydraulic collecting is deemed the most suitable technology in deep sea mining. This is primarily because hydraulic collecting hardly involves interaction with the seabed during the collection process (Agarwal et al., 2012); the collector generates a pressure gradient to harvest the nodules, thus substantially reducing the associated disturbance to the seabed.","deep sea mining; polymetallic nodules; nodule pick-up device; hydraulic collector; Coandă effect","en","conference paper","WODA","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Offshore and Dredging Engineering","","",""
"uuid:4ff12f8e-0d27-4bdb-a22c-0c9e9510f2da","http://resolver.tudelft.nl/uuid:4ff12f8e-0d27-4bdb-a22c-0c9e9510f2da","A holistic approach to predict, measure and reduce environmental pressures of polymetallic nodule collection","Helmons, R.L.J. (TU Delft Offshore and Dredging Engineering; Norwegian University of Science and Technology (NTNU))","","2022","There is an expected increase in demand of critical raw materials, e.g. nickel and cobalt, mainly driven by the shift towards renewable energy solutions and the increase in production of electric vehicles. That trend has raised the interests for the mining of polymetallic nodules. The most extensive nodule depostions are found on the abyssal plains of the deep seas. In spite of their economic interest, concerns have been raised regarding the environmental impact of deep sea mining.","Deep-sea mining; flocculation; monitoring; hydraulic excavation; sediment dispersion","en","conference paper","WODA","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Offshore and Dredging Engineering","","",""
"uuid:6e0716dc-07c3-4a68-933b-b93971f39d5a","http://resolver.tudelft.nl/uuid:6e0716dc-07c3-4a68-933b-b93971f39d5a","Water Injection Dredging for improving and preserving reservoir storage capacity: modelling and measuring tools","Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); Cronin, Katherine (Deltares); de Wit, Lynyrd (Deltares; Deltares); Meshkati, Ebi (Deltares); Pennekamp, Johan (Deltares); Wijdeveld, Arjan J. (Deltares); Sloff, C.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares)","","2022","Water Injection Dredging (WID) has been successfully applied for removing sediment deposits in reservoirs, which results in an increase of their storage capacity. This dredging method is based on the fluidization of the top sediment layer by pressurized injection of water by a dredging vessel. The fluidized sediment can be transported towards the dead storage of the reservoir or sluiced out of the reservoir through the bottom outlets of a dam. This flow can either occur by gravity induced flow or especially directed by the dredging strategy of the WID vessel. This dredging technique can increase the water storage capacity of the reservoir and prevent the erosion of the river downstream, hence the sediment blockage. Recent developments in modelling and measuring tools have enabled stakeholders to design, optimize and monitor WID in reservoirs. In this paper, we will demonstrate how modelling and measuring tools can be used to evaluate alternative dredging strategies for reservoir maintenance. In particular, we show how a mid-field and far-field modelling can be applied for designing WID actions and predicting sediment plume dynamics in a given reservoir. Additionally, we will present recently-developed in-situ measuring tools, that are currently used for monitoring turbidity in a water column and sediment properties during and after WID actions. Finally, potential benefit of applying WID in Shihmen Reservoir (Taiwan) is discussed.","WID; Reservoir; dredging; CFD","en","conference paper","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:9162cf23-0259-416c-9361-ab67e1173a11","http://resolver.tudelft.nl/uuid:9162cf23-0259-416c-9361-ab67e1173a11","Full-stack quantum computing systems in the NISQ era: Algorithm-driven and hardware-aware compilation techniques","Bandic, M. (TU Delft QCD/Feld Group; TU Delft QCD/Almudever Lab; TU Delft QuTech Advanced Research Centre); Feld, S. (TU Delft Quantum Circuit Architectures and Technology; TU Delft QuTech Advanced Research Centre); Almudever, Carmen G. (Technical University of Valencia)","Bolchini, Cristiana (editor); Verbauwhede, Ingrid (editor); Vatajelu, Ioana (editor)","2022","The progress in developing quantum hardware with functional quantum processors integrating tens of noisy qubits, together with the availability of near-term quantum algorithms has led to the release of the first quantum computers. These quantum computing systems already integrate different software and hardware components of the so-called “full-stack”, bridging quantum applications to quantum devices. In this paper, we will provide an overview on current full-stack quantum computing systems. We will emphasize the need for tight co-design among adjacent layers as well as vertical cross-layer design to extract the most from noisy intermediate-scale quantum (NISQ) processors which are both error-prone and severely constrained in resources. As an example of co-design, we will focus on the development of hardware-aware and algorithm-driven compilation techniques.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-19","","","QCD/Feld Group","","",""
"uuid:160db5be-d87b-4bee-a85c-bef2fc223f78","http://resolver.tudelft.nl/uuid:160db5be-d87b-4bee-a85c-bef2fc223f78","Reliability Analysis of FinFET-Based SRAM PUFs for 16nm, 14nm, and 7nm Technology Nodes","Masoumian, S. (TU Delft Computer Engineering; Intrinsic ID B.V.); Selimis, Georgios (Intrinsic ID B.V.); Wang, Rui (Intrinsic ID B.V.); Schrijen, Geert-Jan (Intrinsic ID B.V.); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Taouil, M. (TU Delft Computer Engineering)","","2022","SRAM Physical Unclonable Functions (PUFs) are among other things today commercially used for secure primitives such as key generation and authentication. The quality of the PUFs and hence the security primitives, depends on intrinsic variations which are technology dependent. Therefore, to sustain the commercial usage of PUFs for cutting-edge technologies, it is important to properly model and evaluate their reliability. In this work, we evaluate the SRAM PUF reliability using within class Hamming distance (WCHD) for 16nm, 14nm, and 7nm using simulations and silicon validation for both low-power and high-performance designs. The results show that our simulation models and expectations match with the silicon measurements. From the experiments, we conclude the following: (1) SRAM PUF is reliable in advanced FinFET technology nodes, i.e., the noise is low in 16nm, 14nm, and 7nm, (2) temperature variations have a marginal impact on the reliability, and (3) both low-power and high-performance SRAMs can be used as a PUF without excessive need of error correcting codes (ECCs).","FinFET; measurements; reliability; simulation model; SRAM PUF","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:95465cf3-f1d7-4ad0-bccd-d3f5993cd1d4","http://resolver.tudelft.nl/uuid:95465cf3-f1d7-4ad0-bccd-d3f5993cd1d4","One-shot Learning Closed-loop Manipulation of Soft Slender Objects Based on a Planar Polynomial Curvature Model","Besselaar, Lars (Student TU Delft); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2022","Many are the challenges that make robotic manipulation of deformable objects such a complex task. For example, to properly plan and execute a control action, a robot needs to understand how external forces will modify the deformation states of the object. Creating such an internal representation is even more complex in the typical situation where the robot is interacting for the first time with the object. In this paper, we look at this challenge when controlling the deformation states of a planar and slender object. Leveraging soft robots' modelling and control, we show that the only non-geometrical information needed to perform this task is the stiffness distribution. We thus propose a strategy to learn this function from a single interaction with the object, testing it experimentally. We then propose a closed-loop controller that exploits this learned information to perform the manipulation task and test it with simulations.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-28","","","Learning & Autonomous Control","","",""
"uuid:de4ed598-1bbd-432c-a382-e53085d5cbf1","http://resolver.tudelft.nl/uuid:de4ed598-1bbd-432c-a382-e53085d5cbf1","The effect of particle size, salinity, flocculating agents on the propagation of mining-generated turbidity currents","Elerian, M.F.A.I. (TU Delft Offshore and Dredging Engineering); Bedón Vásquez, A.A. (Student TU Delft); Enthoven, D.H.B. (Student TU Delft); Helmons, R.L.J. (TU Delft Offshore and Dredging Engineering); van Rhee, C. (TU Delft Offshore and Dredging Engineering; TU Delft Rivers, Ports, Waterways and Dredging Engineering)","","2022","Renewable energy installations and energy storage solutions require a significant amount of critical raw materials such as nickel, cobalt and rare earth elements. The supply chains of these raw materials face many challenges, e.g., these materials are often found at lower grades on land. These complications motivate the search for new resources. Therefore, the deep sea is looked into as a potential source for such minerals. However, sea bed mining is expected to affect the mined area. One of the concerns is the so-called mining-generated turbidity current, which can cause a negative impact on the deep-sea environment. For that reason, in order to characterize the generated turbidity current, we investigate the generated current experimentally, where cohesive and noncohesive sediment types are tested using a lock-exchange set-up. Three non-cohesive sediment types are tested in order to investigate the effect of the particle size and initial concentration on the propagation velocity of the current. Moreover, one cohesive sediment, i.e illite, is used to compare the propagation velocity in both saline and fresh water. Finally, we used flocculating agents as a proxy to biological matter, to test its influence on the flocculation process. The results show that using or generating larger particle sizes effectively results in a reduced propagation velocity of the current. In addition, the propagation velocity increases in case of higher initial concentrations. In case of cohesive sediment, natural flocculation (i.e flocculation without using flocculants ) occurs faster in saline water than the fresh water. Moreover, using organic flocculants would increase the process of the flocs formation, which results in a lower front velocity and an effectively reduced plume dispersion.","deep sea mining; Flocculation; Turbidity Current; Polymetallic Nodules","en","conference paper","WODA","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Offshore and Dredging Engineering","","",""
"uuid:b4fc9804-e0cb-4791-ac76-a952596f0f95","http://resolver.tudelft.nl/uuid:b4fc9804-e0cb-4791-ac76-a952596f0f95","Experimental investigation of sediment erosion generated by a coandă-effect-based polymetallic-nodule collector","Alhaddad, S.M.S. (TU Delft Offshore and Dredging Engineering); Helmons, R.L.J. (TU Delft Offshore and Dredging Engineering; Norwegian University of Science and Technology (NTNU))","","2022","Owing to the absence of direct contact between hydraulic polymetallic-nodule collectors and seabed, hydraulic collection is deemed, from an environmental point of view, the most preferred technique in nodule mining. To design a hydraulic collector that results in minimum sediment disturbance, it is crucial to develop a solid understanding of the interaction between the collector and the sea bed. To this end, we performed a series of small-scale experiments where several operational conditions were tested, yielding the first quantitative data for sediment erosion resulting from the movement of a hydraulic collector over a sand bed. This paper presents and discusses the experimental results and observations. It is found that the collector’s forward velocity is inversely proportional to the bed-sediment erosion depth, since the bed is exposed to the flow for a longer time when the collector drives slower and vice versa. Contrarily, an increased jet velocity leads to a larger erosion depth. Furthermore, when the collector underside is nearer to the bed, a larger sediment layer is exposed to the water flow, resulting in a larger erosion depth. Finally, the experimental results show that a larger amount of water entrained into the collection duct results in a smaller erosion depth, implying that the flow velocities under the collector are lower in this case.","deep sea mining; sediment erosion; polymetallic nodules; hydraulic collector; Coandă effect","en","conference paper","WODA","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Offshore and Dredging Engineering","","",""
"uuid:051d90e4-d079-40c2-b949-1d59b8d9006c","http://resolver.tudelft.nl/uuid:051d90e4-d079-40c2-b949-1d59b8d9006c","Patterns and Profiles for understanding the indoor environment and its occupants","Bluyssen, P.M. (TU Delft Indoor Environment)","","2022","Research has shown that, even though the indoor environmental conditions seem to comply with current guidelines and those conditions seem ‘comfortable’ enough, staying indoors is not good for our health. Reasons for this discrepancy might be the fact that these guidelines are based on single-dose response relationships to prevent negative effects, and that the criteria are determined for an average adult person. A more complex model that accounts for all stressors, both positive and negative, interactions, and preferences and needs of the individual for different scenarios and situations was introduced. To validate this 'new' model, several field studies have been executed to determine patterns of stressors and profiles of people for different scenarios (office workers and their workplace; students and their homes; primary children and their classrooms; employees of outpatient areas in hospitals). The outcome shows that it is possible to determine patterns of stressors for different scenario's based on multivariate regression analysis of a survey of the occupants and the buildings they are occupying. Moreover, people differ in their preferences and needs, and it seems possible to distribute them into clusters based on TwoSteps cluster analysis of preferences and needs acquired through a questionnaire. It is concluded that all possible stressors, negative or positive, are important to consider when studying a certain disease or disorder; and that both profiles of IEQ-clusters and profiles of psychosocial clusters are important parts of this 'complex' model. Next steps should focus on interactions at human and environmental level, and how to account for those in the 'New' model.","indoor environmental quality; preferences and needs; profiles; patterns of stressors","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Indoor Environment","","",""
"uuid:e4f86290-d379-4705-b828-685e64036f4f","http://resolver.tudelft.nl/uuid:e4f86290-d379-4705-b828-685e64036f4f","Students’ self-reported health and psychosocial status at home before and during COVID-19","Hamida, A.B. (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Ortiz, Marco A. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2022","During the COVID-19 outbreak, university courses were shifted online and students spent the majority of their time inside their homes. However, staying indoors can affect students’ health due to the exposure to several environmental stressors, such as background noise, and/or inefficient ventilation, and/or insufficient lighting. Previous studies showed that the indoor environmental factors may cause health effects on students (physiological and psychological). Therefore, this research aimed at investigating the differences in students’ health and psychosocial status between before and during COVID-19. An online questionnaire survey was completed by first-year undergraduate university students in March 2019, 2020, and 2021. This questionnaire includes questions about time spent at home, psychosocial status, diseases, and home-related symptoms. The mean number of hours that students spent at home during the weekdays and on weekends were calculated, respectively. Besides, occurrence frequencies of psychosocial statuses were calculated for each year. Furthermore, a statistical analysis, including one-way ANOVA and Chi2, were performed to examine the differences between the three groups in terms of time spent at home, psychosocial statuses, diseases, and home-related symptoms. It is worthwhile to note that students spent significantly more time at home, during the COVID-19 pandemic in March 2021. Another notable result is that students’ mood and emotional states changed significantly over the three years; for example, fewer students reported to be active and inspired in 2021. Moreover, the home-related symptoms, such as headache and tiredness, significantly increased in 2021, compared with the other two years.","indoor environmental quality; self-reported health; home-related symptoms; students' home; psychosocial status; COVID-19 pandemic","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Indoor Environment","","",""
"uuid:80455b05-da94-40cb-b78e-7507f515716b","http://resolver.tudelft.nl/uuid:80455b05-da94-40cb-b78e-7507f515716b","Office workers’ health during the pandemic lockdown in the Netherlands","Ortiz, Marco A. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2022","The worldwide spread of the Coronavirus disease 2019 forced governments to enact different measures to mitigate the infections. Employers and workers had to adjust by shifting a substantial number of jobs to a “work from home” configuration (WFH). On average, people used to spend around 90% of their time indoors, however, this number may have increased during the pandemic. This study aims to investigate the health status of office workers after nine months of a WFH format during the lockdown. A questionnaire was developed to assess the self-reported during the lockdown of office workers. A link to the questionnaire was sent to the employees of ten offices across the Netherlands, in November of 2020. A total of 502 employees responded to the questionnaire, which included diseases suffered during the last twelve months (i.e. asthma, wheezing, rhinitis, hay fever, anxiety, migraine, etc.). Data were analyzed by performing descriptive statistics of the general characteristics and the health status. The results show that during the lockdown and WFH, conditions such as eczema, depression and anxiety are higher than the average prevalence during non-lockdown situations. This may be due to lifestyle changes, such as reduced social interactions, increased distractions, and increased uncertainty.","Health; working from home; lockdown; office workers; questionnaire","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Indoor Environment","","",""
"uuid:4a6e3c71-68a4-44b6-98db-2004d50e2752","http://resolver.tudelft.nl/uuid:4a6e3c71-68a4-44b6-98db-2004d50e2752","A structured approach to online education of future HVAC and energy professionals","Itard, L.C.M. (TU Delft Building Energy Epidemiology); Bluyssen, P.M. (TU Delft Indoor Environment); van den Brom, P.I. (TU Delft Building Energy Epidemiology)","","2022","The HVAC sector is essential to realize the energy transition and is facing numerous challenges like educating enough HVAC engineers to carry out the task and being able to integrate knowledge from the construction, energy, IT and health sectors and to cope with rapid technological changes. The availability of structured and easy-to-follow courses on HVAC and energy systems for buildings at higher education level could help to motivate (future) engineers to contribute to the HVAC sector, and to understand how challenging and high-tech it is. Such a course program would ideally also bring a basic understanding of the field to architects and building engineers, in such a way that a better common ground is created for collaboration and integrated design. It would also be useful to Machine Learning and Artificial Intelligence experts joining the HVAC sector. Last but not least, it could help bridging the gap between engineering and policy making, by here too, offering common views on primary energy, resource depletion and CO2 emissions relating to HVAC systems. The paper describes the structure and content of such an on-line course program. It was developed based on years of teaching experience with international master students of Mechanical Engineering, Civil Engineering, Architecture, Technical Management and Policy, Electrical Engineering and with professionals from housing associations, ministries and municipalities. The choices for the program structure, based on systems engineering, are underpinned and explained, as well as the choices for specific contents. Additionally, experience with the development of self-assessment tools for students, and self-paced courses is shared, as well as the feed-back from students. A first version of the course program was tested on the edX platform with more than 5000 students participating in each module and is publicly available.","on-line education; HVAC; building services; Professional program; MOOC","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Building Energy Epidemiology","","",""
"uuid:27443c86-c839-4bdf-b27b-ff9cdffbf9d1","http://resolver.tudelft.nl/uuid:27443c86-c839-4bdf-b27b-ff9cdffbf9d1","Solar Cooling Integrated Façades: Main Challenges in Product Development for Widespread Application","Hamida, H.B. (TU Delft Building Product Innovation); Konstantinou, T. (TU Delft Building Product Innovation); Prieto, Alejandro (Diego Portales University); Klein, T. (TU Delft Building Product Innovation); Knaack, U. (TU Delft Design of Constrution)","","2022","The global attention to solar cooling systems has increased during the last years as a result of the expected growth in the world cooling demand. Such systems encompass the use of renewable energy as the main driver for mitigating indoor temperatures. Currently, some of these technologies are mature enough for their commercial application in buildings. Building facades present high potential for the integration of such technologies. This is because of their direct effect on the indoor comfort of buildings, and also their ability to provide external surfaces exposed to the sun radiation. However, there are different challenges affecting the widespread application of solar cooling integrated façades. This paper aims to identify and categorize these challenges through conducting a comprehensive literature review. A literature review was conducted on scientific papers published in conference proceeding and scientific journals, through considering two databases, namely Scopus and Web of Science. Then the study suggested three main potential dimensions that should be tackled and integrated when supporting the widespread application of the façade integration a particular solar cooling technology. The dimensions include technical, financial, as well as process and stakeholder related aspects. Such proposed dimensions represent an initial step for identifying important aspects to be considered for supporting the product widespread application in the built environment.","solar cooling; façade; challenges; widespread; product development","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Building Product Innovation","","",""
"uuid:66c2272f-74bc-44d1-a321-9b0807d830e3","http://resolver.tudelft.nl/uuid:66c2272f-74bc-44d1-a321-9b0807d830e3","CO2 monitoring to assess ventilation rate: practical suggestions from a laboratory study","Zhang, D. (TU Delft Indoor Environment); Ding, Er (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2022","Several recent studies have demonstrated that ventilation plays an important role in the transmission of SARS-CoV-2 (the coronavirus that causes COVID-19) in public buildings, such as schools. However, there are no clear rules on how to assess the ventilation performance in classrooms, especially during a pandemic. Therefore, the main objective of this study was to develop guidance to assess the ventilation performance under different ventilation regimes. A full-scale laboratory study was conducted in the Experience room of the SenseLab, where CO2 concentrations were monitored at 19 locations (18 indoors and one outdoors) simultaneously and recorded every 30 seconds by HOBO® CO2 loggers. The experiment was conducted under four different ventilation regimes: ‘600 m3/h mixing’, ‘open windows’, ‘no ventilation’, and ‘open windows and door’. Each regime lasted 50 minutes, which is approximately the duration of one normal lesson at Dutch secondary schools. Six (three males and three females) healthy subjects were invited to participate in this experiment as CO2 sources. Results showed that CO2 concentrations varied significantly between different measurement locations in the same classroom, especially under natural ventilation conditions. This demonstrates the need of monitoring the CO2 concentration, next to outdoors, at more than one location in a classroom. The finding of this study could contribute to a standardized way of monitoring CO2 concentrations and the assessment of ventilation performance of an occupied space.","CO2 concentration; ventilation regimes; classrooms; monitoring guidance","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Indoor Environment","","",""
"uuid:bc2a6067-69c5-433c-8251-77ffea0355e2","http://resolver.tudelft.nl/uuid:bc2a6067-69c5-433c-8251-77ffea0355e2","Visualisation of the airflow pattern of exhaled droplets in a classroom","Liu, Y.L. (Student TU Delft); Ortiz, Marco A. (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2022","The airborne transmission of SARS-CoV-2 in educational buildings has raised concerns during the current COVID-19 pandemic. In this study, a portable fog generator system was designed and assembled to visualise the airflow pattern of exhaled droplets in a classroom. The system consists of five components: medium, fog generator, buffer, pump, and manikin head. The medium was made of glycol and demineralised water, which produced a fog composed of droplets. The fog was produced with the fog generator and passed through a pipe into the buffer for build-up. After accumulation, the fog is pumped through another pipe and is exhaled out of the mouth of the manikin. Experiments were conducted with the portable fog generator system in a simulated classroom under four different ventilation regimes: no ventilation, natural ventilation (open windows and door), mixing ventilation (600 m3/h), and a combination of natural + mixing ventilation. The experiments were recorded with a camera and analysed to determine the horizontal distance of the path taken by the fog and to measure the time it remained visible after exhalation from the mouth. During the experiments, it could be observed with the naked eye that the glycol droplets linger in the air longer than what was captured in the recordings. Not all the droplets were visible with the camera. The recordings showed that with open windows and door (natural ventilation), the droplets travelled the furthest distance (1.8 m) and stayed the longest in the air, while with mixing and natural ventilation, the droplets travelled the shortest (0.5 m) and stayed the least time in the air. These findings confirm that mechanical mixing ventilation increases the removal of aerosols in the air. It is concluded that the portable fog generator system provides a quick method in understanding the duration and distance droplets can travel after being exhaled.","Covid-19; SARS-CoV-2; aerosol; respiratory droplets; visualisation; laser; breathing","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Indoor Environment","","",""
"uuid:4178b2f2-bba6-43fe-a24f-db6e4c91f7ba","http://resolver.tudelft.nl/uuid:4178b2f2-bba6-43fe-a24f-db6e4c91f7ba","Scriptoria: A Crowd-powered Music Transcription System","Samiotis, I.P. (TU Delft Web Information Systems); Lofi, C. (TU Delft Web Information Systems); Alaka, Shaad (Student TU Delft); Liem, C.C.S. (TU Delft Multimedia Computing); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","Laforest, F. (editor); Troncy, R. (editor); Médini, L. (editor); Herman, I. (editor)","2022","In this demo we present Scriptoria, an online crowdsourcing system to tackle the complex transcription process of classical orchestral scores. The system’s requirements are based on experts’ feedback from classical orchestra members. The architecture enables an end- to-end transcription process (from PDF to MEI) using a scalable microtask design. Reliability, stability, task and UI design were also evaluated and improved through Focus Group Discussions. Finally, we gathered valuable comments on the transcription process it- self alongside future additions that could greatly enhance current practices in their field.","crowdsourcing; music transcription; focus group discussions; iterative design; digital archives","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:aaf218ad-f7b1-4b43-98f8-46faad59b161","http://resolver.tudelft.nl/uuid:aaf218ad-f7b1-4b43-98f8-46faad59b161","Helping Voice Shoppers Make Purchase Decisions","Penha, G. (TU Delft Web Information Systems); Krikon, Eyal (Amazon.com Inc.); Murdock, Vanessa (Amazon.com Inc.); Avula, Sandeep (Amazon.com Inc.)","","2022","Online shoppers have a lot of information at their disposal when making a purchase decision. They can look at images of the product, read reviews, make comparisons with other products, do research online, read expert reviews, and more. Voice shopping (purchasing items via a Voice assistant such as Amazon Alexa or Google Assistant) is different. Voice introduces novel challenges as the communication channel is limited in terms of the amount of information people can and are willing to absorb. Because of this, the system should choose the single most effective nugget of information to help the customer, and present the information succinctly. In this paper we report on a within-subject user study (N = 24), in which we employed three template-based methods that use information from customer reviews, product attributes and search relevance signals to generate helpful supporting information. Our results suggest that: (1) supporting information from customer reviews significantly improves participants perception of system effectiveness (helping them make good decisions); (2) supporting information based on search relevance signals improves user perception of system transparency (providing insight into how the system works). We discuss the implications of our findings for providing supporting information for customers shopping by Voice.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:5c53fdeb-5ce6-4175-a0a9-b61fa4a66e41","http://resolver.tudelft.nl/uuid:5c53fdeb-5ce6-4175-a0a9-b61fa4a66e41","Privacy-Friendly De-Authentication with BLUFADE: Blurred Face Detection","Cardaioli, Matteo (GFT, Milan; University of Padua); Conti, M. (TU Delft Cyber Security; University of Padua); Tricomi, Pier Paolo (University of Padua); Tsudik, Gene (University of California)","","2022","Ideally, secure user sessions should start and end with authentication and de-Authentication phases, respectively. While the user must pass the former to start a secure session, the latter's importance is often ignored or underestimated. Dangling or unattended sessions expose users to well-known Lunchtime Attacks. To mitigate this threat, the research community focused on automated de-Authentication systems. Unfortunately, no single approach offers security, privacy, and usability. For instance, although facial recognition-based methods might be a good fit for security and usability, they violate user privacy by constantly recording the user and the surrounding environment.In this work, we propose BLUFADE, a fast, secure, and transparent de-Authentication system that takes advantage of blurred faces to preserve user privacy. We obfuscate a webcam with a physical blur layer and use deep learning algorithms to perform face detection continuously. To assess BLUFADE's practicality, we collected two datasets formed by 30 recruited subjects (users) and thousands of physically blurred celebrity photos. The former was used to train and evaluate the deauthentication system performances, the latter to assess the privacy and to increase variance in training data. We show that our approach outperforms state-of-The-Art methods in detecting blurred faces, achieving up to 95% accuracy. Furthermore, we demonstrate that BLUFADE effectively de-Authenticates users up to 100% accuracy in under 3 seconds, while satisfying security, privacy, and usability requirements.","Blurred Face Detection; De-Authentication; Deep Learning; Lunchtime Attacks; Privacy; Usability","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-31","","","Cyber Security","","",""
"uuid:f13e8971-d498-412e-a723-6151f4a0e96b","http://resolver.tudelft.nl/uuid:f13e8971-d498-412e-a723-6151f4a0e96b","Phase change materials in facades of buildings for solar heating and cooling","Tenpierik, M.J. (TU Delft Building Physics); Turrin, M. (TU Delft Design Informatics); van der Spoel, W.H. (TU Delft Building Physics)","","2022","Phase change materials (PCMs) have already been used in buildings and building services for several decades, mostly integrated into walls or ceilings to passively increase the building’s thermal inertia, or integrated into the HVAC system for (pre-)heating or (pre-)cooling fresh air. More recently, the use of PCMs in facades is being explored for solar heating. This paper presents the results of a several years of research into the use of PCMs in rotatable Trombe walls and sun-shading for passive heating and cooling purposes. Simulations used a custom-made model of a room in Matlab/Simulink, in which all relevant heat transfer paths and mass components are accounted for. Once the behaviour of PCM was modelled, the model was connected with the optimisation platform modeFRONTIER to study the (best) performances under different scenarios. The results show that a significant reduction in the energy demand for heating and cooling can be achieved in different climates. The results also show that the shading and insulating effect of the solar wall have the highest impact on the reduction of the cooling respectively heating demand, followed by the thermal mass effect. The paper ends with the development of a prototype of a Trombe wall which was installed in an office at the Green Village (a living lab in Delft).","Phase change materials; heating and cooling; demand; Trombe wall; solar wall","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Building Physics","","",""
"uuid:adfffd48-75d8-4826-a69e-bcce17d83b67","http://resolver.tudelft.nl/uuid:adfffd48-75d8-4826-a69e-bcce17d83b67","Dynamic short- and large-coherence interferometry to characterize the induced vibrations and topology change of the cryogenic mirror of the Einstein Telescope prototype","Pérez, Jesús Vilaboa (Université de Liège); Loicq, J.J.D. (TU Delft Spaceborne Instrumentation)","","2022","The E-TEST project builds a prototype for the Einstein Telescope (ET). ET is a proposed gravitational-wave observatory. E-TEST includes a silicon mirror of 30 cm up to 40 cm diameter, suspended and cooled down at cryogenic temperatures from 20 K to 30 K. During the cooling down, the mirror will be affected by surface topology changes, wavefront deformation, and induced vibrations. We present a metrology device based on short-coherence interferometry to characterize the mirror surface with a sub-nanometer resolution. We design an innovative phase mask to achieve dynamic or single-frame white light interferometry. Moreover, we discuss different interferogram analysis methods. We also discuss the implementation of a long-coherence source to facilitate the measurements with the low-coherence source.","White Light Interferometry; Dynamical Phase Shifting Interferometry; Single-Frame low-coherence Interferometry; Phase Mask; Measurement of vibrations with interferometry","en","conference paper","SPIE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Spaceborne Instrumentation","","",""
"uuid:fc4e2697-811d-495a-887c-172745fe33d2","http://resolver.tudelft.nl/uuid:fc4e2697-811d-495a-887c-172745fe33d2","Guiding Automated Test Case Generation for Transaction-Reverting Statements in Smart Contracts","Olsthoorn, Mitchell (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Technology); Panichella, A. (TU Delft Software Engineering)","Ceballos, Cristina (editor)","2022","Transaction-reverting statements are key constructs within Solidity that are extensively used for authority and validity checks. Current state-of-the-art search-based testing and fuzzing approaches do not explicitly handle these statements and therefore can not effectively detect security vulnerabilities. In this paper, we argue that it is critical to directly handle and test these statements to assess that they correctly protect the contracts against invalid requests. To this aim, we propose a new approach that improves the search guidance for these transaction-reverting statements based on interprocedural control dependency analysis, in addition to the traditional coverage criteria. We assess the benefits of our approach by performing an empirical study on 100 smart contracts w.r.t. transaction-reverting statement coverage and vulnerability detection capability. Our results show that the proposed approach can improve the performance of DynaMOSA, the state-of-the-art algorithm for test case generation. On average, we improve transaction-reverting statement coverage by 14 % (up to 35 %), line coverage by 8 % (up to 32 %), and vulnerability-detection capability by 17 % (up to 50 %).","test case generation; smart contracts; search-based software engineering; fuzzing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Software Technology","Software Engineering","","",""
"uuid:93709886-7e5b-4f6d-b45f-81d427288ca1","http://resolver.tudelft.nl/uuid:93709886-7e5b-4f6d-b45f-81d427288ca1","Performance Modelling Of Flap-Type Wave Energy Converter Array: Flaps With Various Dynamic Characteristics","Saeidtehrani, S. (TU Delft Offshore Engineering); Lavidas, G. (TU Delft Offshore Engineering)","","2022","Generally, it is expected that the total power of N energy converters in an array will be less than N times the power of a single operative converter. The goal of this paper is to enhance the oscillation of the flap-type WEC and consequently the energy extraction of the whole array in front of a coastal structure. Flaps in an array show different response patterns in terms of amplitude and frequency based on their dynamic characteristics, incoming wave frequencies, and the distance between the WECs. Through this work, different dynamic characteristics for each flap in combination with various distances between proximate flaps are investigated. An experimentally validated numerical model developed for the simulation of flap-type WEC operating as single and in an array is used for the simulation. The effects of dynamic characteristics and WEC distances on the response and power production are estimated and discussed in detail for a flap operating in an array of two and five. It is shown that by changing the distance between two flaps, the response is enhanced up to 20%. The findings suggest the most efficient ratio of dynamic characteristics of flaps in an array of two is having a period half to the incoming wave period. However, for an array of five, having staggered flaps with a period equal to the incoming wave period make a 4% increase in the overall response of each flap.","Flap-type WEC; Dynamic characteristics; Performance modeling; Distance of WECs in an array","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-10","","","Offshore Engineering","","",""
"uuid:3763ecab-6dae-4c83-ac0e-8f8726b0ab47","http://resolver.tudelft.nl/uuid:3763ecab-6dae-4c83-ac0e-8f8726b0ab47","Disagreement-Aware Variable Impedance Control for Online Learning of Physical Human-Robot Cooperation Tasks","van der Spaa, L.F. (TU Delft Learning & Autonomous Control; Honda Research Institute Europe GmbH); Franzese, G. (TU Delft Learning & Autonomous Control); Kober, J. (TU Delft Learning & Autonomous Control); Gienger, Michael (Honda Research Institute Europe GmbH)","","2022","In order to make the coexistence between humans and robots a reality, we must understand how they may cooperate more effectively. Modern robots, empowered with reliable controls and advanced machine learning reasoning can face this challenge. In this article, we presented a Disagreement- Aware Variable Impedance (DAVI) Controller, where the robot stiffness is regulated as a function of the perceived disagreement with the human cooperator. We tested the algorithm on a 7 DoF Franka Emika Panda robot performing the learning of a pick&place task with continuous adaptation of the goal location and the via-points with human interactive corrections, triggered by our proposed approach. A validation study was conducted with 5 users in order to understand the reliability of the method.","","en","conference paper","","","","","","Workshop at ICRA 2022, May 22-27, 2022","","","","","Learning & Autonomous Control","","",""
"uuid:a5b53b09-9aa7-4f53-a0eb-c59bf07a3b61","http://resolver.tudelft.nl/uuid:a5b53b09-9aa7-4f53-a0eb-c59bf07a3b61","Music identification using brain responses to initial snippets","Pandey, Pankaj (Indian Institute of Technology Gandhinagar); Sharma, Gulshan (Indian Institute of Technology Ropar); Miyapuram, Krishna P. (Indian Institute of Technology Gandhinagar); Subramanian, Ramanathan (University of Canberra); Lomas, J.D. (TU Delft Design Aesthetics)","","2022","Naturalistic music typically contains repetitive musical patterns that are present throughout the song. These patterns form a signature, enabling effortless song recognition. We investigate whether neural responses corresponding to these repetitive patterns also serve as a signature, enabling recognition of later song segments on learning initial segments. We examine EEG encoding of naturalistic musical patterns employing the NMED-T and MUSIN-G datasets. Experiments reveal that (a) training machine learning classifiers on the initial 20s song segment enables accurate prediction of the song from the remaining segments; (b) β and γ band power spectra achieve optimal song classification, and (c) listener-specific EEG responses are observed for the same stimulus, characterizing individual differences in music perception.","music perception; Neural signatures; repetitive musical patterns; song identification","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Aesthetics","","",""
"uuid:86988b3d-ab28-4682-80c3-e92729d3f43c","http://resolver.tudelft.nl/uuid:86988b3d-ab28-4682-80c3-e92729d3f43c","Exploiting Radar Data Domains for Classification with Spatially Distributed Nodes","Guendel, Ronny (TU Delft Microwave Sensing, Signals & Systems); Kruse, N.C. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","Recognition of continuous human activities is investigated in unconstrained movement directions using multiple spatially distributed radar nodes, where activities can occur at unfavourable aspect angles or occluded perspectives when using a single node. Furthermore, such networks are favourable not only for the aforementioned aim, but also for larger controlled surveillance areas that may require more than just one sensor. Specifically, a distributed network can show significant differences in signature between the nodes when targets are located at long distances and different aspect angles. Radar data can be represented in various domains, where a widely known domain for Human Activity Recognition (HAR) is the microDoppler spectrogram. However, other domains might be more suitable for better classification performance or are superior for low-cost hardware with limited computational resources, such as the Range-Time or the Range-Doppler domain. An open question is how to take advantage of the diversity of information extractable from the aforesaid data domains, as well as from different distributed radar nodes that simultaneously observe a surveillance area. For this, data fusion techniques can be used at both the level of data representations for each radar node, and across the different nodes in the network. The introduced methods of decision fusion, where typically one classifier operates on each node, or feature fusion, where the data is concatenated before using one single classifier, will be exploited, investigating their performance for continuous sequence classification, a more naturalistic and realistic way of classifying human movements, also accounting for inherent imbalances in the dataset.","","en","conference paper","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:9b38557e-ca2a-4db0-9268-1a27e2483488","http://resolver.tudelft.nl/uuid:9b38557e-ca2a-4db0-9268-1a27e2483488","Designing appropriate things: An experiential perspective on the effectiveness of artefacts in contributing to behaviour change","van Arkel, T. (TU Delft Design Aesthetics); Tromp, N. (TU Delft Design Aesthetics)","Lockton, Dan (editor); Lenzi, Sara (editor); Hekkert, Paul (editor); Oak, Arlene (editor); Sádaba, Juan (editor); Lloyd, Peter (editor)","2022","Behavioural design is an emergent discipline that aims to harness design’s influence on behaviour in an intentional way. However, there is limited knowledge on how to translate knowledge on behaviour and its determinants to specific design properties in ways that can maintain such change. We adopt a user experiential view to discuss the role that artefacts and their materiality play in effectively changing behaviour by introducing the notion of appropriateness, a quality of user-artefact interaction that describes the fitness of an artefact to the user and context that may play a moderating role in effectiveness of a design intervention in contributing to behaviour change. Based on an in-situ exploratory study with two conceptual artefacts we show that this appropriateness could help to investigate the long-term effectiveness of artefacts.","design for behaviour change; product influence; effectiveness; experience","en","conference paper","Design Research Society","","","","","","","","","","Design Aesthetics","","",""
"uuid:82aa17d6-7326-4fdc-aa85-077c70029a90","http://resolver.tudelft.nl/uuid:82aa17d6-7326-4fdc-aa85-077c70029a90","Environmental Extreme Conditions For A Wave Energy Converter: An Integrated Wave-Structure Approach","Saeidtehrani, S. (TU Delft Offshore Engineering); Lavidas, G. (TU Delft Offshore Engineering); Metrikine, A. (TU Delft Offshore Engineering)","","2022","The process of finding values of extreme waves and their impacts on wave energy converter (WEC) responses, depends on both wave resources and WEC dynamic characteristics. A wide range of waves can influence the reliability of operation and survivability of a WEC. In this paper, a methodology is described to find specific values of wave heights and periods that can be critical for a flap-type WEC, through the use of an integrated wave-structure approach.The goodness of the fit is studied and the corresponding return periods for ranges that a selected WEC is mostly operating are evaluated. Further discussions for engineering applications and making a clear understanding of the extreme and operational conditions of the WEC are also provided. The critical wave characteristics are defined based on power production and the significant change in the nonlinear dynamic behavior of the device in various ranges.The WEC behavior is represented by an experimentally validated numerical tool considering the nonlinear behaviour of a flap as single and in an array. The study aims to provide insights in the expected behavior and loading on the WEC,affecting its reliability, considering potential catastrophic wave return values that correspond to their power production phase.The finding can be used for determining both efficient operations and limiting environmental conditions or weather windows.","Wave energy converter; wave-structure interaction; wave contours; extreme environmental analyses","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-10","","","Offshore Engineering","","",""
"uuid:bbb62655-6bfb-4c5e-b358-2b2f2caac66c","http://resolver.tudelft.nl/uuid:bbb62655-6bfb-4c5e-b358-2b2f2caac66c","Minimizing Cost In A 100% Renewable Electricity Grid: A Case Study Of Wave Energy In California","Coe, Ryan (Sandia National Laboratories, New Mexico); Lavidas, G. (TU Delft Offshore Engineering); Bacelli, Giorgio (Sandia National Laboratories, New Mexico); Kobos, Peter H. (Sandia National Laboratories, New Mexico); Neary, Vincent S. (Sandia National Laboratories, New Mexico)","","2022","Wave energy converters have yet to reach broad market viability. Traditionally, levelized cost of energy has been considered the ultimate stage gate through which wave energy developers must pass in order to find success (i.e., the levelized cost of wave energy must be less than that of solar and wind). However, real world energy decisions are not based solely on levelized cost of energy. In this study, we consider the energy mix in California in the year 2045, upon which the state plans to achieve zero carbon energy production. By considering temporal electricity production and consumption, we are able to perform a more informed analysis of the decision process to address this challenge. The results show that, due to high level of ocean wave energy in the winter months, wave energy provides a valuable complement to solar and wind, which have higher production in the summer.Thus, based on this complementary temporal aspect, wave energy appears cost-effective, even when the cost of installation and maintenance is twice that of solar and wind.","","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-10","","","Offshore Engineering","","",""
"uuid:d6232b9e-725a-49c6-88bf-2c832c2173c4","http://resolver.tudelft.nl/uuid:d6232b9e-725a-49c6-88bf-2c832c2173c4","Integrated Aeroelastic Measurements of the Periodic Gust Response of a Highly Flexible WIng","Mertens, C. (TU Delft Aerodynamics); Costa Fernandez, J.L. (Student TU Delft); Sodja, J. (TU Delft Aerospace Structures & Computational Mechanics); Sciacchitano, A. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics)","","2022","The aeroelastic response of a highly flexible wing to periodic gust excitation is determined experimentally. The integrated optical measurement approach that is applied provides combined measurements of the structural response of the wing and the unsteady flow field around it. The aeroelastic loads acting on the wing are derived from these measurements using physical models and validated against force balance measurements. It is observed that both structural and aerodynamic responses to a periodic gust excitation of a given amplitude depend strongly on the frequency of the gust. The obtained data set of results provides a complete description of the aeroelastic response that is suited as a reference for the development of aeroelastic simulation models.","Pazy wing; wind tunnel testing; gust generator; Lagrangian particle tracking; unsteady aerodynamics; large deflections","en","conference paper","","","","","","","","","","","Aerodynamics","","",""
"uuid:ca76450d-76c6-4415-b062-1d2024ffb632","http://resolver.tudelft.nl/uuid:ca76450d-76c6-4415-b062-1d2024ffb632","CFD Simulations of the Pazy Wing in Support of the Third Aeroelastic Prediction Workshop","Fehrs, Michael (German Aerospace Center (DLR), Institute of Aeroelasticity); Ritter, Markus (German Aerospace Center (DLR), Institute of Aeroelasticity); Helm, Sebastian (German Aerospace Center (DLR), Institute of Aeroelasticity); Mertens, C. (TU Delft Aerodynamics)","","2022","The Pazy Wing test case is a benchmark for the investigation of aeroelastic effects at very large deflections. Tip deformations in the order of 50% span were measured in wind tunnel tests, which renders this model highly attractive for the validation of numerical aeroelastic methods and tools for geometrically nonlinear, large deflection analyses. Due to the low flow velocity (up to 60 m/s) and the simple geometry of the wing, simulation programs based on subsonic, linear potential aerodynamic solvers (such as VLM and UVLM) are an ideal basis for static coupling and flutter simulations. However, more comprehensive analyses with focus on aerodynamic nonlinearities such as stall and limit cycle oscillations (which have been observed in several wind tunnel tests) are attractive research topics but call for advanced aerodynamic methods. The present work is thus focused on high fidelity aerodynamic simulations of the Pazy Wing using RANS with transition modeling in order to capture nonlinear effects originating from the particular shape of the wing and the low Reynolds number. It is a collaborative activity of DLR, NASA, and TU Delft and supports the Large Deflection Working Group (LDWG), which is one of the sub-groups of the 3rd Aeroelastic Prediction Workshop (AePW3).","CFD; Free Transition; Gamma Transition Model; SST Turbulence Model; Low Reynolds number aerodynamics; Pazy Wing; Aeroelastic Prediction Workshop","en","conference paper","","","","","","","","","","","Aerodynamics","","",""
"uuid:333d7fee-43e0-4a89-a3e5-15a1a8762423","http://resolver.tudelft.nl/uuid:333d7fee-43e0-4a89-a3e5-15a1a8762423","Evaluation of Marker Tracking Using Mono and Stereo Vision in Microsoft HoloLens for Surgical Navigation","Thabit, Abdullah (Erasmus MC); Niessen, W.J. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Medical Imaging; Erasmus MC); Wolvius, Eppo B. (Erasmus MC); van Walsum, T. (Erasmus MC)","Linte, Cristian A. (editor); Siewerdsen, Jeffrey H. (editor)","2022","Optical-based navigation systems are widely used in surgical interventions. However, despite their great utility and accuracy, they are expensive and require time and effort to setup for surgeries. Moreover, traditional navigation systems use 2D screens to display instrument positions causing the surgeons to look away from the operative field. Head mounted displays such as the Microsoft HoloLens may provide an attractive alternative for surgical navigation that also permits augmented reality visualization. The HoloLens is equipped with multiple sensors for tracking and scene understanding. Mono and stereo-vision in the HoloLens have been both reported to be used for marker tracking, but no extensive evaluation on accuracy has been done to compare the two approaches. The objective of our work is to investigate the tracking performance of various camera setups in the HoloLens, and to study the effect of the marker size, marker distance from camera, and camera resolution on marker locating accuracy. We also investigate the speed and stability of marker pose for each camera setup. The tracking approaches are evaluated using ArUco markers. Our results show that mono-vision is more accurate in marker locating than stereo-vision when high resolution is used. However, this comes at the expense of higher frame processing time. Alternatively, we propose a combined low-resolution mono-stereo tracking setup that outperforms each tracking approach individually and is comparable to high resolution mono tracking, with a mean translational error of 1.8 ± 0.6mm for 10cm marker size at 50cm distance. We further discuss our findings and their implications for navigation in surgical interventions.","ArUco; marker tracking; Microsoft HoloLens; Surgical navigation","en","conference paper","SPIE","","","","","","","","","","ImPhys/Computational Imaging","","",""
"uuid:10147730-f228-4f39-b2d0-0b547f11c9f5","http://resolver.tudelft.nl/uuid:10147730-f228-4f39-b2d0-0b547f11c9f5","Buying new or refurbished?: The influence of the product's durability and attractiveness, contamination risk and consumers' environmental concern on purchase intentions of refurbished and new products","Wallner, T.S. (TU Delft Marketing and Consumer Research); Magnier, L.B.M. (TU Delft Marketing and Consumer Research); Mugge, R. (TU Delft Marketing and Consumer Research; TU Delft Design, Organisation and Strategy)","Fitzpatrick, C. (editor)","2022","This research investigates how a durable and attractive product appearance, the perceived contamination risk, and consumers' environmental concern influence the purchase intentions of refurbished products. Consumers often have lower purchase intentions of refurbished products because they associate them with lower durability and fear that they are contaminated with a former user's traces. The environmental friendliness and lower price incentivise consumers to purchase
refurbished products. Limitations of prior research are that it has focused strongly on qualitative research, and a comparison to the factors influencing the adoption of new products is lacking. This research contributes by investigating which factors significantly influence the purchase intentions of refurbished products. It additionally aims to uncover how these factors differ from those influencing purchase intentions of new products. In an online survey, we exposed 351 participants to a blender or headphones and informed them that product was either new or refurbished. Two versions for each product were created to vary in terms durability and attractiveness. Participants rated multi-item constructs on products' durability, attractiveness, contamination risk, their environmental concern and indicated their purchase intentions. The results indicate that product-related factors, such as durability and attractiveness, are important across the different phases of a circular life. Other factors, such as the contamination risk and the consumers' environmental concern, however, play an essential role in the decision to purchase refurbished products specifically. Underlining the cleanness of refurbished products and that they are a sustainable choice could be worthwhile strategies for increasing the desirability of refurbished products.","Circular Economy; Cities; Collaboration; Engagement; Scaling Innovation","en","conference paper","University of Limerick","","","","","","","","","Design, Organisation and Strategy","Marketing and Consumer Research","","",""
"uuid:1c6cea78-cbb0-4f08-b165-c9f47ed728d3","http://resolver.tudelft.nl/uuid:1c6cea78-cbb0-4f08-b165-c9f47ed728d3","""No Fun, but Very Effective"": Consumers' Evaluation of Design Strategies for Product Care","Ackermann, L. (TU Delft Marketing and Consumer Research; University of Salzburg); Mugge, R. (TU Delft Marketing and Consumer Research; TU Delft Design, Organisation and Strategy); Schoormans, J.P.L. (TU Delft Marketing and Consumer Research)","Fitzpatrick, C. (editor)","2022","Product care describes consumers' activities to prolong the lifetime of a product, such as repair, maintenance or careful handling. By keeping the product in a functional state, replacement can be postponed. Previous research has identified eight design strategies that can foster product care among consumers. To use these design strategies to their full potential, a deeper understanding of their
effectiveness is needed. The current study aimed to evaluate the design strategies with consumers. We conducted interviews with 15 consumers and discussed the effectiveness of our strategies for product care in the consumers’ daily life. Results indicate that the effectiveness of our strategies varies over products and product categories. A combination of strategies seems to be the most promising approach for fostering product care among consumers. Our research contributes to the scientific knowledge by
providing deeper insights into the conditions under which design strategies for product care are most effective.","product longevity; maintenance; repair; behavioural change; consumer behaviour","en","conference paper","University of Limerick","","","","","","","","","Design, Organisation and Strategy","Marketing and Consumer Research","","",""
"uuid:6d0cf2a2-3501-44e3-b9e1-25088368aa49","http://resolver.tudelft.nl/uuid:6d0cf2a2-3501-44e3-b9e1-25088368aa49","CPT-based unit weight estimation extended to soft organic clays and peat - an update","Lengkeek, H.J. (TU Delft Hydraulic Structures and Flood Risk; Witteveen+Bos); Brinkgreve, R.B.J. (TU Delft Geo-engineering; Bentley Systems)","Gottardi, Guido (editor); Tonni, Laura (editor)","2022","Various CPT-based correlations exist for the unit weight of natural soils. One such correlation includes organic soils Lengkeek et al. (2018). This correlation is presented as a framework where the coefficients can be optimized and is based on predominantly Class 2 CPT records. This publication uses an expanded database which includes additional pairs of predominantly Class 1 CPT records selected from Holocene deposits in the Netherlands, on mineral clays, organic clays and peats. This results in a more extensive database and an improved CPT-based unit weight correlation for the whole range of soil types, which is proposed to replace the existing correlation. In addition, a specific unit weight correlation for peats is presented.","","en","conference paper","CRC Press","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:5947a73a-3ea6-434e-a62f-82e73f2fd273","http://resolver.tudelft.nl/uuid:5947a73a-3ea6-434e-a62f-82e73f2fd273","CPT-based classification of soft organic clays and peat","Lengkeek, H.J. (TU Delft Hydraulic Structures and Flood Risk; Witteveen+Bos); Brinkgreve, R.B.J. (TU Delft Geo-engineering; Bentley Systems)","Gottardi, Guido (editor); Tonni, Laura (editor)","2022","An updated CPT-based classification system of organic clays and peat is proposed based on an extensive pairwise established database of classification tests and CPT measurements. This new classification system is proposed to supplement the existing dimensionless qt/pa-Rf-chart of Robertson (2010). The Robertson (2010) dimensionless classification system is selected for refinement because it appears to perform better than normalized systems for peats with very low stresses (<20 kPa). A combination with Robertson (2009 and 2016) is possible in cases where a stress normalization cut-off is used.","","en","conference paper","CRC Press","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:c3cce3bc-b276-49e4-80c6-339d08a0c357","http://resolver.tudelft.nl/uuid:c3cce3bc-b276-49e4-80c6-339d08a0c357","An Adaptive Self-modeling Network Model for Multilevel Organizational Learning","Canbaloğlu, Gülay (Koç University); Treur, J. (TU Delft Safety and Security Science; Vrije Universiteit Amsterdam); Roelofsma, P.H.M.P. (TU Delft Safety and Security Science)","Yang, Xin-She (editor); Sherratt, Simon (editor); Dey, Nilanjan (editor); Joshi, Amit (editor)","2022","Multilevel organizational learning concerns an interplay of different types of learning at individual, team, and organizational levels. These processes use complex dynamic and adaptive mechanisms. A second-order adaptive network model for this is introduced here and illustrated.","Multilevel organizational learning; Adaptive network model; Self-model","en","conference paper","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:cc63a126-b6d7-4635-bdff-d9da42b8c1da","http://resolver.tudelft.nl/uuid:cc63a126-b6d7-4635-bdff-d9da42b8c1da","Importance of Model Fidelity of Power to X Devices in Energy System Analysis","Gusain, D. (TU Delft Intelligent Electrical Power Grids); Cvetkovic, M. (TU Delft Intelligent Electrical Power Grids); Yağci, Bekir Caner (Student TU Delft); Palensky, P. (TU Delft Intelligent Electrical Power Grids)","","2022","Power-to-X (PtX) technologies are accelerating the energy transition. Increasingly, these technologies are also being leveraged as flexible energy resources to support the electrical grid. PtX models are often represented using a constant efficiency term as a linear relation between the power input and energy output. However, the operational performance of any PtX device such as an electrolyser or an electric heat pump can depend on factors such as operational temperature. In this paper, we have developed and analyzed two levels of model fidelity of the most widely assessed PtX technologies: electrolyser and heat pump systems. We assess the impact of detailed models on operation of PtX within simulation-based energy system analysis. Our results show that for electrolyser systems, the efficiency errors can be almost 0.6%. With heat pump systems, the difference in COP can be as high as 1.4.","electrolyser; heat pump; model fidelity; power to x; temperature dynamics","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-12","","","Intelligent Electrical Power Grids","","",""
"uuid:4005be15-69fc-4604-9dc3-01d8408dab95","http://resolver.tudelft.nl/uuid:4005be15-69fc-4604-9dc3-01d8408dab95","Opportunities For 3D-printable Spare Parts: Estimations From Historical Data","Samenjo, K.T. (TU Delft Design for Sustainability); van Oudheusden, A.A. (TU Delft Circular Product Design); Bolanos Arriola, J. (TU Delft Circular Product Design); Flipsen, Bas (TU Delft Circular Product Design); Faludi, Jeremy (TU Delft Circular Product Design)","Fitzpatrick, Colin (editor)","2022","The Sharepair project aims to decrease the waste of electronic and electric consumer products and increase their useful life, by supporting repair communities and scaling up citizen repairs through digital tools. One of the focus areas of this project is to support the discovery or manufacturing of spare parts. With a 3D CAD model of a part and a 3D printer, repair communities could manufacture spare parts. This paper discusses the possibilities of identifying repairs, within repair communities, that can be met through 3D printed spare parts. To understand and identify these possibilities, the repair entries expressed in the Open Repair Database (ORD) from the Open Repair Alliance were examined. The analysis aimed to identify documented examples of repairs that have broken or missing parts, and estimate how many may be suitable for replacement by 3D printed versions. The ORD includes 41,874 repair data entries from 229 repair communities (Repair Café, Restart Project, Fixit Clinic, and Anstiftung) in eighteen countries. Repair entries include information such as product category, brand, model, repair status and notes regarding the repair process and result, all in different languages.
The analysis identified a list of the most commonly repaired product categories, brands, and models, as well as an estimate that between 7.5% and 29% of products in repair cafes that are not repaired today could be repaired with 3D printed spare parts. The analysis also showed that the data and information about the repairs is inconsistent, open to interpretation and often too limited to precisely pinpoint opportunities for 3D printed spare parts. Specifying the product parts that need repair or replacement and their functional requirements would be key to a successful identification. Thus, the study proposes recommendations to improve the process of capturing repair information that specifies the repair needs that can be met by the use of 3D printing.","repair information; repair communities; 3D printing; spare parts; repair products","en","conference paper","","","","","","","","","","","Design for Sustainability","","",""
"uuid:70ed3ca7-93be-4dc5-939e-804722200d15","http://resolver.tudelft.nl/uuid:70ed3ca7-93be-4dc5-939e-804722200d15","First Impressions of Using Stack Overflow for Education in a Computer Science Bachelor Programme","Hugtenburg, S. (TU Delft Computer Science & Engineering-Teaching Team); Zaidman, A.E. (TU Delft Software Engineering)","","2022","Community Question Answering (CQA) platforms like Stack Over- flow enable gamified and moderated community-driven knowledge creation. We report on our experiences of introducing Stack Over- flow in our Computer Science BSc programme and explore whether these platforms can (1) reduce the workload of lecturers in answer- ing questions in large-scale classrooms, and (2) create a community of learners in the large-scale setting of ∼1600 students.
When developing products for a circular economy, designers and manufacturers want to assess their solutions and choose between alternatives early in the design process. This paper describes the Circularity Calculator, a tool that has been developed to help designers assess the potential resource circularity and value capture of products in the first design stages. The tool provides quantitative indicators that help determine whether and which circular strategies are potentially viable for the company.
This paper discusses the methodology behind the Circularity Calculator, which uses four KPIs that have been developed for assessment; a Circularity indicator, Value Capture indicator, Recycled Content indicator and a Reuse Index. We will explain how the dashboard interface is used to model a linear and circular product system which can be compared on its economic potential. The tool is illustrated with an example concerning the analysis of a household blender.","Circular Product Design; Assessment; Circular Economy; Circularity Indicators; Early Design Stage","en","conference paper","","","","","","","","","","","Circular Product Design","","",""
"uuid:d5e51989-caaf-4929-a0fc-a9fffd29448d","http://resolver.tudelft.nl/uuid:d5e51989-caaf-4929-a0fc-a9fffd29448d","Conceptual Design of a Flying-V Aircraft Family","Oosterom, W.J. (Student TU Delft); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2022","The Flying V is a flying-wing aircraft, which promises a 20% reduction in fuel consumption compared to a conventional twin-aisle commercial transport. The passenger cabin, cargo hold and fuel tanks are all integrated into a highly-swept, cranked wing. This study presents the conceptual design of a three-member family of Flying-V aircraft with maximal commonality between the family members. A design process is proposed to automate the synthesis process of the aircraft family comprising all relevant disciplinary analysis methods. A vortex-lattice method is employed to study the aerodynamic characteristics of the aircraft, enhanced with a viscous drag prediction method to estimate the lift-to-drag ratio. Weight of the aircraft is estimated using a combination of empirical and analytical methods. A constrained optimization algorithm is employed that minimizes fuel consumption, ensuring commonality in terms of design-variable values between family members. Comparing the resulting two largest family members to their conventional twin-aisle counterparts shows a 20% and 22% reduction fuel burn, respectively. The smaller two family members feature 100% commonality with the largest family member allowing for further reduction in fuel consumption if this constraint is relaxed. Driving parameters in Flying-V family design are the center-of-gravity excursion during flight, the wing span and the fuel tank volume.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:8dcb8e69-ecd9-414a-a8fa-2088f24c0362","http://resolver.tudelft.nl/uuid:8dcb8e69-ecd9-414a-a8fa-2088f24c0362","Third Annual Workshop on A/B Testing and Platform-Enabled Learning Research","Ritter, Steven (Carnegie Learning, Inc.); Heffernan, Neil (Worcester Polytechnic Institute); Williams, Joseph Jay (University of Toronto); Lomas, J.D. (TU Delft Design Aesthetics); Motz, Ben (Indiana University - Purdue University); Basu Mallick, Debshila (Rice University); Bicknell, Klinton (Duolingo); McNamara, Danielle (Arizona State University); Kizilcec, Rene F. (Cornell University)","","2022","Learning engineering adds tools and processes to learning platforms to support improvement research. One kind of tool is A/B testing, which is common in large software companies and also represented academically at conferences like the Annual Conference on Digital Experimentation (CODE). A number of A/B testing systems focused on educational applications have arisen recently, including UpGrade and E-TRIALS. A/B testing can be part of the puzzle of how to improve educational platforms, and yet challenging issues in education go beyond the generic paradigm. For example, the importance of teachers and instructors to learning means that students are not only connecting with software as individuals, but also as part of a shared classroom experience. Further, learning in topics like mathematics can be highly dependent on prior learning, and thus A or B may not be better overall, but only in interaction with prior knowledge. In response, a set of learning platforms is opening their systems to improvement research by instructors and/or third-party researchers, with specific supports necessary for education-specific research designs. This workshop will explore how A/B testing in educational contexts is different, how learning platforms are opening up new possibilities, and how these empirical approaches can be used to drive powerful gains in student learning. It will also discuss forthcoming opportunities for funding to conduct platform-enabled learning research.","A/B testing; educational software","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Aesthetics","","",""
"uuid:982fbd7c-8331-4be2-a543-57e2f210136a","http://resolver.tudelft.nl/uuid:982fbd7c-8331-4be2-a543-57e2f210136a","Free Wake Panel Method Simulations of a Highly Flexible Wing at Flutter","Pinto Ribeiro, A. (TU Delft Wind Energy); Casalino, D. (TU Delft Wind Energy); Ferreira, Carlos (TU Delft Wind Energy)","","2022","This paper shows fluid structure interaction simulations of a highly flexible wing at various flow conditions, including flutter regime. This is achieved with two-way time domain coupling of a geometrically exact beam structural model and a 3D free wake panel method, modelling the outer surface of the wing, which allow for non-linear effects of the geometry deformation and the flow to be taken into account. Static and aeroelastic wing deflections are compared to experimental data of the Pazy wing with good accuracy. Two regions of flutter onset are predicted within the experimental range. An analysis of the flutter modes is performed. This serves as a step towards mid-fidelity simulations for more complex configurations, including fuselage effects and tail interactions.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Wind Energy","","",""
"uuid:27c5042f-1564-40ba-a87c-63c971eea949","http://resolver.tudelft.nl/uuid:27c5042f-1564-40ba-a87c-63c971eea949","Object Detection and Person Tracking in CathLab with Automatically Calibrated Cameras","Jiang, Y. (Student TU Delft); Dai, R. (Student TU Delft); Zeng, J. (Student TU Delft); Butler, R.M. (TU Delft Medical Instruments & Bio-Inspired Technology); Vijfvinkel, T.S. (TU Delft Medical Instruments & Bio-Inspired Technology); Wang, Y. (TU Delft Signal Processing Systems); van den Dobbelsteen, J.J. (TU Delft Medical Instruments & Bio-Inspired Technology); van der Elst, M. (TU Delft Support Biomechanical Engineering); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","Workflow analysis is a young research field that has been gaining traction in recent years. Work in this field aims to improve the efficiency and safety in operating rooms by analysing surgical processes and providing feedback or support, where observations are made and evaluated by algorithms rather than human experts. For our study, we mount five cameras from different angles in a Catheterization Laboratory (CathLab) to observe and analyse Cardiac Angiogram procedures. To automate the classification of workflow and personnel activities, we propose a pipeline that first automates the camera calibration of the 5-camera network then detect locations of medical equipment and track personnel activities...","","en","conference paper","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:dae7dcff-dbd3-48d2-9e6d-00961817e3ff","http://resolver.tudelft.nl/uuid:dae7dcff-dbd3-48d2-9e6d-00961817e3ff","Basic Block Coverage for Unit Test Generation at the SBST 2022 Tool Competition","Derakhshanfar, P. (TU Delft Software Engineering); Devroey, Xavier (University of Namur)","","2022","Basic Block Coverage (BBC) is a secondary objective for search-based unit test generation techniques relying on the approach level and branch distance to drive the search process. Unlike the approach level and branch distance, which considers only information related to the coverage of explicit branches coming from conditional and loop statements, BBC also takes into account implicit branchings (e.g., a runtime exception thrown in a branchless method) denoted by the coverage level of relevant basic blocks in a control flow graph to drive the search process. Our implementation of BBC for unit test generation relies on the DynaMOSA algorithm and EvoSuite. This paper summarizes the results achieved by EvoSuite's DynaMOSA implementation with BBC as a secondary objective at the SBST 2022 unit testing tool competition.","basic block coverage; search-based unit test generation; EvoSuite","en","conference paper","IEEE","","","","","","","","","","Software Engineering","","",""
"uuid:a2a80638-e724-410f-8bae-a824f9fbc783","http://resolver.tudelft.nl/uuid:a2a80638-e724-410f-8bae-a824f9fbc783","Distributed Gaussian Process for Multi-agent Systems","Zhai, P. (Student TU Delft); Rajan, R.T. (TU Delft Signal Processing Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","Distributed multi-agent systems (MAS) offer higher robustness and scalability compared to single-agent systems employing centralized solutions. The challenge of learning unknown environmental phenomenons can be regarded as learning a hidden function, which can be modeled through non-parametric methods e.g., Gaussian Processes (GP). Our main challenge is to develop a distributed non-parametric model e.g., GP for environment monitoring. In this work, we specifically focus on developing fully-distributed algorithm for GP hyperparameter optimization. An example of hyperparameter set is θ = {sf , l1, l2} for a squared exponential kernel, where signal variance sf indicates the range of function, and the characteristic lengths l1, l2 indicate the smoothness. We also develop an asynchronous version to deal with heterogeneous processing time of agents. Assuming that local datasets at agents are independent with each other, we approximate hyperparameter optimization by maximizing the sum of local Likelihoods. By further defining a unique θ across the network, the problem can be regarded as a distributed consensus problem. Alternating direction method of multipliers (ADMM) with proximal θ update have been applied by Xie et al. [1], which still requires a center computing unit for auxiliary variable update. We propose a fully-distributed algorithm with centralized update replaced by local consensus. In each iteration, an agent collects auxiliary variables from neighbor agents, and use their average in new iteration. Asynchronous behavior is introduced by allowing fast agents to start new iterations without collecting update from slowest agents. Our proposed algorithm allows agents in the network to perform faster iterations and thus saving time. We perform simulations with artificially generated 2D GP field under pre-defined hyperparameter setting. Noisy measurements are randomly allocated to agents for distributed hyperparameter optimization. Simulation results show that the optimal hyperparameters at agents converge to the expected values...","","en","conference paper","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:b6b2d1c9-91cf-4e1e-8402-13f8cebedb26","http://resolver.tudelft.nl/uuid:b6b2d1c9-91cf-4e1e-8402-13f8cebedb26","On the Integration of Acoustics and LiDAR: a Multi-Modal Approach to Acoustic Reflector Estimation","Riemens, E.H.J. (TU Delft Signal Processing Systems); Martinez-Nuevo, Pablo (Bang & Olufsen A/S); Martinez, Jorge (TU Delft Electrical Engineering Education); Møller, Martin Bo (Bang & Olufsen A/S); Hendriks, R.C. (TU Delft Signal Processing Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","Loudspeakers are usually placed in an environment unknown to the loudspeaker designers. Having knowledge on the room acoustic properties, e.g., the location of acoustic reflectors, allows to better reproduce the sound field as intended. Current state-of-the-art methods for room boundary detection using microphone measurements typically focus on a two-dimensional setting, causing a model mismatch when employed in real-life scenarios. Detection of arbitrary reflectors in three dimensions encounters practical limitations, e.g., the need for a spherical array and the increased computational complexity. Moreover, loudspeakers may not have an omnidirectional directivity pattern, as usually assumed in the literature, making the detection of acoustic reflectors in some directions more challenging.","","en","conference paper","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:68f5d27c-0fe6-4c4e-9b5a-671df9ff3e47","http://resolver.tudelft.nl/uuid:68f5d27c-0fe6-4c4e-9b5a-671df9ff3e47","Relative Kinematics Estimation Using Accelerometer Measurements","Mishra, A. (TU Delft Signal Processing Systems); Rajan, R.T. (TU Delft Signal Processing Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","For a network of mobile nodes, the problem of estimation of relative kinematics, given pairwise distances between the nodes, has received limited attention in literature. In this context, relative kinematics includes relative position, relative velocity and other higher order kinematic parameters defined with respect to a common frame of reference within the network. For numerous application domains in engineering, the nodes are highly dynamic, making the estimation task much harder. To solve the estimation problem uniquely, conventional methods either require the positions of some nodes of the mobile network to be known [2] or impose rigid body constraints on the mobile network [3]. These conditions limit the scope of proposed methods. Given a network of mobile nodes and time-varying pairwise distance measurements, we introduce a time-varying Grammian-based data model under the assumption that the mobile nodes have polynomial trajectories. Using the results in [4] and [5], estimators are proposed to estimate the relative kinematic parameters. Furthermore, we consider a scenario where the nodes have on-board accelerometers and the mobile nodes are holonomic. Under such assumtpions, the proposed data model is extended to include these accelerometer measurements, leading to improvements in relative kinematics estimation. We conduct simulations to showcase the performance of the proposed estimators, which show improvement against state-of-the-art methods.","","en","conference paper","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:f01282ab-5107-4836-ae39-b8ab97e80c03","http://resolver.tudelft.nl/uuid:f01282ab-5107-4836-ae39-b8ab97e80c03","Tensor-based Hemodynamic Response Estimation in Functional Ultrasound Data","Kotti, Sofia Eirini (TU Delft Signal Processing Systems); Hunyadi, Borbala (TU Delft Signal Processing Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","Functional ultrasound (fUS) is an emerging technique that provides high sensitivity imaging of cerebral blood volume (CBV) changes. As increased metabolic demand of active tissue induces changes in CBV, these changes reflect neuronal activity in the corresponding brain area. The main advantages of this technique are that it can image the entire brain with unprecedented spatial (50-500um) and temporal resolution (10- 100ms), and that it constitutes a potentially portable solution, as opposed to functional magnetic resonance imaging (fMRI), the currently dominant modality in functional brain imaging. The high resolution as well as the plane-wave illumination lead to a large amount of raw ultrasound data per aquisition. The fundamental challenge is that fUS only provides an indirect measure of brain activity through the neurovascular coupling; this system is the link between the local neuronal activity and the resulting blood flow changes and has only partially known dynamic and nonlinear characteristics. Moreover, besides the activity of interest, fUS records a mixture of other ongoing brain activity, physiological artifacts and noise. The goal of this research is to develop tensor-based source separation techniques in order to estimate the brain’s hemodynamic response function (HRF) to stimuli and the activity of interest by learning its nonlinear coupling with the fUS signal.","","en","conference paper","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:0ad4907a-08dd-47f9-88b6-9da11b458ca3","http://resolver.tudelft.nl/uuid:0ad4907a-08dd-47f9-88b6-9da11b458ca3","Relative Affine Localization for Robust Distributed Formation Control","Li, Z. (Student TU Delft); Rajan, R.T. (TU Delft Signal Processing Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","Multiagent systems have been widely researched and deployed in the industry for their potential to collectively achieve goals by distributing tasks to individual agents [1]–[4]. Formation control, one of the many applications of multiagent systems, aims at steering agents into a stable geometric pattern in space [3], [4]. There has been a variety of crafted distributed controllers in literature based on different dynamics that agents follow, and different variables that agents sense and control [5]. Affine formation control is brought to the spotlight where N agents in RD converge to the target formation up to an affine transformation [6]. A more general scenario of affine formation control is the dynamic formation maneuvering problem where the target configuration is time-varying and the agents need to not only converge to the desired formation but also track the maneuvering pattern. This problem is addressed in [7] where a series of controller designs are introduced depending on the dynamics of the agents...","tensors; tensor-train; Kalman filter; SVM; seizure; epilepsy; detection","en","conference paper","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:c84ba60c-e0b8-4a3f-b715-ffea8b76f7e5","http://resolver.tudelft.nl/uuid:c84ba60c-e0b8-4a3f-b715-ffea8b76f7e5","Epileptic Seizure Detection using a Tensor-Network Kalman Filter for LS-SVMs","de Rooij, S.J.S. (TU Delft Signal Processing Systems); Hunyadi, Borbala (TU Delft Signal Processing Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","Epilepsy is one of the most common neurological conditions, affecting nearly 1% of the global population. It is defined by the seemingly random occurrence of spontaneous seizures. Anti-epileptic drugs provide adequate treatment for about 70% of patients. The remaining 30%, on the other hand, continue to have seizures, which has a significant impact on their quality of life as they are constantly unsure when these seizures will occur. Reliable seizure detection methods would thus have a significant impact on the lives of these patients. Despite ongoing research efforts involving academia and industry in large international collaborations, epileptic seizure detection and especially prediction is still an unsolved problem. The key to the solution could lie within ultralong-term, reallife datasets that are currently being generated using wearable sensors. However, due to the size of these datasets, conventional learning techniques such as least-square support vector machines (LS-SVMs) can become intractable. Therefore, this work proposes the use of a recently developed tensor network Kalman filtering approach for LS-SVMs (TNKFLSSVM) to detect epileptic seizures [1]. In the TNKF-LSSVM algorithm, the dual problem of the LS-SVM is solved using a recursive Bayesian filtering approach. This way the least-square problem can be solved row-by-row using a Kalman filter, thereby avoiding explicit matrix inversions, while also being able to provide confidence bounds on the estimates. By making use of the tensor-train format [2] to represent the matrices and vectors in the Kalman equations, it is even possible to avoid the construction of the (N + 1) × (N + 1) covariance matrix1. To be able to apply the TNKF-LSSVM algorithm for seizure detection there are still some issues that need to be tackled. One such problem is that the TNKF-LSSVM only performs well when the dataset is properly balanced, which is generally not the case for seizure datasets. Furthermore, for the TNKF-LSSVM to work efficiently for large scale problems the modes of the tensortrains representing the matrices and vectors should be as small as possible, thus it must hold that N + 1 = Q i ni, such that ni is ‘small’ for all i. To overcome both of these challenges we propose using the SMOTE method to oversample the seizure class, such that a balanced training set can be generated that has good factorization properties. Some preliminary results using a small subset of data from a public EEG dataset [3] show that taking the above considerations into account, the TNKF-LSSVM method can have performance that is competitive with a regular LS-SVM. Where the TNKFLSSVM method has the benefit of scaling log-linearly with the size of the dataset (in terms of memory usage) and can provide an uncertainty estimate of the detection. Future work will need 1N is the number of data points in the training set and 1 is added for the bias. to show whether this scaling up works as expected for the entire dataset.","tensors; tensor-train; Kalman filter; SVM; seizure; epilepsy; detection","en","conference paper","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:e5d1029d-9151-4be5-93e0-68557186d90a","http://resolver.tudelft.nl/uuid:e5d1029d-9151-4be5-93e0-68557186d90a","Embedded AI Enabled Air-Writing for a Post-COVID World: Extended Abstract","Goedemondt, K.S. (Student TU Delft); Yang, J. (TU Delft Web Information Systems); Wang, Q. (TU Delft Embedded Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","Touchscreens and buttons had became a medium for virus transmission during the COVID-19 pandemic. We have seen in our daily life that people use tissues and keys to press buttons inside elevators, on public screens, etc. In the post- COVID world, touch-free interaction with public touchscreens and buttons may become more popular. Motivated by the rise of visible light communication and sensing, we design a real-time embedded system to enable touch-free fingertip writing of the digits 0–9 with only ambient light and simple photodiodes. We propose an embedded deep learning model to learn the spatial and temporal patterns in the dynamic shadow for air-writing digits recognition. The model is devised with a lightweight convolutional architecture such that it can run on a resource-limited device. We evaluate our model using the LightDigit dataset [1] and report the results in terms of accuracy and inference time.","tensors; tensor-train; Kalman filter; SVM; seizure; epilepsy; detection","en","conference paper","","","","","","","","","","","Web Information Systems","","",""
"uuid:00547734-93e1-4eca-af9d-3d126fa5bb22","http://resolver.tudelft.nl/uuid:00547734-93e1-4eca-af9d-3d126fa5bb22","Aircraft Trajectory Prediction using ADS-B Data","YANG, X. (Student TU Delft); Sun, Junzi (TU Delft Control & Simulation); Rajan, R.T. (TU Delft Signal Processing Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","Automatic Dependent Surveillance - Broadcast (ADS-B) is a surveillance technology that is used extensively in Air Traffic Control (ATC) applications. Aircraft equipped with ADS-B transponders actively broadcast navigation information such as position, altitude, and velocity, and thus ATC is able to track aircraft continuously, even in regions not covered by traditional radars. However, raw ADS-B messages are typically contaminated with noise, which is typically mitigated using model-based tracking methods to predict the trajectories. In this work, we propose and evaluate the performance of several filtering strategies for trajectory prediction on an existing open source TrajAir aircraft data set and our own data set i.e., collected by Delft university of technology (TUD). In our evaluation, we observe the standard Kalman filter cannot accurately track the aircraft trajectory, especially for sharply maneuvering targets. A fading-memory filter tracks maneuvering targets but introduces delay in estimates, and requires a trade-off between responsiveness and smoothness by target-specific parameter tuning. The Kalman filter with augmented process noise also involves similar trade-off and parameter tuning. Finally, the particle filter performs the best during target maneuvers but admits more noise during steady-state and increases computational cost. In this paper, we present various filtering techniques, and study the performance of these algorithms on the TrajAir and TUD aircraft data sets.","Filtering; ADS-B; Trajectory prediction; sensor fusion","en","conference paper","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:7f006d2e-ae05-4a44-899c-fbafe2afaf78","http://resolver.tudelft.nl/uuid:7f006d2e-ae05-4a44-899c-fbafe2afaf78","Comparative Performance of Inverted-Based Generation using Synchonverter during Transient Stability Conditions","Gonzalez-Longatt, Francisco (University of South-Eastern Norway); Rueda, José L. (TU Delft Electrical Sustainable Energy; TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy; TU Delft Intelligent Electrical Power Grids); Chamorro, Harold R. (KTH Royal Institute of Technology); Abdellah, Kouzou (Université de Djelfa)","","2022","Inverter-based generation (IBG) is critical in achieving a dependable and resilient electrical system while meeting the net-zero emission goal. The enormous integration of IBG tends to produce various issues, including reduced rotational inertia and reduced short circuit levels. Several scientific publications agree that the voltage source converters (VSCs) empowered by the so-called grid forming (GFM) control may provide a lasting answer for reaching the future net-zero IBG-dominated power systems. This paper presents a comparative analysis of the dynamic performance between IBR using synchronverter and a traditional synchronous generator (SG), where the specific concern is the transient stability conditions. DIgSILENT PowerFactory has been used for time-domain simulations using a test system, and numerical simulations considering an N-l event prove the significant benefit of GFN converter controls in providing active power during a voltage sag induced by a short circuit condition, allowing the system to endure longer short circuit durations.","contingency; grid-following; gridforming; power electronic converter; short circuit; transient stability","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-02","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:ac49c4b1-e2e8-4d27-b7a5-c59248ae97ea","http://resolver.tudelft.nl/uuid:ac49c4b1-e2e8-4d27-b7a5-c59248ae97ea","Generating Electricity Price Forecasting Scenarios to Analyze Whether Price Uncertainty Impacts Tariff Performance","Goedegebure, Niels (Student TU Delft); Hennig, R.J. (TU Delft Energie and Industrie)","","2022","A higher share of renewables and electric vehicles increase the risk of congestion in electricity distribution systems. New distribution tariff designs have been proposed to prevent congestion. However, most modeling of tariff performance assumes deterministic price information. This paper proposes a method to assess the impact of price uncertainty for network tariffs, using price forecasting scenarios in a simulation model. Electricity price forecasting scenarios are generated by analyzing autoregressive forecasting errors and recursively generating time-series. The scenarios are used as price forecasting inputs in a model case study of tariff performance in a Dutch context. Results show a reduction in congestion frequency and charging costs using forecasts in this model setup, likely by enabling longer time horizons. Highest peaks however are larger when using forecasts for the fixed and capacity-based tariffs. Overall, this method provides insight into performance of new tariffs in electricity grids, incorporating the impact of price uncertainty.","Network tariffs; distribution networks; demand response; e, electricity price forecasting; electric vehicles","en","conference paper","IEEE","","","","","","","","","","Energie and Industrie","","",""
"uuid:504d90d4-cc16-40b7-8507-ab8a1b44f3f6","http://resolver.tudelft.nl/uuid:504d90d4-cc16-40b7-8507-ab8a1b44f3f6","A Multi-Objective Design Approach for PV-Battery Assisted Fast Charging Stations Based on Real Data","Vermeer, W.W.M. (TU Delft DC systems, Energy conversion & Storage); Chandra Mouli, G.R. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","This paper presents a multi-objective approach to designing an optimal PV-BES assisted EV fast charging station. The trade-offs between lifetime net present value (NPV), energy independence, and grid power reduction are analyzed using particle swarm optimization and real 50kW fast charging data. Our results show a maximum lifetime profit of close to 4M euro. Furthermore, for only a 8% decrease in profit the we can achieve up to 62% of the maximum energy independence and 46% peak power demand reduction. This show that EV fast charging stations can become more significantly more sustainable and have a less fluctuating demand, for very little reduction in profits.","component; formatting; style; styling; insert","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-07","","","DC systems, Energy conversion & Storage","","",""
"uuid:c44ebf58-ab68-455e-a59f-db00576fa0ca","http://resolver.tudelft.nl/uuid:c44ebf58-ab68-455e-a59f-db00576fa0ca","Determination of fine-grained soil parameters using an automated system","Marzouk, I. (Graz University of Technology); Tschuchnigg, F. (Graz University of Technology); Paduli, F.J. (Student TU Delft); Lengkeek, H.J. (TU Delft Support Hydraulic Engineering); Brinkgreve, R.B.J. (TU Delft Geo-engineering)","Gottardi, Guido (editor); Tonni, Laura (editor)","2022","Performing numerical analysis successfully depends on several factors. One of the most important factors is determining the constitutive model parameters correctly. It is often the case that these parameters are determined based on limited soil data. Using in-situ tests for determining these parameters has several advantages such as minimal disturbance of the soil and lower cost compared to laboratory tests. However, it is not possible to determine soil parameters directly from in-situ tests results. Thus, empirical correlations are required for interpreting soil parameters. Generally, several correlations exist for the same parameter, which will lead to calculating several values for the same parameter. An ongoing research project focuses on formulating an automated parameter determination (APD) framework that uses a graph-based approach to identify constitutive model parameters based on in-situ tests. This is achieved by using two spreadsheets as an input, one for parameters and the other for equations (correlations used to calculate parameters). Based on these two spreadsheets, the system generates paths between the parameters and calculates the value(s) for each individual parameter. So far, the research project focused on determining the parameters for coarse-grained soil based on cone penetration test (CPT) results. Due to the fact that the system was set up in a modular and adaptable way, it is possible to expand the system to accommodate more soil types and in-situ tests. It is the aim of the research project to increase the reliability of the parameters values (required to perform numerical analysis) determined from in-situ tests. This paper focuses on expanding the current framework to determine parameters for fine-grained soil. By using the two spreadsheets as an input, the system successfully calculates the value(s) for fine-grained parameters. Further validation, dealing with several values for each parameter, determining the accuracy of derived parameters and expanding the system to accommodate other in-situ tests and types of soils are part of ongoing research.","","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","","","","","","Support Hydraulic Engineering","","",""
"uuid:8eb0cbcb-e61e-4750-bbf4-169ceb2cbbab","http://resolver.tudelft.nl/uuid:8eb0cbcb-e61e-4750-bbf4-169ceb2cbbab","Surface Electrocardiogram Reconstruction Using Intra-operative Electrograms","Moghaddasi, Hanie (TU Delft Signal Processing Systems); Hunyadi, Borbala (TU Delft Signal Processing Systems); van der Veen, A.J. (TU Delft Signal Processing Systems); de Groot, N.M.S. (TU Delft Signal Processing Systems; TU Delft Biomechanical Engineering); Hendriks, R.C. (TU Delft Signal Processing Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","Atrial Fibrillation (AF) is the most sustained arrhythmia in the heart. On the surface electrocardiogram (ECG), AF is characterised by the irregular RR intervals and by fibrillatory waves or the absence of a P wave. Since AF is a progressive disease, timely and correct detection is crucial for AF treatment. Detailed insight into the areas of arrhythmia-related electropathology can be obtained by analyzing high-resolution (inter-electrode distance 2mm) electrograms (EGMs). However, these measurements are rather invasive. By integration of high-resolution epicardial mapping data and surface ECG data, we hope to learn how different stages of AF represent themselves on the ECG. Eventually this can help to guide to identify areas of electropathology as target sites of ablation therapy on the less invasive ECG. A first step in this direction is to learn how to reconstruct the ECG based on EGM measurements. In practice, however, EGMs are only measured at few atrial locations, not covering the complete atria. An important question therefore is: How can we reconstruct ECG based on the observations from a limited part of the heart? To answer this question, we propose two methods. In the first method, we increase the number of observations from a part of the right atrium (RA) to the whole RA by synchronizing EGMs that are measured at different moments in time based on the local activation time (LAT). In the second method, under the assumption that atrial EGMs measured at different spatial areas are linearly related, the conductivity matrix is estimated for the whole atrium which enables us to reconstruct the ECGs from the limited observations. The second method brings twofold benefits. First, the conductivity matrix can be used as a novel diagnostic tool to detect AF as well as areas of electropathology. Second, it provides a practical solution to reconstruct epicardial potentials from ECGs, non- This research was funded in part by the Medical Delta Cardiac Arrhythmia Lab (CAL), the Netherlands. invasively. The results show that method one increases the reconstruction accuracy. Furthermore, the conductivity matrix reveals the structural differences between sinus rhythm (SR) and AF episodes which could be the first step to interpret the underlying electropathology of AF","","en","conference paper","","","","","","","","","","Biomechanical Engineering","Signal Processing Systems","","",""
"uuid:6f3d02a3-f836-426b-9fc9-b7914b961811","http://resolver.tudelft.nl/uuid:6f3d02a3-f836-426b-9fc9-b7914b961811","Temporal synchronization of radar and lidar streams","Aledo Ortega, D. (TU Delft Signal Processing Systems); Manjunath, T. (Student TU Delft); Rajan, R.T. (TU Delft Signal Processing Systems); Maksimiuk, Darek (Innatera Nanosystems B.V); van Leuken, T.G.R.M. (TU Delft Signal Processing Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","In multi-sensor systems, several sensors produce data streams, commonly, at different frequencies. If they are let running wild without synchronization, after a period of time, they are likely to be disordered, presenting as simultaneous measures that have been recorded at different times. That can be disastrous in many data fusion applications. This paper is about their temporal synchronization and ordering, so they can be coherently fused. Some sensors do not have timestamps from which order the streams, and even if they have, they may be not trustable for different reasons. First, we define mathematically the problem of multi-sensor data stream synchronization. Then, we handle the problem of estimating the actual time of sensor measurement using mean or median filters. Next, we address the issue of reconstructing incoming sensor data streams according to the estimated sensor measurement times while maintaining minimal latency and synchronization error by employing an adaptive stream buffering technique utilized in distributed multimedia systems. In order to test our methods, we have recorded an easy-to-use dataset with a radar and a lidar sensors without timestamps. We define a synchronization event that is easily identifiable by a human annotator in both sensor streams. From this dataset, a suitable filter for timestamp estimation is selected, and an analysis of the effects of the stream synchronization algorithm’s parameters on buffering latency and synchronization error is presented. Finally, the solution is efficiently implemented on a FPGA","multi-sensor; Synchronization","en","conference paper","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:f52fd38d-aae3-405a-a8e6-224b1b558863","http://resolver.tudelft.nl/uuid:f52fd38d-aae3-405a-a8e6-224b1b558863","Image Search Engine by Deep Neural Networks","Yao, Y. (Student TU Delft); Zhang, Q. (Student TU Delft); HU, Y. (Student TU Delft); Meo, C. (TU Delft Signal Processing Systems); Wang, Y. (TU Delft Signal Processing Systems); Nanetti, Andrea (Nanyang Technological University); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","We typically search for images by keywords, e.g., when looking for images of apples, we would enter the word “apple” as query. However, there are limitations. For example, if users input keywords in a specific language, then they may miss results labeled in other languages. Moreover, users may have an image of the object they want to obtain more information about, e.g., a landmark, but they may not know the name of it. In such scenario, word-based search is not adequate, while imagebased search would be ideally suited. These needs drive us to develop a purely content-based image search engine, meaning that users can search images with an image as query. Motivated by this use case with numerous applications, in this paper we propose and validate an image query based search engine...","","en","conference paper","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:f406f1a4-c78c-47ad-9bf3-07c597ef8856","http://resolver.tudelft.nl/uuid:f406f1a4-c78c-47ad-9bf3-07c597ef8856","Extreme Precipitation Nowcasting using Deep Generative Models","Bi, H. (Student TU Delft); Kyryliuk, M.S. (Student TU Delft); Wang, Z. (Student TU Delft); Meo, C. (TU Delft Signal Processing Systems); Wang, Y. (TU Delft Signal Processing Systems); Imhoff, Ruben (Deltares); Uijlenhoet, R. (TU Delft Water Resources); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","Extreme precipitation usually leads to substantial impacts. Floods in the Netherlands, Belgium and Germany in the summer of 2021 have caused loss of lives, destruction of infrastructures, and long-term effect on economics. To avoid such disasters, it is important to develop a reliable and accurate method to predict heavy rain.","","en","conference paper","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:beef38bc-496a-4fae-8260-95c903033f16","http://resolver.tudelft.nl/uuid:beef38bc-496a-4fae-8260-95c903033f16","Distributed Detect-and-Avoid with Non-Stationary Obstacles","Riemens, E.H.J. (TU Delft Signal Processing Systems); Rajan, R.T. (TU Delft Signal Processing Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","Detect-and-avoid is a crucial challenge in the autonomous navigation of single or multiple agent systems. For safe and reliable autonomous navigation in unknown and dynamic environments, obstacles should be sensed using onboard sensors and the trajectory should be adjusted accordingly. Additional challenge is introduced in the case of multi-agent systems, where the adjusted trajectory could introduce collisions between agents, for example in satellite swarms in Low Earth Orbits (LEO). The increasing amount of occupancy of the low orbit and the presence of space debris gives high risk of damaging satellites due to collisions. With communication between nearby satellites, cooperative methods enable the avoidance of collisions with dynamic obstacles while simultaneously finding an optimal trajectory of the cooperative agents. Drone swarms equipped in industrial settings encounter the challenge of navigating through a dynamic environments in a similar way. The dynamic obstacles are now other autonomous systems as well as humans, performing tasks simultaneously.","","en","conference paper","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:cadebe4b-a657-4a6a-9ed3-1ad47f032341","http://resolver.tudelft.nl/uuid:cadebe4b-a657-4a6a-9ed3-1ad47f032341","Convergence of Stochastic PDMM","Jordan, S.O. (TU Delft Electrical Engineering, Mathematics and Computer Science); Heusdens, R. (TU Delft Signal Processing Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","In this work, we analyse a stochastic version of the primaldual method of multipliers (PDMM), which is a promising algorithm in the field of distributed optimisation. So far, its convergence has been proven for synchronous implementations of the algorithm [1], [2]. Simulations have shown that PDMM also converges if it is implemented asynchronously, having the advantage that there is no need for clock synchronisation between the nodes in a distributed network. Furthermore, a broadcast implementation of asynchronous PDMM can be derived, instead of the usual unicast implementation. This broadcast implementation comes with a number of benefits...","","en","conference paper","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","Signal Processing Systems","","",""
"uuid:fd3c74de-6b4a-46c6-a7c7-da1fff78ffb4","http://resolver.tudelft.nl/uuid:fd3c74de-6b4a-46c6-a7c7-da1fff78ffb4","EyeSyn: Psychology-inspired Eye Movement Synthesis for Gaze-based Activity Recognition","Lan, G. (TU Delft Embedded Systems); Scargill, Tim (Duke University); Gorlatova, Maria (Duke University)","O'Conner, L. (editor)","2022","Recent advances in eye tracking have given birth to a new genre of gaze-based context sensing applications, ranging from cognitive load estimation to emotion recognition. To achieve state-of-the-art recognition accuracy, a large-scale, labeled eye movement dataset is needed to train deep learning-based classifiers. However, due to the heterogeneity in human visual behavior, as well as the labor-intensive and privacy-compromising data collection process, datasets for gaze-based activity recognition are scarce and hard to collect. To alleviate the sparse gaze data problem, we present EyeSyn, a novel suite of psychology-inspired generative models that leverages only publicly available images and videos to synthesize a realistic and arbitrarily large eye movement dataset. Taking gaze-based museum activity recognition as a case study, our evaluation demonstrates that EyeSyn can not only replicate the distinct pat-terns in the actual gaze signals that are captured by an eye tracking device, but also simulate the signal diversity that results from dif-ferent measurement setups and subject heterogeneity. Moreover, in the few-shot learning scenario, EyeSyn can be readily incorpo-rated with either transfer learning or meta-learning to achieve 90% accuracy, without the need for a large-scale dataset for training.","Eye tracking; eye movement synthesis; activity recognition","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Embedded Systems","","",""
"uuid:18ab04c6-7d0f-49ca-9c01-cbc02e9f7f93","http://resolver.tudelft.nl/uuid:18ab04c6-7d0f-49ca-9c01-cbc02e9f7f93","Demo Abstract: Catch My Eye: Gaze-Based Activity Recognition in an Augmented Reality Art Gallery","Scargill, Tim (Duke University); Lan, G. (TU Delft Embedded Systems); Gorlatova, Maria (Duke University)","O'Conner, L. (editor)","2022","The personalization of augmented reality (AR) experiences based on environmental and user context is key to unlocking their full potential. The recent addition of eye tracking to AR headsets provides a convenient method for detecting user context, but complex analysis of raw gaze data is required to detect where a user's attention and thoughts truly lie. In this demo we present Catch My Eye, the first system to incorporate deep neural network (DNN)-based activity recognition from user gaze into a realistic mobile AR app. We develop an edge computing-based architecture to offload context computation from resource-constrained AR devices, and present a working example of content adaptation based on user context, for the scenario of a virtual art gallery. It shows that user activities can be accurately recognized and employed with sufficiently low latency for practical AR applications.","Augmented reality; eye tracking; activity recognition; edge computing; augmented reality art gallery","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Embedded Systems","","",""
"uuid:afd6b79d-76d2-41e6-9c0c-c580f6f17121","http://resolver.tudelft.nl/uuid:afd6b79d-76d2-41e6-9c0c-c580f6f17121","Anomaly Based Network Intrusion Detection for IoT Attacks using Convolution Neural Network","Sharma, Bhawana (Manipal University Jaipur); Sharma, Lokesh (Manipal University Jaipur); Lal, C. (TU Delft Cyber Security)","","2022","IoT is widely used in many fields, and with the expansion of the network and increment of devices, there is the dynamic growth of data in IoT systems, making the system more vulnerable to various attacks. Nowadays, network security is the primary issue in IoT, and there is a need for the system to detect intruders. In this paper, we constructed a deep learning CNN model for NIDS and utilized the NSL-KDD benchmark dataset, consisting of four attack classes, for evaluating the model’s performance. We applied the filter method for feature reduction where highly correlated features are dropped. Our 2D-CNN model achieved an accuracy of 99.4% with reduced loss. We also compared the performance of DNN and CNN models in terms of accuracy and other evaluation metrics.","ntrusion Detection System; ML; DL; DNN; CNN; NIDS; HIDS; SVM","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:4c8ed3e3-4238-4830-a0b0-e012229e8319","http://resolver.tudelft.nl/uuid:4c8ed3e3-4238-4830-a0b0-e012229e8319","Environmental Impact Optimisation of Flight Plans in a Fixed and Free Route network","Vergnes, Florent (ONERA Centre de Toulouse; Ecole Nationale de l’Aviation Civile (ENAC)); Bedouet, Judicaël (ONERA Centre de Toulouse); Olive, Xavier (ONERA Centre de Toulouse); Sun, Junzi (TU Delft Control & Simulation)","Lovell, Dave (editor)","2022","Efforts to minimise the environmental impact of aviation can be implemented at several levels including electric green taxiing systems, novel propulsion systems, jet fuels, improvements in aircraft efficiency and optimisation of climb profiles. In this paper, we address the optimisation of flight plans in a route network considering operational parameters and weather forecast. We implement an A* based approach to explore all possible sequences of nodes, altitudes with given wind, temperature and pressure forecasts in order to minimise total flown distance, total burnt fuel, CO2 and non-CO2 emissions, the latter being responsible for two-thirds of aviation radiative forcing. We evaluated our approach on both a network of standard routes and through free route areas, and observed that our optimised flight plans are consistent with those that have actually been filed to be flown in similar weather conditions during December 2021.","flight plan optimisation; environmental impact; green aviation; graph optimisation","en","conference paper","FAA & Eurocontrol","","","","","","","","","","Control & Simulation","","",""
"uuid:0dd836b3-87d6-4e3d-b706-296d1e1062a1","http://resolver.tudelft.nl/uuid:0dd836b3-87d6-4e3d-b706-296d1e1062a1","Assessing and Modelling Climate Optimal Flights Using Open Surveillance and Remote Sensing Data","Roosenbrand, E.J. (TU Delft Control & Simulation); Sun, Junzi (TU Delft Control & Simulation); Dedoussi, I.C. (TU Delft Aircraft Noise and Climate Effects); Stam, D.M. (TU Delft Astrodynamics & Space Missions); Hoekstra, J.M. (TU Delft Control & Simulation)","Lovell, Dave (editor)","2022","Sustainability is the biggest challenge facing the aerospace industry today. With the global number of flights expected to rise, the climate impact of aviation will continue to increase. Current research states that the rerouting of aircraft through wind-optimisation for the purpose of fuel usage minimisation and emission reduction is an effective sustainability contribution. However, these routing models only optimize for minimum fuel burn, not necessarily minimum climate impact. Flying efficiently through wind fields could mean flying through regions with higher climate impact, for example, where warming contrails are formed. This potentially forfeits the advantage of the reduced emissions from the wind-optimized route. By bringing together fields such as satellite remote sensing, atmospheric science and aircraft surveillance data, a climate optimized free routing model can be made. This paper creates a climate optimized free routing airspace model by incorporating knowledge from the aforementioned fields and existing wind-optimization models with AI and open-source tools.","Sustainability; Remote Sensing; Atmospheric Science; OpenSky; Aircraft Surveillance Data; AI; Contrails","en","conference paper","FAA & Eurocontrol","","","","","","","","","","Control & Simulation","","",""
"uuid:2f202bb4-d14d-4e18-a539-bceac797b7f0","http://resolver.tudelft.nl/uuid:2f202bb4-d14d-4e18-a539-bceac797b7f0","Comparison of Future Aviation Fuels to Minimize the Climate Impact of Commercial Aircraft","Proesmans, P. (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2022","Sustainable aviation fuel (SAF) and liquid hydrogen are currently being studied to replace kerosene in commercial aviation to reduce global warming. In this study, the question is how do the airplane design variables change when minimizing the global warming impact of aircraft powered by SAF or LH2? Secondly, how do these aircraft compare in terms of climate impact and operating costs, considering regional, medium-, and long-range categories? A multidisciplinary design optimization process varies airframe, turbofan engine and mission design variables to obtain the cost- and climate-optimal design solutions. A linearized temperature response model evaluates the average temperature response over 100 years considering both CO2 and non-CO2 effects. The trade-off between climate impact reduction on the one hand and operating cost, on the other hand, is studied for each fuel type and aircraft category. We conclude that LH2 can achieve the largest reduction in temperature response in all categories. The maximum reduction of 98% compared to the cost-optimal kerosene aircraft comes at an estimated increase of 30, 42, or 69% in operating costs for regional, medium-, and long-range missions. The SAF aircraft can reduce the climate impact by 86, 82, and 72% for regional, medium-range, and long-range aircraft. These savings lead to an 8, 14, and 26% increase in operating costs. The analysis shows that the SAF-powered aircraft are preferred over the cost-optimal hydrogen aircraft for the regional and medium-range categories. Hydrogen does provide a Pareto-optimal solution for long-range aircraft, albeit at a significant in-flight energy and cost penalty.","Aircraft Design; MDO; Climate Impact; Hydrogen; Sustainable Aviation Fuel","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:f4e1f94d-0ec8-4f4a-82be-07b1cd2385e2","http://resolver.tudelft.nl/uuid:f4e1f94d-0ec8-4f4a-82be-07b1cd2385e2","Barrier Function-based Safe Reinforcement Learning for Formation Control of Mobile Robots","Zhang, Xinglong (National University of Defense Technology); Peng, Yaoqian (National University of Defense Technology); Pan, W. (TU Delft Robot Dynamics); Xu, Xin (National University of Defense Technology); Xie, Haibin (National University of Defense Technology)","Pappas, George J. (editor); Kumar, Vijay (editor)","2022","Distributed model predictive control (DMPC) concerns how to online control multiple robotic systems with constraints effectively. However, the nonlinearity, nonconvexity, and strong interconnections of dynamic system models and constraints can make the real-time and real-world DMPC implementations nontrivial. Reinforcement learning (RL) algorithms are promising for control policy design. However, how to ensure safety in terms of state constraints in RL remains a significant issue. This paper proposes a barrier function-based safe reinforcement learning algorithm for DMPC of nonlinear multi-robot systems under state constraints. The proposed approach is composed of several local learning-based MPC regulators. Each regulator, associated with a local system, learns and deploys the local control policy using a safe reinforcement learning algorithm in a distributed manner, i.e., with state information only among the neighbor agents. As a prominent feature of the proposed algorithm, we present a novel barrier-based policy structure to ensure safety, which has a clear mechanistic interpretation. Both simulated and real-world experiments on the formation control of mobile robots with collision avoidance show the effectiveness of the proposed safe reinforcement learning algorithm for DMPC.","Regulators; Heuristic algorithms; Reinforcement learning; Prediction algorithms; Formation control; Safety; Mobile robots","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Robot Dynamics","","",""
"uuid:e55e2071-442d-4145-8174-66bd5adbb594","http://resolver.tudelft.nl/uuid:e55e2071-442d-4145-8174-66bd5adbb594","Planning Natural Locomotion for Articulated Soft Quadrupeds","Pollayil, Mathew Jose (University of Pisa); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Mesesan, George (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Englsberger, Johannes (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Seidel, Daniel (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Garabini, Manolo (University of Pisa); Ott, Christian (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Bicchi, Antonio (University of Pisa); Albu-Schaffer, Alin (Technische Universität München; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","Pappas, George J. (editor); Kumar, Vijay (editor)","2022","Embedding elastic elements into legged robots through mechanical design enables highly efficient oscillating patterns that resemble natural gaits. However, current trajectory planning techniques miss the opportunity of taking advantage of these natural motions. This work proposes a locomotion planning method that aims to unify traditional trajectory generation with modal oscillations. Our method utilizes task-space linearized modes for generating center of mass trajectories on the sagittal plane. We then use nonlinear optimization to find the gait timings that match these trajectories within the Divergent Component of Motion planning framework. This way, we can robustly translate the modes-aware centroidal motions into joint coordinates. We validate our approach with promising results and insights through experiments on a compliant quadrupedal robot.","Legged locomotion; Trajectory planning; Robot kinematics; Trajectory; Planning; Timing; Quadrupedal robots","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-12","","","Learning & Autonomous Control","","",""
"uuid:fe5d5252-ecc0-4e63-875d-549a4a997192","http://resolver.tudelft.nl/uuid:fe5d5252-ecc0-4e63-875d-549a4a997192","An MBSE-Based Requirement Verification Framework to support the MDAO process","Bruggeman, A.M.R.M. (TU Delft Flight Performance and Propulsion); van Manen, H.S. (GKN Aerospace); van der Laan, Ton (GKN Aerospace); van den Berg, Tobie (GKN Aerospace); la Rocca, G. (TU Delft Flight Performance and Propulsion)","","2022","According to a study performed by the Project Management Institute, around 47% of unsuccessful projects do not meet their goals and objectives due to poor requirements management. Taking requirements into account during the aircraft design process and ensuring requirement compliance during all design phases is important to obtain good and feasible aircraft designs. However, a typical aircraft design process is very complex and many requirements need to be taken into account. This paper proposes a new framework that implements requirements in the design process by establishing a direct link between Model-Based Systems Engineering and Multidisciplinary Design Analysis and Optimization (MDAO). Model-based requirements are directly implemented in the optimization problem and based on the requirement verification methods the MDAO workflows are formulated. When requirements or verification methods change, the workflow is automatically updated accordingly. This way, requirement compliance can either be automatically enforced or checked based on the optimization or analysis results. Automatically generated requirement reports provide information on the requirement compliance results. The framework has been implemented in a software prototype, which was applied to the design of a wing box, showing the functionalities of the framework. With the framework, the traceability from requirements to product design is improved, as all stakeholders can see how the design process was formulated and how requirement compliance has been achieved. Furthermore, optimized designs can be obtained that satisfy all the stakeholders' needs.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:b02554fa-b59b-47d9-8c41-432b4b2a6d42","http://resolver.tudelft.nl/uuid:b02554fa-b59b-47d9-8c41-432b4b2a6d42","Verification and Validation of Immersed Boundary Solvers for Hypersonic Flows with Gas-Surface Interactions","Başkaya, A.O. (TU Delft Aerodynamics); Capriati, Michele Capriati (von Karman Institute for Fluid Dynamics); Ninni, Davide (University of Bari); Bonelli, Francesco (Politecnico di Bari); Pascazio, Giuseppe (Politecnico di Bari); Turchi, Alessandro (von Karman Institute for Fluid Dynamics); Magin, Thierry (von Karman Institute for Fluid Dynamics); Hickel, S. (TU Delft Aerodynamics)","","2022","During atmospheric entry, the flow environment around capsules or space debris is characterized by complex fluid thermochemistry and gas-surface interactions (GSI). Computational fluid dynamics (CFD) simulations of these conditions are crucial in the design process of such objects. A promising approach for the simulation of complex geometries is the use of immersed boundary methods (IBM) and adaptive mesh refinement techniques (AMR). These methods offer reliable and efficient mesh generation and adaptation with minimal user intervention. To that end, this paper presents the recent developments of two IBM-AMR solvers coupled with the same external thermochemistry library for the accurate modelling of such complex flows including GSI. Several verification and validation cases are presented, which demonstrate the performance of the solvers. Results are analyzed in comparison with a body-conforming solver that uses the same thermochemistry library to achieve a consistent assessment of the underlying numerical methods. A good agreement between all the solvers is indicated with certain discrepancies arising due to the differences in surface treatments.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:f2a0f20f-6bda-4157-b3da-e1f490f6f1fc","http://resolver.tudelft.nl/uuid:f2a0f20f-6bda-4157-b3da-e1f490f6f1fc","MORAL: Aligning AI with Human Norms through Multi-Objective Reinforced Active Learning","Peschl, M. (Student TU Delft); Zgonnikov, A. (TU Delft Human-Robot Interaction); Oliehoek, F.A. (TU Delft Interactive Intelligence); Cavalcante Siebert, L. (TU Delft Interactive Intelligence)","Pelachaud, Catherine (editor); Taylor, Matthew E. (editor)","2022","Inferring reward functions from demonstrations and pairwise preferences are auspicious approaches for aligning Reinforcement Learning (RL) agents with human intentions. However, state-of-the art methods typically focus on learning a single reward model, thus rendering it difficult to trade off different reward functions from multiple experts. We propose Multi-Objective Reinforced Active Learning (MORAL), a novel method for combining diverse demonstrations of social norms into a Pareto-optimal policy. Through maintaining a distribution over scalarization weights, our approach is able to interactively tune a deep RL agent towards a variety of preferences, while eliminating the need for computing multiple policies. We empirically demonstrate the effectiveness of MORAL in two scenarios, which model a delivery and an emergency task that require an agent to act in the presence of normative conflicts. Overall, we consider our research a step towards multi-objective RL with learned rewards, bridging the gap between current reward learning and machine ethics literature.","Active Learning; Inverse Reinforcement Learning; Multi-Objective Decision-Making; Value Alignment","en","conference paper","International Foundation for Autonomous Agents and Multiagent Systems","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-09","","","Human-Robot Interaction","","",""
"uuid:c39028c2-0bae-4a3a-adf9-e1f976e3ab4f","http://resolver.tudelft.nl/uuid:c39028c2-0bae-4a3a-adf9-e1f976e3ab4f","Modeling Human Behavior in Human-Robot Interactions","Zgonnikov, A. (TU Delft Human-Robot Interaction); Thill, Serge (Radboud Universiteit Nijmegen); Beckerle, Philipp (FAU University of Erlangen-Nuremberg, Erlangen); Jonker, C.M. (TU Delft Interactive Intelligence)","Sakamoto, Daisuke (editor); Weiss, Astrid (editor)","2022","This interdisciplinary workshop aims to break boundaries between the researchers who develop human models (e.g., from the fields of human factors, cognitive psychology, and computational neuroscience) and roboticists who use human models in different human-robot interaction (HRI) contexts. The keynote talks, contributed submissions, and interactive discussions will focus on the questions such as: How can modeling humans help us understand and design human-robot interactions? What kinds of models are useful for which HRI contexts (physical/cognitive interactions) and purposes (behavior prediction/personalization/theory-of-mind/etc.)? What common lessons can be learned from human behavior modeling in HRI across different application domains? How can modeling humans in HRI tasks help us to better understand human cognition/behavior? By stimulating an interdisciplinary conversation around these questions, we aim to raise awareness of the benefits of modeling and expose the wider HRI community to a variety of different modeling approaches, and facilitate the HRI researchers who already engage in modeling to exchange views on methodology of modeling and best practices from diverse fields.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-07","","","Human-Robot Interaction","","",""
"uuid:624e946c-7a14-468e-8b48-f6d2cd7f16c4","http://resolver.tudelft.nl/uuid:624e946c-7a14-468e-8b48-f6d2cd7f16c4","Rethinking consumers' data sharing decisions with the emergence of multi-party computation: An experimental design for evaluation","Agahari, W. (TU Delft Information and Communication Technology); de Reuver, Mark (TU Delft Information and Communication Technology)","","2022","Consumers are increasingly reluctant to share their personal data with businesses due to mounting concerns over privacy and control. Emerging privacy-enhancing technologies like multi-party computation (MPC), which allows generating insights while consumers retain data control, are challenging the current understanding of why consumers share their data. In this research-in-progress paper, we develop and evaluate an instrument and experimental design to investigate the impact of MPC on consumers’ willingness to share data and its antecedents. Preliminary analysis from a pre-study (N=300) indicates a good fit for our model. Also, MPC enhances consumers’ control and trust while reducing privacy concerns and risk, ultimately increasing data sharing willingness. The findings suggest that privacy-enhancing technologies significantly affect both the willingness to share data itself and its typical antecedents. The next step will conduct a large-scale online experiment using the developed instruments to evaluate further the impact of MPC on consumers’ willingness to share data.","Multi-party Computation; willingness-to-share; Experimental research; information privacy; Privacy-enhancing technologies","en","conference paper","Association of the Information Systems (AIS)","","","","","","","","","","Information and Communication Technology","","",""
"uuid:a70e80dd-0555-4117-8fb3-bb0186f8dd8a","http://resolver.tudelft.nl/uuid:a70e80dd-0555-4117-8fb3-bb0186f8dd8a","Intelligent Data Fusion for Anomaly Detection in Dutch Railway Catenary Condition Monitoring","Wang, H. (TU Delft Railway Engineering); Hendriks, J.M. (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Zoeteman, Arjen (ProRail); Nunez, Alfredo (TU Delft Railway Engineering)","","2022","Aiming to handle the increasing variety and volume of railway infrastructure monitoring data, this paper explores the use of intelligent data fusion methods for automatic anomaly detection of railway catenaries. Three classical data dimensionality reduction methods, namely the principal component analysis (PCA), the autoencoder neural network, and the t-distributed stochastic neighbor embedding (t-SNE) are adopted for the data fusion of catenary monitoring data. Then, anomaly detection can be achieved using new features that are automatically extracted from the original data, which requires no prior knowledge of the data or catenary conditions. A case study using data measured from the Dutch railway is presented to compare the performance of the three methods. Six types of catenary monitoring data, including pantograph-catenary contact force, pantograph-catenary friction force, contact wire thickness, contact wire height and stagger, are used in the presented case study. It is demonstrated that both PCA and autoencoder can detect anomalies from catenary monitoring data, while t-SNE shows little indication of such ability. Further, the autoencoder outperforms PCA in distinguishing anomalies in the case study, likely owing to its superiority in analysing data with nonlinearity. Overall, autoencoder is a promising technique for automating the anomaly detection of railway catenaries. The detection results can provide indicators for failure prediction and maintenance decision making.","railway catenary; data fusion; anomaly detection; condition monitoring","en","conference paper","","","","","","","","","","","Railway Engineering","","",""
"uuid:135247d5-7674-4658-8757-88cb547f47a2","http://resolver.tudelft.nl/uuid:135247d5-7674-4658-8757-88cb547f47a2","Open-Source Parametric Finite-Element Meshing Tool for Fixed-Wing AWE Kites","Eijkelhof, D. (TU Delft Wind Energy); Fagan, Edward (Ampyx Power); Schmehl, R. (TU Delft Wind Energy)","Schmehl, Roland (editor); Fagiano, Lorenzo (editor); Croce, Alessandro (editor); Thoms, Stefanie (editor)","2022","","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Wind Energy","","",""
"uuid:54965356-6284-4924-8dde-27a1e25df951","http://resolver.tudelft.nl/uuid:54965356-6284-4924-8dde-27a1e25df951","Technical Practices Of Re-Usable Steel-Concrete Composite Structural Systems","Kavoura, Dr. Florentia (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2022","The demountable and reusable steel-concrete composite structures contribute immensely at the sustainable development in construction. The main technical practice which allows for demountability and reusability is the use of demountable shear connectors in their floor systems. These demountable shear connectors can satisfy the need for composite interaction of the steel-concrete composite floor system during its service life and in parallel the need for large nominal hole clearances during execution through oversized holes in the beam flange. These oversized holes facilitate the (dis)assembly process of the floor system. The developed demountable shear connector consists of (i) a bolt and coupler embedded in the floor, (ii) an external injection bolt through the beam flange and (iii) an injection material in the bolt-hole clearance. This injection material is a two-component epoxy resin and its benefits are focused on the increase of the stiffness and strength of the connection and the decrease of its creep deformation when compared to injected connections with conventional epoxy resin. This paper is focused on experimental of the most recent technical innovations for demountable shear connectors studied at the Delft University of Technology along with their benefits on material, connection and structural application levels.","Demountable shear connectors; injected bolted connectors; reusability of composite floors; steel-reinforced resin (SRR); sustainable structural design","en","conference paper","Jordan University of Science and Technology","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-09","","","Steel & Composite Structures","","",""
"uuid:951e4f88-7e97-41d8-a45d-492aeab85159","http://resolver.tudelft.nl/uuid:951e4f88-7e97-41d8-a45d-492aeab85159","Reflexiones en torno a la arquitectura del aislamiento: Vivienda y prisión","Martinez-Millana, Elena (TU Delft Building Knowledge; Universidad Politécnica de Madrid)","Conseglieri, Ana (editor); Candela, Ruth (editor); Martínez Azumendi, Óscar (editor)","2022","La domesticidad ha sido definida como un término que hace referencia a la experiencia de la vida privada, a las dimensiones materiales del hogar, y a una ideología que organiza cuestiones complicadas y a menudo controvertidas sobre la privacidad, el trabajo, la identidad de género, la familia, la formación del sujeto, la clase socioeconómica, la moral civilizadora y la representación cultural. El tema de la domesticidad, centrado en la ocupación del espacio, ha sido ampliamente abordado por diversos campos de estudio como la antropología, la etnografía, la cultura material y la geografía cultural, sin embargo, el de la arquitectura comenzó a estudiarlo más activamente a principios del siglo XXI. Una revisión reciente de estas contribuciones se puede encontrar en el artículo titulado «Situating Domesticities in Architecture: Tracing Emerging Trajectories». De todas ellas, la que fue especialmente reveladora para mi tesis doctoral fue la de Hilde Heynen «Modernity and Domesticity: Tensions and Contradictions». En este artículo, Heynen señala que también existe cierta complicidad entre la modernidad y la domesticidad, mientras que el discurso dominante establecía que esta relación es de oposición. La cercanía etimológica entre los términos domesticidad y domesticar no es una coincidencia y, como señala Heynen junto con otros autores, desvela otro tipo de relación. Mi investigación se basa en esta idea de que la noción de domesticidad es a la vez complementaria y opuesta a la vivienda de la modernidad. En este sentido, la tesis examina en qué medida incluir esta noción en el análisis de la arquitectura vivienda puede desestabilizar sus bases. Demostrando que el estudio de la domesticidad puede ser útil para articular la reconceptualización de la vivienda.","pantopicon; prison; isolation; housing","es","conference paper","AEN Estudios","","","","","","","","","","Building Knowledge","","",""
"uuid:9398ec07-1d1d-414e-9ef5-42fc503bce7a","http://resolver.tudelft.nl/uuid:9398ec07-1d1d-414e-9ef5-42fc503bce7a","A Reference Economic Model for Airborne Wind Energy Systems","Joshi, R. (TU Delft Wind Energy); Trevisi, F. (Politecnico di Milano); Schmehl, R. (TU Delft Wind Energy); Croce, A. (Polimi; Politecnico di Milano); Riboldi, Carlo (Politecnico di Milano)","Schmehl, Roland (editor); Fagiano, Lorenzo (editor); Croce, Alessandro (editor); Thoms, Stefanie (editor)","2022","","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Wind Energy","","",""
"uuid:94faed2c-1fa2-400c-b63e-d2cda7392a72","http://resolver.tudelft.nl/uuid:94faed2c-1fa2-400c-b63e-d2cda7392a72","The Airborne Wind Energy Resource Analysis Tool AWERA","Thimm, L. (Universität Bonn); Schelbergen, M. (TU Delft Wind Energy); Bechtle, Philip (Universität Bonn); Schmehl, R. (TU Delft Wind Energy)","Schmehl, Roland (editor); Fagiano, Lorenzo (editor); Croce, Alessandro (editor); Thoms, Stefanie (editor)","2022","","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Wind Energy","","",""
"uuid:04c0714e-3ca3-4980-b652-fc1294c06944","http://resolver.tudelft.nl/uuid:04c0714e-3ca3-4980-b652-fc1294c06944","Development of an Aeroelastic Simulation Framework for Leading Edge Inflatable Kites","Watchorn, J.P. (Student TU Delft); Viré, A.C. (TU Delft Wind Energy); Schmehl, R. (TU Delft Wind Energy)","Schmehl, Roland (editor); Fagiano, Lorenzo (editor); Croce, Alessandro (editor); Thoms, Stefanie (editor)","2022","","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Wind Energy","","",""
"uuid:7d78ec64-53d9-49a1-90e9-3b4f9c62e4ee","http://resolver.tudelft.nl/uuid:7d78ec64-53d9-49a1-90e9-3b4f9c62e4ee","Low and High Fidelity Aerodynamic Simulations for Airborne Wind Energy Box-Wings","Buendía, Gabriel (Student TU Delft); Eijkelhof, D. (TU Delft Wind Energy); Schmehl, R. (TU Delft Wind Energy)","Schmehl, Roland (editor); Fagiano, Lorenzo (editor); Croce, Alessandro (editor); Thoms, Stefanie (editor)","2022","","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Wind Energy","","",""
"uuid:4fabcca6-588c-4cac-86dd-1af463842b99","http://resolver.tudelft.nl/uuid:4fabcca6-588c-4cac-86dd-1af463842b99","Fostering International Collaboration Within IEA Wind TCP Task 48","Thoms, Stefanie (Airborne Wind Europe); Petrick, Kristian (Airborne Wind Europe); Schmehl, R. (TU Delft Wind Energy)","Schmehl, Roland (editor); Fagiano, Lorenzo (editor); Croce, Alessandro (editor); Thoms, Stefanie (editor)","2022","","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Wind Energy","","",""
"uuid:ca52e1e5-12b4-4136-a2f5-701903dadc4d","http://resolver.tudelft.nl/uuid:ca52e1e5-12b4-4136-a2f5-701903dadc4d","A Semi-Empirical Aerodynamic Model Based on Dynamic Stall for Rigid-Framed Delta Kites during Figure-of-Eight Maneuvers","Castro Fernández, I. (Carlos III University of Madrid); Cavallaro, Rauno (Carlos III University of Madrid); Schmehl, R. (TU Delft Wind Energy); Sánchez-Arriaga, Gonzalo (Carlos III University of Madrid)","Schmehl, Roland (editor); Fagiano, Lorenzo (editor); Croce, Alessandro (editor); Thoms, Stefanie (editor)","2022","","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Wind Energy","","",""
"uuid:4203e7d8-0c0a-42f6-90d9-7af9976ebe73","http://resolver.tudelft.nl/uuid:4203e7d8-0c0a-42f6-90d9-7af9976ebe73","In-Memory Indexed Caching for Distributed Data Processing","Uta, Alexandru (Universiteit Leiden); Ghit, Bogdan (Databricks); Dave, Ankur (UC Berkeley); Rellermeyer, Jan S. (TU Delft Dataintensive Systems); Boncz, Peter (Centrum Wiskunde & Informatica (CWI))","O'Conner, L. (editor)","2022","Powerful abstractions such as dataframes are only as efficient as their underlying runtime system. The de-facto distributed data processing framework, Apache Spark, is poorly suited for the modern cloud-based data-science workloads due to its outdated assumptions: static datasets analyzed using coarse-grained transformations. In this paper, we introduce the Indexed DataFrame, an in-memory cache that supports a dataframe abstraction which incorporates indexing capabilities to support fast lookup and join operations. Moreover, it supports appends with multi-version concurrency control. We implement the Indexed DataFrame as a lightweight, standalone library which can be integrated with minimum effort in existing Spark programs. We analyze the performance of the Indexed DataFrame in cluster and cloud deployments with real-world datasets and benchmarks using both Apache Spark and Databricks Runtime. In our evaluation, we show that the Indexed DataFrame significantly speeds-up query execution when compared to a non-indexed dataframe, incurring modest memory overhead.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-15","","","Dataintensive Systems","","",""
"uuid:4c0ee840-13e4-45cd-bac6-8f4f4e522331","http://resolver.tudelft.nl/uuid:4c0ee840-13e4-45cd-bac6-8f4f4e522331","Experimental investigations of welding induced temperature gradients and distortions in a segment of an OSD","Maarleveld, Arvid (Student TU Delft); Malschaert, D.H. (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2022","Orthotropic bridge decks are susceptible to fatigue cracks which are influenced by the thickness of their components, welding procedure, type of the weld, the position of the considered detail in relation to the local loading condition and by residual stresses due to welding. The above-mentioned parameters determine the detail category for fatigue resistance. This research focuses on an experimental investigation of the temperature distribution and distortions due to the welding of a connection between the deck plate, longitudinal stiffener and crossbeam. Three specimens were welded with dimensions of: 900x400 mm deck plate, 350mm deep trapezoidal longitudinal stiffener and 600mm long crossbeam in a workshop of a bridge fabricator. The crossbeams were manufactured with Haibach cope holes. The thickness of the deck plate and crossbeam was 15 mm, and the thickness of the longitudinal stiffener was 8mm. During the welding, the temperature was measured using a FLIR","distortions; fatigue; OBD; residual stresses; welding","en","conference paper","International Association for Bridge and Structural Engineering (IABSE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-27","","","Steel & Composite Structures","","",""
"uuid:3ec3134b-1dab-484c-9b2d-846bc4be870a","http://resolver.tudelft.nl/uuid:3ec3134b-1dab-484c-9b2d-846bc4be870a","Experimental Investigation of Aerodynamic Interactions of a Wing with Deployed Fowler Flap under Influence of a Propeller Slipstream","Duivenvoorden, R.R. (TU Delft Flight Performance and Propulsion; TU Braunschweig); Suard, Noah (Student TU Delft); Sinnige, T. (TU Delft Flight Performance and Propulsion); Veldhuis, L.L.M. (TU Delft Flow Physics and Technology; TU Delft Flight Performance and Propulsion)","","2022","Experiments were performed using a wall-to-wall unswept and untapered wing with a single slotted flap and a propeller, to obtain a validation dataset and gain insight into primary flow phenomena in propeller-wing-flap interactions. Measurements were taken using pressure taps, a wake rake and oil flow visualization, for several flap deflections (0, 15 and 30 degrees) and thrust settings (unpowered, J = 0.8 / T c = 1.05 and J = 1.0 / T c = 0.45). Similarity of the measured data to similar experiments was poor, which was believed to be due to the low Reynolds number of Re = 6e5 and sensitivity of local measurements due to occurrence of stall cells. Oil flow visualizations showed significant induction of flow separation from nacelle-wing interactions in unpowered conditions, traced to boundary layer growth. For powered cases it was shown that both sides of the deployed flap are immersed in the part of the slipstream that passes the pressure side of the main element. This part of the slipstream deforms significantly before it reaches the flap and thus results in complex spanwise variations for the flap flow. This stresses the need to investigate slipstream development in propeller-wing-flap systems and the effects on flap flow specifically to gain in-depth understanding of the interactions. The results presented in this paper expose the inherent complexity of investigating propeller-wing-flap systems and gaining viable validation data, and might serve to guide for future investigations of propeller-wing-flap systems.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-02","","Flow Physics and Technology","Flight Performance and Propulsion","","",""
"uuid:04872763-171b-435b-9624-55c89f53c3ca","http://resolver.tudelft.nl/uuid:04872763-171b-435b-9624-55c89f53c3ca","The Impact of Prognostic Uncertainty on Condition-Based Maintenance Scheduling: an Integrated Approach","Tseremoglou, I. (TU Delft Air Transport & Operations); Bieber, M.T. (TU Delft Air Transport & Operations); Verhagen, W.J.C. (TU Delft Air Transport & Operations; Royal Melbourne Institute of Technology University); Santos, Bruno F. (TU Delft Air Transport & Operations); Freeman, F.C. (KLM Royal Dutch Airlines); van Kessel, P.J. (KLM Royal Dutch Airlines)","","2022","One of the challenges of Condition-Based Maintenance (CBM) is to combine health monitoring and predictions with efficient scheduling tools. However, the majority of literature is focusing on the assessment of prognostics algorithms performance. In fact, the added value of these algorithms can only be assessed when considering their impact on maintenance decision process. Furthermore, in practice, when considering the scenario of an aircraft fleet with multiple monitored components, it is hard for a human decision-maker to translate and identify the effect of probabilistic results from all prognostics models from all systems on the maintenance schedule. Therefore, to support the implementation of CBM, the prognostics algorithms have to be integrated within a scheduling framework. Our paper proposes this integration in order to evaluate the impact of different level of prognostics accuracy and uncertainty on the aircraft fleet maintenance scheduling level. First, a Support Vector Regression (SVR) model is used to predict the Remaining Useful Life (RUL) distributions of the monitored components. Second, the maintenance scheduling problem is solved within a Reinforcement Learning (RL) approach incorporating a state-of-the-art Partially Observable Monte Carlo algorithm. Implementing a rolling horizon approach, our proposed framework is applied to a fleet of 10 aircraft, each equipped with multiple monitored systems. A case study with multiple different prediction accuracy and uncertainty scenarios is performed to assess the impact of prognostics uncertainty on optimal maintenance scheduling. The performed analysis aims to guide the development and assessment of prognostic models in terms of accuracy and uncertainty in the context of CBM.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Air Transport & Operations","","",""
"uuid:e2f3ca93-135d-4e54-af40-6fb1ed7607d2","http://resolver.tudelft.nl/uuid:e2f3ca93-135d-4e54-af40-6fb1ed7607d2","Closing the Knowledge Gap on Circularity: the CBE Hub Lifelong Education Programmes","Ioannou, O. (TU Delft Building Product Innovation); Klein, T. (TU Delft Building Product Innovation)","","2022","This paper discusses the relevance of academia in addressing complex contemporary issues and more specifically, its potential to help society transition to a circular built environment. Can academia provide society with a safe space for developing imaginaries and socially performing alternative political futures? Can it help reconnect the many knowledge domains that appear now to be dispersed and fragmented? And what is the role of adult learning in achieving this transition and in dealing with complex issues such as sustainability? The typology and goals of adult educational modules developed by the Faculty of Architecture and the Built Environment of TU Delft and in particular the Circular Built Environment (CBE) Hub are presented here as a response to the growing need of creating synergistic alliances between academia and the rest of society. Three different typologies are examined in this chapter for their specific contribution in raising awareness; inspiring professionals and instigating change in attitudes as well as contributing to the training of selected groups of stakeholders respectively. Authors reflect on the benefits of such interaction, its limitations as well as its future potential. Promoting the benefits of transitioning to a circular built environment and reaching the widest audience possible to assist with the transition requires that academia develops new educational formats. Attention should therefore be given not only to the content produced, but also to the modes of delivery; the effectiveness of the message that is ultimately delivered as well as the establishment of a continuous presence where different individuals or groups can return to when challenged by complex issues. Consolidating this relation can close the knowledge gap between the two: on the one hand society directly benefits from academic research, on the other hand, academia becomes more relevant for society.","","en","conference paper","","","","","","","","","","","Building Product Innovation","","",""
"uuid:ed6166e1-d566-4142-ad3c-9a4f18927d86","http://resolver.tudelft.nl/uuid:ed6166e1-d566-4142-ad3c-9a4f18927d86","Behavior of Orthotropic Steel-UHPC Composite Bridge Deck under Cyclic Loading","Shi, Z. (TU Delft Steel & Composite Structures; Tongji University); Su, Qingtian (Tongji University); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2022","In recent years, ultra-high performance concrete (UHPC) has been introduced in the design of orthotropic steel decks (OSD) to reduce the risk of fatigue cracking. To investigate the fatigue behaviour and fatigue damage process of the orthotropic steel-UHPC composite bridge deck, a fullscale specimen was designed and tested under cyclic loading. Test results show that the fatigue resistance of orthotropic steel-UHPC composite bridge deck satisfies the requirements of the designed vehicle load up to 2 million cycles with no cracks occurred in this phase. Rib-to-crossbeam weld and U-rib butt-welded connection are the two most vulnerable details to crack in OSD under cyclic loading. The fatigue resistance of U-rib bolted connection was investigated, and it is concluded that it performs better than that of U-rib butt-welded connection. The short-headed studs fractured under excessive cyclic loading and 5 types of the fatigue failure modes are identified. And the UHPC layer above the crossbeam exhibited limited number of cracks with the maximum crack width less than 0.05mm at the end of the cyclic, much beyond the requirements.","composite deck; anchors; fatigue behaviour; short-headed studs; S-N curves","en","conference paper","International Association for Bridge and Structural Engineering (IABSE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-27","","","Steel & Composite Structures","","",""
"uuid:67d10782-2f46-4f08-8b8c-44f4fe248a0c","http://resolver.tudelft.nl/uuid:67d10782-2f46-4f08-8b8c-44f4fe248a0c","Shear performance of replaced bolt shear connectors in prefabricated composite beams","Yang, Tao (Guangxi University); Xie, Rongxian (Guangxi University); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2022","Bolt shear connectors have the advantage of efficient installation and demolition when used in prefabricated composite beams. When bolt shear connectors are damaged in the service period and replaced by new ones, the shear performance of replaced bolts is to be affected by the existing structural damage. This paper investigates the shear performance of eleven re-assembled push-out specimens of bolt connectors. The experimental results show that the replaced bolts possess a similar shear resistance to the bolts in the original tests. In contrast, the relative slips at the interfaces between the steel beams and the prefabricated concrete (PC) slabs show a bigger scattering. A calculation method of shear resistance for the replaced bolts considering the influence of the existing damage was proposed based on the experiments, and comparisons show that the calculation values agree well with the experimental results.","replaced bolt shear connectors; prefabricated composite beams; re-assembly; shear stiffness","en","conference paper","International Association for Bridge and Structural Engineering (IABSE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-27","","","Steel & Composite Structures","","",""
"uuid:7bde0bdc-3066-4194-9cac-d85c01a6ac9b","http://resolver.tudelft.nl/uuid:7bde0bdc-3066-4194-9cac-d85c01a6ac9b","Jaap Bakema’s Open Society in the Twenty-first Century: A Critical Appraisal of ’t Hool, the Netherlands and Montbau, Spain","Bracken, G. (TU Delft Spatial Planning and Strategy); Sanz Oliver, Juan; Muñoz Sanz, V. (TU Delft Urban Design)","","2022","The concept of the Open Society appeared in the CIAM discourse of the 1950s as an attempt to create condition in the city for society to prosper. These good intentions at the theoretical level did not always translate into success stories in practice, and some of the consequences of such a gap can be still felt today, amplified by multiple crises (social, economic, environmental, etc.). Often, the consequence is decay and demolition. The availability of vast knowledge and the emergence of different urban theories and tools since the 1950s allows for new possibilities to reinterpret the values underpinning the concept of the Open Society, and to bridge the gap between theory and practice. Our hypothesis is that an historically situated appraisal of the Open Society is necessary to bring it up to date and renew and enrich its legacy towards social, economic, and environmental resilience. Thus, we formulate the question: to what extent is the concept of the Open Society still relevant in contemporary urbanism? This study proposes a two-pronged investigation into the Open Society (both empirical and theoretical). It aims to investigate the discursive and projective validity of the concept as follows: First, critically review the theoretical concept and its implementation from the perspective of global and contemporary frameworks of discourse and policy. Second, empirically review two case studies (’t Hool, the Netherlands and Montbau, Spain) that illustrate the phenomena and patterns that have arisen in the friction between place, Open Society ideals, and resistance generated by users. This research uses a mixed-methods approach (i.e. quantitative and qualitative) and includes critical cartographies to critically and sensitively examine the two case studies and draw conclusions to highlight power relations and the existing materials available for building a more resilient future. In this way, we attempt to bridge the theory-practice gap by providing a methodology that provides a broad and deep understanding of the places, their histories, and their potentials and urgencies.","Open Society; Jaap Bakema; architecture/urbanism; ’t Hool (the Netherlands); Montbau (Spain)","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:50147869-13e6-49d4-996a-a3d5bfa07e87","http://resolver.tudelft.nl/uuid:50147869-13e6-49d4-996a-a3d5bfa07e87","Full-Scale Application of Porous Leading-Edge Treatments in a Fan Stage for Mitigating Rotor-Stator Interaction Noise","Teruna, C. (TU Delft Wind Energy); Rego, Leandro (TU Delft Wind Energy); Casalino, D. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy)","","2022","High-fidelity numerical simulations have been performed to investigate the noise reduction capability of porous leading-edge treatments for mitigating rotor-stator interaction noise in a full-scale aircraft model. The aircraft model consists of the NASA High-Lift Common Research Model (HL-CRM) airframe combined with an up-scaled Source Diagnostic Test (CRM) fan stage. The leading edge of the fan-stage stator blades is made of porous serrations, modelled using the properties of a metal foam. It is found that the porous serrations induce flow separation at the suction side of the stator blades, especially in the inner span regions. As a result, the modified fan stage produces smaller thrust, and the broadband noise emission in the low-frequency range is enhanced. Nevertheless, the tonal noise components at the blade-passage frequency and its harmonics are mitigated by up to 6dB. By limiting the usage of porosity near the tip of the stator blade, the adverse aerodynamic effects can be mitigated, improving the overall benefit of the porous serrations. Compared to the baseline case, such treatment leads to a total sound power level reduction 1.5dB and a thrust penalty that is below 1.5%. Hence, this study suggests that the aerodynamic effects of a porous leading-edge treatment should not be neglected in the design phase in order to maximise the noise reduction benefits.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-02","","","Wind Energy","","",""
"uuid:6777c13c-5d17-4831-9d33-71dfabb87293","http://resolver.tudelft.nl/uuid:6777c13c-5d17-4831-9d33-71dfabb87293","On the Aerodynamic-Noise Sources in a Circular Cylinder Coated with Porous Materials","Zamponi, R. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy); van der Zwaag, S. (TU Delft Novel Aerospace Materials)","","2022","Coating a circular cylinder with porous materials constitutes an effective passive strategy for reducing the flow-induced noise linked to the vortex shedding. Despite the number of investigations in the last decade, the noise-mitigation mechanisms associated with this technique remain unclear. The present research aims to clarify the role played by the alterations in the flow field due to porosity in the aerodynamic-sound attenuation of a cylinder coated with metal foam. Far-field acoustic and particle-image-velocimetry (PIV) measurements were performed at the Delft University of Technology for Reynolds numbers ranging in the subcritical regime. The aeroacoustic results show that a significant tonal and broadband suppression could be achieved with the porous treatment of the body. For the coated cylinder, the dominant sources do not appear to be distributed over the surface but rather are situated several diameters downstream of it, with a lower amplitude. The PIV data reveal that the main effect of the coating is to stabilize the cylinder wake, which results in an elongation of the vortex-formation length and a decrease in the turbulence kinetic energy. In particular, the position where the vortex shedding starts corresponds to the region of the dominant noise sources. The conclusions drawn in this study potentially provide an insightful indication for the design of more effective sound-control solutions.","","en","conference paper","","","","","","","","","","","Wind Energy","","",""
"uuid:3c76cebe-c7e1-4cac-b347-e9619d21db2d","http://resolver.tudelft.nl/uuid:3c76cebe-c7e1-4cac-b347-e9619d21db2d","Helping hands: Measuring the impact of a large threat intelligence sharing community","Bouwman, X.B. (TU Delft Organisation & Governance); Le Pochat, Victor (Katholieke Universiteit Leuven); Foremski, Pawel (Farsight Security, Inc.); Van Goethem, Tom (Katholieke Universiteit Leuven); Hernandez Ganan, C. (TU Delft Organisation & Governance; ICCAN); Moura, Giovane C.M. (SIDN Labs); Tajalizadehkhoob, Samaneh (ICCAN); Joosen, Wouter (Katholieke Universiteit Leuven); van Eeten, M.J.G. (TU Delft Organisation & Governance)","","2022","We tracked the largest volunteer security information sharing community known to date: the COVID-19 Cyber Threat Coalition, with over 4,000 members. This enabled us to address long-standing questions on threat information sharing. First, does collaboration at scale lead to better coverage? And second, does making threat data freely available improve the ability of defenders to act? We found that the CTC mostly aggregated existing industry sources of threat information. User-submitted domains often did not make it to the CTC's blocklist as a result of the high threshold posed by its automated quality assurance using VirusTotal. Although this ensured a low false positive rate, it also caused the focus of the blocklist to drift away from domains related to COVID-19 (1.4%-3.6%) to more generic abuse, such as phishing, for which established mitigation mechanisms already exist. However, in the slice of data that was related to COVID-19, we found promising evidence of the added value of a community like the CTC: just 25.1% of these domains were known to existing abuse detection infrastructures at time of listing, as compared to 58.4% of domains on the overall blocklist. From the unique experiment that the CTC represented, we draw three lessons for future threat data sharing initiatives.","","en","conference paper","USENIX Association","","","","","","","","","","Organisation & Governance","","",""
"uuid:2e8b2274-eef4-4c79-b76e-76fbfc21c133","http://resolver.tudelft.nl/uuid:2e8b2274-eef4-4c79-b76e-76fbfc21c133","SALoBa: Maximizing Data Locality and Workload Balance for Fast Sequence Alignment on GPUs","Park, Seongyeon (Yonsei University); Kim, Hajin (Yonsei University); Ahmad, T. (TU Delft Computer Engineering); Ahmed, N. (TU Delft Numerical Analysis); Al-Ars, Z. (TU Delft Computer Engineering); Hofstee, H.P. (TU Delft Computer Engineering; IBM); Kim, Youngsok (Yonsei University); Lee, Jinho (Yonsei University)","O'Conner, L. (editor)","2022","Sequence alignment forms an important backbone in many sequencing applications. A commonly used strategy for sequence alignment is an approximate string matching with a two-dimensional dynamic programming approach. Although some prior work has been conducted on GPU acceleration of a sequence alignment, we identify several shortcomings that limit exploiting the full computational capability of modern GPUs. This paper presents SALoBa, a GPU-accelerated sequence alignment library focused on seed extension. Based on the analysis of previous work with real-world sequencing data, we propose techniques to exploit the data locality and improve work-load balancing. The experimental results reveal that SALoBa significantly improves the seed extension kernel compared to state-of-the-art GPU-based methods.","Genome sequencing; Sequence alignment; Smith-Waterman; GPU acceleration","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Computer Engineering","","",""
"uuid:fe24f256-8c0e-4422-b820-9ee42cc708e3","http://resolver.tudelft.nl/uuid:fe24f256-8c0e-4422-b820-9ee42cc708e3","Self-supervised Monocular Multi-robot Relative Localization with Efficient Deep Neural Networks","Li, S. (TU Delft Control & Simulation); de Wagter, C. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation)","Pappas, George J. (editor); Kumar, Vijay (editor)","2022","Relative localization is an important ability for multiple robots to perform cooperative tasks in GPS-denied environments. This paper presents a novel autonomous positioning framework for monocular relative localization of multiple tiny flying robots. This approach does not require any groundtruth data from external systems or manual labeling. Instead, the proposed framework is able to label real-world images with 3D relative positions between robots based on another onboard relative estimation technology, using ultra-wideband (UWB). After training in this self-supervised manner, the proposed deep neural network (DNN) can predict relative positions of peer robots by purely using a monocular camera. This deep learning-based visual relative localization is scalable, distributed, and autonomous. We also built an open-source and lightweight simulation pipeline by using Blender for 3D rendering, which allows synthetic image generation of other robots, and generalized training of the neural network. The proposed localization framework is tested on two real-world Crazyflie2 quadrotors by running the DNN on the onboard AIdeck (a tiny AI chip and monocular camera). All results demonstrate the effectiveness of the self-supervised multi-robot localization method. Video: https://youtu.be/7arkaIblPps","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Control & Simulation","","",""
"uuid:002394de-e525-4a7f-9cb6-19a792f70059","http://resolver.tudelft.nl/uuid:002394de-e525-4a7f-9cb6-19a792f70059","Benchmarking of the NACA 633-018 Trailing-Edge Noise in a Broad Reynolds Number Range as Part of the IEA Task 39","Vergés i Plaza, G. (Technical University of Denmark; Student TU Delft); Fischer, Andreas (Technical University of Denmark); Lylloff, Oliver (Technical University of Denmark); Bak, Christian (Technical University of Denmark); Olsen, Anders S. (Technical University of Denmark); Luesutthiviboon, S. (TU Delft Control & Simulation; TU Delft Reflection & Lifestyle); Lima Pereira, L.T. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy)","","2022","An experimental aero-acoustic characterisation of the NACA 63 3-018 airfoil is presented in this study, featuring trailing-edge noise emissions with and without serrations. Measurements have been carried out for a chord-based Reynolds number range between 0.18 × 10 6 and 4.8 × 10 6 . Two airfoil models with different chord lengths have been tested in five different wind tunnels. The goal is to compare the measurements in different facilities, quantify the uncertainties, and establish a validation database that can serve as a benchmark for computational studies. The tests have been performed with clean and forced-transition boundary layers for a variety of angles of attack. The effect on the spectral slope and peak levels is evaluated. Scaling laws have been applied to compare different test conditions. The quality and nature of the collapse, as well as the applicability limits of the scaling, are examined. Different serration geometries have been tested at different flap angles. The noise reduction dependence on the aerodynamic loading is discussed. This work is based on an initiative of Task 39 ""Quiet Wind Turbine Technology"" of the Technology Collaboration Programme (TCP) of the International Energy Agency (IEA).","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:efedd9bc-6751-4b38-8633-50b2fdbfa276","http://resolver.tudelft.nl/uuid:efedd9bc-6751-4b38-8633-50b2fdbfa276","Evaluation of advanced acoustic imaging methods for microphone-array measurements in closed-section wind tunnels","Merino Martinez, R. (TU Delft Aircraft Noise and Climate Effects); VanDercreek, Colin (TU Delft Aircraft Noise and Climate Effects); Snellen, M. (TU Delft Control & Operations; TU Delft Aircraft Noise and Climate Effects)","","2022","Aeroacoustic measurements with microphones in closed-section wind tunnels are typically hampered by the pressure fluctuations of the turbulent boundary layer (TBL) on the tunnel's walls, ceiling, or floor, where phased microphone arrays are usually mounted. This paper evaluates the performance of several advanced acoustic imaging methods that aim at overcoming this limitation for localizing and quantifying sound sources in closed-section wind-tunnel measurements. The acoustic data employed was obtained using a phased microphone array installed in two different configurations: flush-mounted on the wind-tunnel wall and recessed within cavities behind an acoustically transparent covering. The acoustic imaging methods considered are conventional frequency domain beamforming (CFDBF, as a baseline), functional (projection) beamforming (FUNBF), orthogonal beamforming (OB), CLEAN-SC, and the deconvolution approach for the mapping of acoustic sources (DAMAS). Two sound sources are analyzed: (1) a single speaker emitting broadband sound as a reference signal, and (2) a flat plate inside of the flow as a distributed aeroacoustic source. In general, it is observed that the array with cavities provides considerably better results as it benefits from a higher signal-to-noise ratio. In addition, removing the main diagonal from the cross-spectral matrix also helps obtaining clearer acoustic source maps and more accurate quantitative sound spectra estimations. Overall, DAMAS and OB are the best performing methods for the case with a single speaker. CFDBF and FUNBF are the most suitable methods for the case with the distributed sound source of the trailing edge of the flat plate, whereas the other techniques fail to properly identify it.","","en","conference paper","","","","","","","","","","Control & Operations","Aircraft Noise and Climate Effects","","",""
"uuid:b3e9d6d1-a146-4783-a4ef-8fe08532f138","http://resolver.tudelft.nl/uuid:b3e9d6d1-a146-4783-a4ef-8fe08532f138","Three dimensional internal and near-wall flow features of a structured porous coated cylinder","Arcondoulis, Elias J. G. (Southern University of Science and Technology); Liu, Yu (Southern University of Science and Technology); Yang, Yannian (Southern University of Science and Technology); Ragni, D. (TU Delft Wind Energy); Rubio Carpio, A. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy)","","2022","The application of a porous coating to a cylinder can significantly reduce the vortex shedding tone when placed in a uniform flow. The mechanism of how this porous media attenuates vortex shedding has been studied more in recent years yet it is still not fully understood. Typical porous materials within a certain range of porosity and airflow resistivity, such as metal foam and porous polyurethane, have been studied extensively; however, the fundamental flow mechanisms responsible for vortex shedding attenuation are very difficult to determine. For example, it is nearly impossible to visualize the internal flow field of porous media with a randomized open-cell internal structure. A Structured Porous Coated Cylinder (SPCC) was designed in recent years to alleviate this internal flow field problem, as the SPCC has clear line of sight along the span and radial direction. SPCC variations have been previously studied and shown to reduce the vortex shedding tone of a bare cylinder in a very similar manner as a randomized porous coated cylinder. In this paper, we present a Tomographic Particle Image Velocimetry study of an SPCC tested in a water tunnel, revealing the previously unseen internal and near-wall flow fields of an SPCC. The flow is visualized in the porous layers, revealing complex interaction between the freestream flow field and the porous structure. Using cross-correlation methods within the flow field, we reveal the entrainment of the flow within the porous layers. Furthermore, implementation of Proper Orthogonal Decomposition shows that vortex shedding occurs within the porous layers.","","en","conference paper","","","","","","","","","","","Wind Energy","","",""
"uuid:6b139d33-1054-48ae-a519-3f81d40f9e16","http://resolver.tudelft.nl/uuid:6b139d33-1054-48ae-a519-3f81d40f9e16","Wavelet-based decomposition of the tonal-broadband components of propeller noise","Meloni, S (University of Roma Tre); de Paola, E. (University of Roma Tre); Grande, E. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy); Stoica, L. G. (University of Roma Tre); Di Marco, A. (University of Roma Tre); Camussi, R. (University of Roma Tre)","","2022","The present study reports a novel wavelet-based method aimed at separating the noise emitted by a single propeller into two contributions, tonal and broadband. An assessment using two different experimental investigations of propellers operating in diverse configurations is presented. The first experiment focuses upon near-field polar microphone array data of a benchmarked low-Reynolds number propeller, in hover and cruise conditions. Measurements were performed in the anechoic tunnel (A-Tunnel) at the low-speed laboratory of TU Delft. The second set of data consists of a test campaign carried out at the Pininfarina Aerodynamic and Aeroacoustic Research Center in Turin (Italy) under the EU funded project ERaCLE. The model comprises a five-bladed propeller installed close to a wing. Pressure signals were acquired using a top-mounted linear microphone array that spans different polar locations. The wavelet-based algorithm able to separate the tonal and broadband contributions through the computation of two-point statistics. The assessment of the decomposition procedure on two very different databases is presented to validate the technique with the aim to extend its range of applications.","","en","conference paper","","","","","","","","","","","Wind Energy","","",""
"uuid:4b9c9072-d479-4327-bfe2-12457545f8e2","http://resolver.tudelft.nl/uuid:4b9c9072-d479-4327-bfe2-12457545f8e2","The Impact of Metrics on the Choice of Prognostic Methodologies","Bieber, M.T. (TU Delft Air Transport & Operations); Verhagen, W.J.C. (TU Delft Air Transport & Operations; Royal Melbourne Institute of Technology University); Santos, Bruno F. (TU Delft Air Transport & Operations)","","2022","Metrics play an important part in the development and application of prognostic methodologies as they provide the capability to characterize and assess the quality of remaining useful life predictions. Although there is a wide range of both, prognostic metrics and prognostic methodologies available, the choice of those often is a demanding and time consuming task. Additionally, they are often treated as two separate problems to solve, while the choice of metrics has an impact on the choice of prognostic methodology and vice versa. In this paper, we therefore present a framework with the capability to automatically choose prognostic settings given specific system data to account for five different prognostic metrics. We then apply this framework to an aircraft data set to characterize the impact of metrics on the choice of prognostic methodologies. The results show that the choice of optimization metric has a big impact on the output of the generic prognostic framework and on the overall prognostic performance.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Air Transport & Operations","","",""
"uuid:0f32a9b4-1d57-4482-939d-6151444e35ec","http://resolver.tudelft.nl/uuid:0f32a9b4-1d57-4482-939d-6151444e35ec","Flow Control and Passive Low Noise Technologies for Landing Gear Noise Reduction","Bennett, Gareth J. (Trinity College Dublin); Lai, Jiang (Trinity College Dublin); O'Brien, Gordon C. (Trinity College Dublin); Ragni, D. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy); Rubio Carpio, A. (TU Delft Wind Energy); Pott-Pollenske, Michael (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2022","This paper examines the use of both flow control and passive low noise technologies to reduce the aerodynamic noise radiated from a modified LAGOON landing gear, as tested in the EU funded H2020 collaborative research project: INVENTOR, InnoVative dEsign of iNstalled airframe componenTs for aircraft nOise Reduction. At approach to landing, landing gear noise is still a significant contributor to environmental noise in the vicinity of airports. Progress is being made with ambitious projects which aim to develop significantly reconfigured aircraft architectures to reduce airframe noise. The current project examines noise abatement measures which could be retrofit to existing landing gear configurations. Flow control in the form of low TRL ""air curtains"" which form a fluidic shield or virtual fairing are examined. Amongst the most interesting passive solutions are a selection of higher TRL porous materials in the form of wire mesh, perforated plates and 3D materials. In order to provide a simplified baseline landing gear mock-up on which to test the low noise technologies, the LAGOON NLG is modified with the addition of a torque-link and brakes and is called the ""LAGOON-SLG"". The porous materials are assessed experimentally in the A-Tunnel aeroacoustic facility in TU Delft, the Netherlands and the air curtains are examined in DLRs AWB aeroacoustic facility in Braunschweig, Germany.","","en","conference paper","","","","","","","","","","","Wind Energy","","",""
"uuid:5ddd951e-8708-4961-b95e-12b0c5427d03","http://resolver.tudelft.nl/uuid:5ddd951e-8708-4961-b95e-12b0c5427d03","Configuration of the Actor and Critic Network of the Deep Reinforcement Learning controller for Multi-Energy Storage System","Páramo-Balsa, Paula (University of Seville); Gonzalez-Longatt, Francisco (University of South-Eastern Norway); Acosta, Martha N. (University of South-Eastern Norway); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Intelligent Electrical Power Grids); Sanchez, Francisco (Loughborough University); Roldan-Fernandez, Juan Manuel (University of Seville); Burgos-Payán, Manuel (University of Seville)","","2022","The computational burden and the time required to train a deep reinforcement learning (DRL) can be appreciable, especially for the particular case of a DRL control used for frequency control of multi-electrical energy storage (MEESS). This paper presents an assessment of four training configurations of the actor and critic network to determine the configuration training that produces the lower computational time, considering the specific case of frequency control of MEESS. The training configuration cases are defined considering two processing units: CPU and GPU and are evaluated considering serial and parallel computing using MATLAB® 2020b Parallel Computing Toolbox. The agent used for this assessment is the Deep Deterministic Policy Gradient (DDPG) agent. The environment represents the dynamic model to provide enhanced frequency response to the power system by controlling the state of charge of energy storage systems. Simulation results demonstrated that the best configuration to reduce the computational time is training both actor and critic network on CPU using parallel computing.","actor-network; critic network; deep reinforcement learning; energy storage systems; enhanced frequency response; parallel computing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-11","","","Intelligent Electrical Power Grids","","",""
"uuid:10b9aea2-c669-4598-bf49-5ea62a6c0ad6","http://resolver.tudelft.nl/uuid:10b9aea2-c669-4598-bf49-5ea62a6c0ad6","Reducing the Overburden-Related Artifacts in Target-Oriented Least-Squares Migration by Marchenko Double-Focusing","Shoja, Aydin (TU Delft Applied Geophysics and Petrophysics); van der Neut, J.R. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","","2022","Least-squares reverse time migration (LSRTM) is a common imaging technique that geophysicists have been using to obtain high-resolution images. Nevertheless, the high computational cost shifted the focus of researchers to the target-oriented approach. In this approach, by limiting the computational grid to a relatively smaller region, the computational cost of the LSRTM is significantly reduced. However, without an accurate model of the overburden, which can model all orders of overburden-generated multiples, the image produced by this approach suffers from overburden-related artifacts. Recently, Marchenko double-focusing presented itself as a powerful data-driven tool that can focus the recorded wavefield above the target region and eliminate the effects of the overburden-related multiple reflections. This paper proposes a forward modeling and inversion algorithm based on Marchenko double-focusing for target-oriented LSRTM to produce artifact-free high-resolution images.","","en","conference paper","EAGE","","","","","","","2022-12-10","","","Applied Geophysics and Petrophysics","","",""
"uuid:bbef9351-994f-452a-b387-30bb7efc6ff7","http://resolver.tudelft.nl/uuid:bbef9351-994f-452a-b387-30bb7efc6ff7","Manta and Cactaceae: Rehabilitative smartphone accessories for people with chronic mild stroke impairments","Lemke, M. (TU Delft Design Aesthetics; Victoria University of Wellington); Rodríguez Ramírez, Edgar (Victoria University of Wellington); Robinson, Brian (Victoria University of Wellington)","Lockton, D. (editor); Lenzi, S. (editor); Hekkert, P. (editor); Oak, A. (editor); Sádaba, A. (editor); Lloyd, P. (editor)","2022","Stroke causes damage to the brain, often resulting in weakness or paralysis on one side of the body. Everyday objects such as smartphones can play an im-portant role after a stroke facilitating participation in daily activities. However, commercial smartphones can be challenging to use, and people with stroke of-ten adjust their behavior to minimize the affected arm and hand use. This study explores how an object attached to a smartphone could evoke behavior change and contribute to the initiation of use of the affected arm. As part of a design workshop, different ideas were envisioned to promote the use initiation of the affected side of the body. Two high-fidelity smartphone accessories were devel-oped and tested with four people with chronic, mild stroke impairments based on the results. The initiation of use observed during the formative usability test seems to be evoked by the learned behavior patterns rather than the design prototypes.","stroke; behavior change; product design; rehabilitation","en","conference paper","Design Research Society","","","","","","","","","","Design Aesthetics","","",""
"uuid:f6c0a18b-1fd3-4a05-906e-aa68c18a757b","http://resolver.tudelft.nl/uuid:f6c0a18b-1fd3-4a05-906e-aa68c18a757b","Battery Storage Integration in EV Fast Charging Station for Increasing its Revenues and Reducing the Grid Impact","Stecca, M. (TU Delft DC systems, Energy conversion & Storage); Vermeer, W.W.M. (TU Delft DC systems, Energy conversion & Storage); Soeiro, Thiago B. (TU Delft DC systems, Energy conversion & Storage); Ramirez Elizondo, L.M. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage); Palensky, P. (TU Delft Intelligent Electrical Power Grids)","","2022","This paper discusses the design and optimization of electric vehicles’ fast-charging stations with on-site photovoltaic energy production and a battery energy storage system. Three scenarios, varying the number of chargers, distance from the main grid, and on-site photovoltaic generation potential, are investigated. Such scenarios are benchmarked in investment, operating costs, and grid connection requirements. The addition of a battery storage system is also evaluated to reduce the operating cost and, therefore, boost the system’s economic parameters, such as the net present value, and increase the grid independence.The analysis shows that the addition of the battery system can be effective in both performance metrics, the reduction of the grid connection, which can be reduced up to 80% by the addition of a large size battery, and the increase of the net present value, which can be even doubled with respect to the case when the battery storage system is not installed.","Battery energy storage system; electric vehicle station design; multi objective optimization; net present value","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-07","","","DC systems, Energy conversion & Storage","","",""
"uuid:281edd71-6f3a-4662-a287-404201b6054c","http://resolver.tudelft.nl/uuid:281edd71-6f3a-4662-a287-404201b6054c","Implementation of Fully Coupled Electromigration Theory in COMSOL","Cui, Z. (TU Delft Electronic Components, Technology and Materials); Fan, X. (TU Delft Electronic Components, Technology and Materials; Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","O'Conner, L. (editor)","2022","In this paper, a 3D and fully coupled electromigration modeling is implemented using COMSOL. The fully coupled multi-physics theory has a unique set of partial differential equations, which cannot be directly simulated with the standard finite element software such as ABAQUS and ANSYS. With the weak form PDE modulus in COMSOL, the weak form of the governing equations is obtained and realized for a 3D finite element modeling of electromigration. The metal lines under totally constrained and stress-free conditions with a perfectly blocking condition are presented as benchmark problems, in which the finite element solutions are in excellent agreement with the analytical solutions.","Keywords-electromigration; general coupling theory; multiphysics modeling; finite element analysis","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:3f28f42e-96fa-4d82-bdef-883ad5e0ab89","http://resolver.tudelft.nl/uuid:3f28f42e-96fa-4d82-bdef-883ad5e0ab89","Simulation and Verification or Cu@Ag Core-shell Sintered Paste for Power Semiconductor Die-attach Applications","Wang, Xinyue (Fudan University); Zeng, Zejun (Fudan University); Zhang, Jing (Heraeus Materials Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Pan (Fudan University)","O'Conner, L. (editor)","2022","With the increasing application of wide bandgap materials such as silicon carbide and gallium nitride in power devices, the working temperature of power devices has been pushed further. Therefore, it brings higher requirements for packaging materials. Sintered silver is a widely accepted chip connection material. However, silver suffers from high prices and electromigration. Therefore, a novel sintered material based on silver-copper core-shell structured particles raises the attention of researchers to solve this deficiency. To accelerate the development of new materials and their related processes, a four-sphere model of the silver-coated copper structure is established in this paper. The mathematical relationship between the porosity and thermal conductivity of sintered body and the actual sintering process was preliminarily established through the calculation based on a series of FEM simulations. The model was further validated through experiments. The modeling method and conclusion are utilized for future process adjustment, which is of great significance to accelerate the development, application, and reliability of new packaging materials.","die-attach material; power electronic packaging; simulation; Cu@Ag particles","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:677fc594-0a81-4139-8d77-1ae007efd173","http://resolver.tudelft.nl/uuid:677fc594-0a81-4139-8d77-1ae007efd173","Brutalist Trauma, Picturesque Repair","van den Heuvel, D. (TU Delft Space & Type)","Elsea, Daniel (editor)","2022","A discussion of the interrelations between post-war Brutalism and Picturesque revival in the work of Alison and Peter Smithson, in response to the conference question of urban design urgencies of today, most notably the question of city repair.","Picturesque; Urban Design; Modern Architecture; New Brutalism; Architectural History; Architectural Theory","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Space & Type","","",""
"uuid:49484cf5-f4ff-4b07-839f-09525f288f9e","http://resolver.tudelft.nl/uuid:49484cf5-f4ff-4b07-839f-09525f288f9e","Lattice-Boltzmann Numerical Investigation of a Realistic Multi-Cavity Acoustic Liner with Grazing Flow","Meirelles Pereira, L. (TU Delft Wind Energy; Federal University of Santa Catarina); Bonomo, Lucas A. (Federal University of Santa Catarina); da Silva, Andrey R. (Federal University of Santa Catarina); Cordioli, Júlio A. (Federal University of Santa Catarina); Avallone, F. (TU Delft Wind Energy)","","2022","Scaled-resolved numerical simulations using the lattice-Boltzmann Very Large Eddy Simulation method are performed to compute the acoustic impedance of a realistic multi-cavity single degree of freedom liner grazed by a turbulent boundary layer. Numerical results are assessed against experimental impedance measurements carried out in grazing flow impedance test facility at the Federal University of Santa Catarina (UFSC), with three different approaches: the in-situ technique, the mode matching method and a Prony-like algorithm. Both experiments and numerical simulations are carried out with and without turbulent grazing flow at Mach number equal to 0.3 and with grazing acoustic tonal plane wave. Acoustic waves with amplitude equal to 130 dB and 145 dB are analyzed. For each amplitude, six frequencies are investigated in the range between 800 Hz and 2300 Hz. For each case, the acoustic wave propagates both in the same direction and opposite to the grazing turbulent flow. Numerical results show very good agreement with experimental data for the no-flow case. In the presence of grazing flow, preliminary numerical results show an overestimation of the resistance with respect to the experimental data. It has been found that using a less dissipative solver for the acoustic simulations and increasing the resolution lead to better agreement. Nevertheless, the numerical database predicts well the different trends between the impedance measurement methods. The presented database, after being recomputed with the less dissipative solver, will be used to understand the physical reasons behind the different impedance measurement results obtained with different eduction methods and clarify the physics of the flow-acoustic interaction.","","en","conference paper","","","","","","","","","","","Wind Energy","","",""
"uuid:2291de37-f707-4970-b096-aac7e377bb08","http://resolver.tudelft.nl/uuid:2291de37-f707-4970-b096-aac7e377bb08","Flow-field and Noise Characterization of a Controlled-Diffusion Airfoil subject to flow separation and stall","Kalyani, S.K. (TU Delft Wind Energy; University of Sherbrooke); Moreau, Stéphane (University of Sherbrooke); Ragni, D. (TU Delft Wind Energy)","","2022","The present experimental investigation in the anechoic wind tunnel of university of Sherbrooke focuses on the flow-field and noise characterization of a Controlled Diffusion (CD) airfoil, with the flow transitioning from an attached boundary layer at 15◦ incidence to a completely detached one (deep stall) at 22◦ incidence. Simultaneous unsteady wall-pressure and far-field measurements are performed to investigate the effect of Reynolds number on the separation and stalling noise signature of the CD airfoil. Spanwise coherence exhibits a bi-modal shape with levels of coherence shifting towards lower frequencies and increasing in value with increasing incidences. Spanwise wall-pressure correlation length estimated using Corcos model is found to be approximately 12 mm at 40 m/s and 5 mm at 16 m/s at stalling conditions. Far-field noise scales well with Strouhal number based on chord and U5, indicating a compact dipolar scaling for separation and stall noise mechanisms.","","en","conference paper","","","","","","","","","","","Wind Energy","","",""
"uuid:55081ccc-c0ff-408b-b3a2-d1854bce1b9b","http://resolver.tudelft.nl/uuid:55081ccc-c0ff-408b-b3a2-d1854bce1b9b","Emotion deep dive for designers: Seven propositions that operationalize emotions in design innovation","Desmet, P.M.A. (TU Delft Human-Centered Design; TU Delft Design Aesthetics); Xue, H. (TU Delft Design Aesthetics); Xin, X (Beijing Normal University); Liu, W.L. (Beijing Normal University)","Markopoulos, Evagelos (editor); Goonetilleke, Ravindra S. (editor); Luximon, Yan (editor)","2022","This paper presents a five-day intense course that teaches “emotion-driven design”
(EDD) to graduate students with a broad variety of disciplinary backgrounds at the
Faculty of Psychology, Beijing Normal University. We introduce seven principles
drawn from design-relevant emotion knowledge that informed the design of the
course’s overall structure, and explain how they guided the development of learning
activities and materials. We envision that this paper will interest design educators
who aspire teaching how to operationalize emotions in creative design processes to
students with little preexisting expertise in (or scientific knowledge about) emotion
psychology.","Design education; Emotion-driven design; Design innovation; Emotion psychology; Psychology design; User experience; Human-design interactions","en","conference paper","","","","","","","","","","Human-Centered Design","Design Aesthetics","","",""
"uuid:88d27e47-a943-4c0e-a98e-582a98138805","http://resolver.tudelft.nl/uuid:88d27e47-a943-4c0e-a98e-582a98138805","Sound production due to main-flow oriented vorticity-nozzle interaction in absence of a net swirl","Hirschberg, L. (Imperial College London); Bake, F. (Bundesanstalt für Materialforschung und -prüfung (BAM)); Hulshoff, S.J. (TU Delft Aerodynamics)","","2022","The downstream acoustic response due to the interaction of main-flow oriented vorticity with a choked nozzle in a swirl-free flow was experimentally demonstrated. The response was obtained by means of impulsive radial air injection in the pipe upstream from the nozzle. The resulting downstream acoustic data are shown to obey a scaling rule that differs, from the one for swirl-nozzle interaction, which according to the literature is proportional to the square of the swirl number. In contrast, here evidence is presented that points to the scaling of main-flow oriented vorticity noise with the cross-sectional average of the square of the transversal velocity at the throat divided by the square of the critical sound speed.","","en","conference paper","","","","","","Correction Notice §III¶4: The phrase “Since injection takes only a short time, the variation in total mass-flow through the convergent injection nozzle is negligible compared with ¤ inj,” is incorrect. In its stead one should substitute the following sentece: “Since injection takes only a short time, the variation in total mass-flow through the convergent-divergent nozzle is negligible compared with ¤ inj.”","","","","","Aerodynamics","","",""
"uuid:3d025d9a-0aa2-49fe-9081-99f889ac7a28","http://resolver.tudelft.nl/uuid:3d025d9a-0aa2-49fe-9081-99f889ac7a28","Tex(alive): A toolkit to explore temporal expressions in shape-changing textile interfaces","Martínez Castro, J.F. (Student TU Delft); Buso, A. (TU Delft Emerging Materials); Wu, J. (TU Delft Materials and Manufacturing); Karana, E. (TU Delft Emerging Materials; Avans Hogeschool Breda)","","2022","Shape-changing textile interfaces have the potential to create unique functions, expressions, and interactions in everyday artifacts. However, the technical expertise required to fabricate and interact with these interfaces limits designers from rapidly iterating through diverse textile expressions. This pictorial presents TEX(alive), a low-cost and open-source physical-digital toolkit to facilitate the creation of temporal expressions in textile interfaces. TEX(alive) comprises pneumatic actuators that can be interactively configured across a 3d printed grid structure on the textile. Creative sessions with seven designers show that TEX(alive) supports the exploration of temporality in textile interfaces, opening up a design space for unforeseen future application scenarios and alive-like expressions in material-driven design. Finally, we suggest coupling TEX(alive) with a computational simulation tool to allow designers to predict spatial shape change when the textile interface increases in size or complexity.","design tools; material-driven design; pneumatics; shape-changing textile interfaces; temporality","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Emerging Materials","","",""
"uuid:c18ff282-a14a-4bc1-8003-993fca6a8579","http://resolver.tudelft.nl/uuid:c18ff282-a14a-4bc1-8003-993fca6a8579","Interactive Merging Behavior in a Coupled Driving Simulator: Experimental Framework and Case Study","Siebinga, O. (TU Delft Human-Robot Interaction); Zgonnikov, A. (TU Delft Human-Robot Interaction); Abbink, D.A. (TU Delft Human-Robot Interaction)","Plant, Katie (editor); Praetorius, Gesa (editor)","2022","Human highway-merging behavior is an important aspect when developing autonomous vehicles (AVs) that can safely and successfully interact with other road users. To design safe and acceptable human-AV interactions, the underlying mechanisms in human-human interactive behavior need to be understood. Exposing and understanding these mechanisms can be done using controlled driving simulator experiments. However, until now, such human-factors merging experiments have focused on aspects of the behavior of a single driver (e.g., gap acceptance) instead of on the dynamics of the interaction. Furthermore, existing experimental scenarios and data analysis tools (i.e., concepts like time-to-collision) are insufficient to analyze human-human interactive merging behavior. To help facilitate human-factors research on merging interactions, we propose an experimental framework consisting of a general simplified merging scenario and a set of three analysis tools: (1) a visual representation that captures the combined behavior of two participants and the safety margins they maintain in a single plot; (2) a signal (over time) that describes the level of conflict; and (3) a metric that describes the amount of time that was required to solve the merging conflict, called the conflict resolution time. In a case study with 18 participants, we used the proposed framework and analysis tools in a top-down view driving simulator where two human participants can interact. The results show that the proposed scenario can expose diverse behaviors for different conditions. We demonstrate that our novel visual representation, conflict resolution time, and conflict signal are valuable tools when comparing human behavior between conditions. Therefore, with its simplified merging scenario and analysis tools, the proposed experimental framework can be a valuable asset when developing driver models that describe interactive merging behavior and when designing AVs that interact with humans.","Driving interaction; Highway merging; Driving simulator experiment","en","conference paper","AHFE","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:466702ec-27b4-4aab-a098-957d55750ddb","http://resolver.tudelft.nl/uuid:466702ec-27b4-4aab-a098-957d55750ddb","Interior design features predicting satisfaction with office workspace privacy and noise","Colenberg, S.E. (TU Delft Design Conceptualization and Communication); Romero Herrera, N.A. (TU Delft Design Conceptualization and Communication); Keyson, D.V. (TU Delft Design Conceptualization and Communication)","Jylha, Tuuli (editor); Danivska, Vitalija (editor)","2022","Background and aim – Lack of privacy is a prominent issue in contemporary offices. This study aimed to identify interior design features that jointly influence satisfaction with privacy and noise in the office workspace, and estimate their predictive power. This knowledge can inform strategic workplace design.
Methods – Eight design features were defined that were expected to influence visual, acoustic and physical privacy, noise from other people and acoustic quality, and which would be easy to report for users. Data were collected through an online survey among office workers in the Dutch public sector (N
= 323). The joint impact of design features on the experienced privacy and noise was calculated through ordinal regression analysis.
Results – The data indicate that small, relatively isolated rooms predict privacy and noise satisfaction better than privacy screens, soft flooring, and visibility control. Workspace soundproofing increases satisfaction with sound privacy and acoustics, but it does not reduce noise annoyance.
Originality – This study operationalizes architectural privacy along several dimensions and from a user perspective, and hierarchically relates them to specific workspace satisfaction outcomes, generating actionable insights for workplace designers.
Practical and social implications – The study can serve as a source for evidence-based workplace design and management that aims to balance user needs for privacy and quiet against their need for social interaction. Currently, this balance is especially important because hybrid working may increase the need for informal interaction at the office while there still is a need for privacy and quiet spaces.
Type of paper – Research paper (full).","Office space; interior design; satisfaction; privacy; noise; acoustics","en","conference paper","Delft University of Technology","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:b66442f4-1fbb-4adc-aac4-30524124088e","http://resolver.tudelft.nl/uuid:b66442f4-1fbb-4adc-aac4-30524124088e","Understanding the Role of Explanation Modality in AI-assisted Decision-making","Robbemond, Vincent (Student TU Delft); Inel, Oana (University of Zürich); Gadiraju, Ujwal (TU Delft Web Information Systems)","","2022","Advances in artificial intelligence and machine learning have led to a steep rise in the adoption of AI to augment or support human decision-making across domains. There has been an increasing body of work addressing the benefits of model interpretability and explanations to help end-users or other stakeholders decipher the inner workings of the so-called ""black box AI systems"". Yet, little is currently understood about the role of modalities through which explanations can be communicated (e.g., text, visualizations, or audio) to inform, augment, and shape human decision-making. In our work, we address this research gap through the lens of a credibility assessment system. Considering the deluge of information available through various channels, people constantly make decisions while considering the perceived credibility of the information they consume. However, with an increasing information overload, assessing the credibility of the information we encounter is a non-trivial task. To help users in this task, automated credibility assessment systems have been devised as decision support systems in various contexts (e.g., assessing the credibility of news or social media posts). However, for these systems to be effective in supporting users, they need to be trusted and understood. Explanations have been shown to play an essential role in informing users' reliance on decision support systems. In this paper, we investigate the influence of explanation modalities on an AI-assisted credibility assessment task. We use a between-subjects experiment (N = 375), spanning six different explanation modalities, to evaluate the role of explanation modality on the accuracy of AI-assisted decision outcomes, the perceived system trust among users, and system usability. Our results indicate that explanations play a significant role in shaping users' reliance on the decision support system and, thereby, the accuracy of decisions made. We found that users performed with higher accuracy while assessing the credibility of statements in the presence of explanations. We also found that users had a significantly harder time agreeing on statement credibility without explanations. With explanations present, text and audio explanations were more effective than graphic explanations. Additionally, we found that combining graphical with text and/or audio explanations were significantly effective. Such combinations of modalities led to a higher user performance than using graphical explanations alone.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:13315c23-7dc3-4965-a380-14137ceb3c72","http://resolver.tudelft.nl/uuid:13315c23-7dc3-4965-a380-14137ceb3c72","Aircraft community noise prediction in 3D environments using Gaussian beam tracing","Yunus, F. (TU Delft Wind Energy); Casalino, D. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy)","","2022","This work presents a novel noise propagation approach based on the Gaussian Beam Tracing (GBT) method that accounts for complex source directivity, weather conditions, and irregular ground topology for the evaluation of the noise footprint. The approach takes a precomputed noise sphere as input and propagates the acoustic pressure fluctuations through a moving inhomogeneous atmosphere over realistic three-dimensional (3D) terrain. Noise footprints, obtained with di erent source noise spheres and wind flow conditions, are compared. It is found that, in a quiescent atmosphere, a change in the source directivity results in a variation up to 15 dB on the acoustic footprint. In the presence of the mean flow, the variation in the noise footprint can reach up to 35 dB. The results suggest that any variation in the source directivity and wind flow can cause a significant change in the acoustic footprint predicted in 3D environments with varying terrain topology and wind flow.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-26","","","Wind Energy","","",""
"uuid:a5509fb1-ef40-41d1-8e47-3d7d04e6375d","http://resolver.tudelft.nl/uuid:a5509fb1-ef40-41d1-8e47-3d7d04e6375d","Towards Healthy Engagement with Online Debates: An Investigation of Debate Summaries and Personalized Persuasive Suggestions","Rieger, A. (TU Delft Web Information Systems); Shaheen, Qurat Ul Ain (Artificial Intelligence Research Institute, Spanish National Scientific Research Council); Sierra, Carles (Artificial Intelligence Research Institute, Spanish National Scientific Research Council); Theune, Mariet (University of Twente); Tintarev, N. (Universiteit Maastricht)","","2022","Online debates allow for large-scale participation by users with different opinions, values, and backgrounds. While this is beneficial for democratic discourse, such debates often tend to be cognitively demanding due to the high quantity and low quality of non-expert contributions. High cognitive demand, in turn, can make users vulnerable to cognitive biases such as confirmation bias, hindering well-informed attitude forming. To facilitate interaction with online debates, counter confirmation bias, and nudge users towards engagement with online debate, we propose (1) summaries of the arguments made in the debate and (2) personalized persuasive suggestions to motivate users to engage with the debate summaries. We tested the effect of four different versions of the debate display (without summary, with summary and neutral suggestion, with summary and personalized persuasive suggestion, with summary and random persuasive suggestion) on participants' attitude-opposing argument recall with a preregistered user study (N = 212). The user study results show no evidence for an effect of either the summary or the personalized persuasive suggestions on participants' attitude-opposing argument recall. Further, we did not observe confirmation bias in participants' argument recall, regardless of the debate display. We discuss these observations in light of additionally collected exploratory data, which provides some pointers towards possible causes for the lack of significant findings. Motivated by these considerations, we propose two new hypotheses and ideas for improving relevant properties of the study design for follow-up studies.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:f4a358ee-7aaa-48c1-8ddd-11a495c82b14","http://resolver.tudelft.nl/uuid:f4a358ee-7aaa-48c1-8ddd-11a495c82b14","Interactive Interventions to Mitigate Cognitive Bias","Rieger, A. (TU Delft Web Information Systems)","","2022","While the web offers a great potential to find and share information, the cognitively demanding conditions of online interactions can leave users vulnerable to cognitive biases, such as the confirmation bias-the tendency to favor information that confirms prior attitudes and beliefs when searching for, selecting, interpreting, sharing, and recalling information. This can negatively impact individuals' decision-making and is likely to drive ideological polarization and extremism. With my dissertation, I am investigating whether and how interactive bias mitigation interventions, with a special focus on confirmation bias, could empower web users in making informed, unbiased, and autonomous choices. Based on my findings and observations, I plan to build a framework of user-and context-adaptive bias mitigation approaches during different kinds of web interactions.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-02","","","Web Information Systems","","",""
"uuid:e7773467-8f58-4a3d-9812-29ab7ea9b159","http://resolver.tudelft.nl/uuid:e7773467-8f58-4a3d-9812-29ab7ea9b159","Digital Erasmus –a pan-European approach to teaching building performance and resilient design","Hopfe, Christina J. (Graz University of Technology); Brembilla, E. (TU Delft Building Physics); McElroy, Lori B. (University of Strathclyde); McLeod, Robert S. (Graz University of Technology); Gustin, Matej (Graz University of Technology); Costola, Daniel (University of Strathclyde)","","2022","The global COVID-19 pandemic in 2020 has forced universities to completely re-think their teaching concepts to provide safe, remote teaching of students off-campus. One of the challenges of this rapid transition is ensuring that the quality of the learning experience remains high and that students are able to engage and thrive in this new and predominantly digital environment. This project, entitled ‘Digital Erasmus - a roadmap to using building performance simulation to achieve resilient design’, responds to this context by seeking to transform the learning experience of students in built environment disciplines using a continuous digital learning cycle. This paper outlines the concept of the program and the learning objectives that it responds to, as well as some initial results highlighting the programs opportunity for students to work collaboratively and transnationally. The program is still in its infancy but it is hoped that it can serve as a template for similar future online courses that will promote safe, interdisciplinary and engaging collaboration amongst students from different universities.","Erasmus; digital learning & education; energy; building simulation; thermal comfort","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Building Physics","","",""
"uuid:8f5b0c5d-d836-4128-8b24-56a35e303be3","http://resolver.tudelft.nl/uuid:8f5b0c5d-d836-4128-8b24-56a35e303be3","Health monitoring: a machine learning approach for anomaly detection in multi-sensor networks","Hajee, Bram (DWA, Gouda); Wisse, Kees (DWA, Gouda); Mohajerin Esfahani, P. (TU Delft Team Bart De Schutter; TU Delft Team Peyman Mohajerin Esfahani)","","2022","Multi-sensor networks are becoming more and more popular in order to assess the post-occupancy performance of smart buildings, since they enable continuous monitoring with a high spatial resolution of the occupancy, thermal comfort and indoor air quality. An urgent, but poorly attended topic in this field is the automated detection of sensor anomalies. For example, CO2-sensors can perform auto-calibration, during which the data is not reliable. Without identifying the poor reliability of this data, any analysis based on it may be misleading. Automated detection and diagnosis of multi-sensor anomalies is a challenging task due to the complex characteristics of each data point, the variety of data points and the sheer number of data points. As a result, rule-based algorithms require an extensive expert-based set of rules, which makes them sensitive to threshold values and case specific exceptions. Machine learning algorithms can overcome these issues, but they require datasets with labelled sensor anomalies to do diagnosis. Acquiring such labelled datasets is labour intensive and therefore expensive. In this paper we show the potential of a transition from an unsupervised to a supervised machine learning approach. The unsupervised algorithm is used to detect anomalies and to identify anomaly classes of interest. This enables for labelling such classes efficiently in order to train classifiers for multiple classes of anomalies. The unsupervised and supervised algorithms are employed in parallel during the transition, allowing for the simultaneous detection of unknown anomaly classes and diagnosis of known anomaly classes. The improved performance of the combined classifier compared to unsupervised detection is shown by the precision-recall curve. Though the presented approach is rather generic, it does have some limitations. Because a window-based approach is used, only time windows can be detected as being anomalous, not the exact time. Also, we focus on the detection of sudden anomalies and the approach does not allow for detecting stationary or trend anomalies.","Multi-sensor networks; anomaly detection and diagnosis; machine learning; HVAC","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Team Bart De Schutter","","",""
"uuid:4e5df562-89de-4b6b-8b8b-d266d4a256dc","http://resolver.tudelft.nl/uuid:4e5df562-89de-4b6b-8b8b-d266d4a256dc","Data-Centric Green AI An Exploratory Empirical Study","Verdecchia, Roberto (Vrije Universiteit Amsterdam); Cruz, Luis (TU Delft Software Engineering); Sallou, June (University of Rennes); Lin, Michelle (McGill University); Wickenden, James (University of Bristol); Hotellier, Estelle (Inria)","Calero, Coral (editor); Karvonen, Andy (editor); Somova, Elena (editor); Fernandes, Joao Paulo (editor); Peters, Anne-Kathrin (editor); Cunha, Jacome (editor)","2022","With the growing availability of large-scale datasets, and the popularization of affordable storage and computational capabilities, the energy consumed by AI is becoming a growing concern. To address this issue, in recent years, studies have focused on demonstrating how AI energy efficiency can be improved by tuning the model training strategy. Nevertheless, how modifications applied to datasets can impact the energy consumption of AI is still an open question.To fill this gap, in this exploratory study, we evaluate if data-centric approaches can be utilized to improve AI energy efficiency. To achieve our goal, we conduct an empirical experiment, executed by considering 6 different AI algorithms, a dataset comprising 5,574 data points, and two dataset modifications (number of data points and number of features).Our results show evidence that, by exclusively conducting modifications on datasets, energy consumption can be drastically reduced (up to 92.16%), often at the cost of a negligible or even absent accuracy decline. As additional introductory results, we demonstrate how, by exclusively changing the algorithm used, energy savings up to two orders of magnitude can be achieved.In conclusion, this exploratory investigation empirically demonstrates the importance of applying data-centric techniques to improve AI energy efficiency. Our results call for a research agenda that focuses on data-centric techniques, to further enable and democratize Green AI.","Energy Efficiency; Artificial Intelligence; Green AI; Data-centric","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-19","","","Software Engineering","","",""
"uuid:6f8f8866-a580-4bcb-a94e-e6161bfde197","http://resolver.tudelft.nl/uuid:6f8f8866-a580-4bcb-a94e-e6161bfde197","How to Approximate any Objective Function via Quadratic Unconstrained Binary Optimization","Gabor, Thomas (Ludwig Maximilians University); Rosenfeld, Marian Lingsch (Ludwig Maximilians University); Linnhoff-Popien, Claudia (Ludwig Maximilians University); Feld, S. (TU Delft Quantum Circuit Architectures and Technology)","Ceballos, C. (editor)","2022","Quadratic unconstrained binary optimization (QUBO) has become the standard format for optimization using quantum computers, i.e., for both the quantum approximate optimization algorithm (QAOA) and quantum annealing (QA). We present a toolkit of methods to transform almost arbitrary problems to QUBO by (i) approximating them as a polynomial and then (ii) translating any polynomial to QUBO. We showcase the usage of our approaches on two example problems (ratio cut and logistic regression).","quadratic unconstrained binary optimization; QUBO; quantum computing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Quantum Circuit Architectures and Technology","","",""
"uuid:4dcc2832-2f40-48c8-9489-c3f750803dc9","http://resolver.tudelft.nl/uuid:4dcc2832-2f40-48c8-9489-c3f750803dc9","A Global Study of the Risk of Earthquakes to IXPs","Oostenbrink, J. (TU Delft Embedded Systems); Kuipers, F.A. (TU Delft Embedded Systems)","","2022","In this paper, we study the risk of earthquakes to global Internet infrastructure, namely Internet eXchange Point (IXP) facilities. Leveraging the CAIDA IXPs dataset and publicly available earthquake models and hazard computation tools, we find that more than 50% of the facilities have at least a 2% probability of experiencing potentially damaging levels of shaking, due to earthquakes, within a period of 50 years. Furthermore, we estimate that there is a 10% probability that at least 20 facilities will simultaneously experience potentially damaging levels of shaking within a period of 50 years. Fortunately, our analysis shows that IXPs that host many Autonomous Systems (ASes) tend to be located in less earthquake-prone areas, and that spreading out over multiple facilities significantly reduces the impact of earthquakes to IXPs. Following this observation, we propose a novel metric to help AS operators select peering facilities based on the probability of simultaneous facility failures. We show that applying our metric can significantly increase the resilience of individual ASes, as well as that of the Internet as a whole.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Embedded Systems","","",""
"uuid:3418244b-2493-446b-b523-0d0d421faf3c","http://resolver.tudelft.nl/uuid:3418244b-2493-446b-b523-0d0d421faf3c","Clustering Payloads: Grouping Randomized Scan Probes Into Campaign Templates","Ghiette, V.D.H. (TU Delft Cyber Security); Dörr, C. (Hasso Plattner Institute)","","2022","Over the past decade, the scanning landscape has significantly changed. Powerful tools such as Masscan or Zmap allow anyone to scan the entire Internet in a matter of hours. Simultaneously, we witnessed the emergence of stealthy scanners, which map the Internet from thousands of vantage points at a low rate attempting to forego detection. As scanning is typically the first step towards later intrusion, organizations need to track, understand and draw intelligence from these scan campaigns. Organizations benefit from obtaining insights into what adversaries are currently looking for, which might reveal some new vulnerabilities. Furthermore, relating IP addresses with each other participating in scan campaigns provides valuable insights into the adversary's capabilities. In this paper, we describe a protocol-agnostic approach to extract commonalities and patterns from UDP scan traffic, relate individual scan packets regardless of whether they are sending static data or randomizing their payloads across destinations, and obtain 97% pattern accuracy with a data coverage of 96%. We apply our methodology on seven years of NTP and DNS scan traffic demonstrating that our automatic clustering provides stable tracking of strategies over time and identifies groups of source IPs with these behavioral characteristics effectively.","UDP; payload; clustering; network scans","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:b4d38b86-4ae1-43c9-8010-83550d05cfd9","http://resolver.tudelft.nl/uuid:b4d38b86-4ae1-43c9-8010-83550d05cfd9","Online Caching with Optimistic Learning","Mhaisen, N. (TU Delft Embedded Systems); Iosifidis, G. (TU Delft Embedded Systems); Leith, Douglas (Trinity College Dublin)","","2022","The design of effective online caching policies is an increasingly important problem for content distribution networks, online social networks and edge computing services, among other areas. This paper proposes a new algorithmic toolbox for tackling this problem through the lens of optimistic online learning. We build upon the Follow-the-Regularized-Leader (FTRL) framework which is developed further here to include predictions for the file requests, and we design online caching algorithms for bipartite networks with fixed-size caches or elastic leased caches subject to time-average budget constraints. The predictions are provided by a content recommendation system that influences the users viewing activity, and hence can naturally reduce the caching network's uncertainty about future requests. We prove that the proposed optimistic learning caching policies can achieve sub-zero performance loss (regret) for perfect predictions, and maintain the best achievable regret bound O (√T) even for arbitrary-bad predictions. The performance of the proposed algorithms is evaluated with detailed trace-driven numerical tests.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-22","","","Embedded Systems","","",""
"uuid:2c54175e-5757-468c-b4c9-533bcb9b6811","http://resolver.tudelft.nl/uuid:2c54175e-5757-468c-b4c9-533bcb9b6811","Free Energy Principle for State and Input Estimation of a Quadcopter Flying in Wind","Bos, F.R.R.C. (Student TU Delft); Anil Meera, A. (TU Delft Robot Dynamics); Benders, D. (TU Delft Learning & Autonomous Control); Wisse, M. (TU Delft Robot Dynamics)","","2022","The free energy principle from neuroscience provides a brain-inspired perception scheme through a data-driven model learning algorithm called Dynamic Expectation Maximization (DEM). This paper aims at introducing an exper-imental design to provide the first experimental confirmation of the usefulness of DEM as a state and input estimator for real robots. Through a series of quadcopter flight experiments under unmodelled wind dynamics, we prove that DEM can leverage the information from colored noise for accurate state and input estimation through the use of generalized coordinates. We demonstrate the superior performance of DEM for state es-timation under colored noise with respect to other benchmarks like State Augmentation, SMIKF and Kalman Filtering through its minimal estimation error. We demonstrate the similarities in the performance of DEM and Unknown Input Observer (UIO) for input estimation. The paper concludes by showing the influence of prior beliefs in shaping the accuracy-complexity trade-off during DEM's estimation.","Estimation error; Neuroscience; Filtering; Heuristic algorithms; Robot kinematics; Observers; Benchmark testing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Robot Dynamics","","",""
"uuid:b61ca225-4d8f-4597-bb4b-0f31c056dcc5","http://resolver.tudelft.nl/uuid:b61ca225-4d8f-4597-bb4b-0f31c056dcc5","Online Planning in POMDPs with Self-Improving Simulators","He, J. (TU Delft Interactive Intelligence); Suau, M. (TU Delft Interactive Intelligence); Baier, Hendrik (Centrum Wiskunde & Informatica (CWI)); Kaisers, Michael (Centrum Wiskunde & Informatica (CWI)); Oliehoek, F.A. (TU Delft Interactive Intelligence)","De Raedt, Luc (editor)","2022","How can we plan efficiently in a large and complex environment when the time budget is limited? Given the original simulator of the environment, which may be computationally very demanding, we propose to learn online an approximate but much faster simulator that improves over time. To plan reliably and efficiently while the approximate simulator is learning, we develop a method that adaptively decides which simulator to use for every simulation, based on a statistic that measures the accuracy of the approximate simulator. This allows us to use the approximate simulator to replace the original simulator for faster simulations when it is accurate enough under the current context, thus trading off simulation speed and accuracy. Experimental results in two large domains show that when integrated with POMCP, our approach allows to plan with improving efficiency over time.","","en","conference paper","International Joint Conferences on Artificial Intelligence (IJCAI)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-28","","","Interactive Intelligence","","",""
"uuid:534d6977-ff59-443a-ab9d-4363a7a92bcb","http://resolver.tudelft.nl/uuid:534d6977-ff59-443a-ab9d-4363a7a92bcb","Deep Vanishing Point Detection: Geometric priors make dataset variations vanish","Lin, Y. (TU Delft Pattern Recognition and Bioinformatics); Wiersma, R.T. (TU Delft Computer Graphics and Visualisation); Pintea, S. (TU Delft Pattern Recognition and Bioinformatics); Hildebrandt, K.A. (TU Delft Computer Graphics and Visualisation); Eisemann, E. (TU Delft Computer Graphics and Visualisation); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","O'Conner, L. (editor)","2022","Deep learning has improved vanishing point detection in images. Yet, deep networks require expensive annotated datasets trained on costly hardware and do not generalize to even slightly different domains, and minor problem variants. Here, we address these issues by injecting deep vanishing point detection networks with prior knowledge. This prior knowledge no longer needs to be learned from data, saving valuable annotation efforts and compute, unlocking realistic few-sample scenarios, and reducing the impact of domain changes. Moreover, the interpretability of the priors allows to adapt deep networks to minor problem variations such as switching between Manhattan and non-Manhattan worlds. We seamlessly incorporate two geometric priors: (i) Hough Transform -- mapping image pixels to straight lines, and (ii) Gaussian sphere -- mapping lines to great circles whose intersections denote vanishing points. Experimentally, we ablate our choices and show comparable accuracy to existing models in the large-data setting. We validate our model's improved data efficiency, robustness to domain changes, adaptability to non-Manhattan settings.","Hough transform; Vanishing point detection; Deep learning; Geometric priors","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pattern Recognition and Bioinformatics","","",""
"uuid:d8132c0d-cb6e-4186-98a9-5a9c42f0bd62","http://resolver.tudelft.nl/uuid:d8132c0d-cb6e-4186-98a9-5a9c42f0bd62","Efficiency of Old and New Triangular Finite Elements for Wavefield Modelling in Time","Mulder, W.A. (TU Delft Applied Geophysics and Petrophysics; Shell Global Solutions International B.V.)","","2022","Finite elements with mass lumping allow for explicit time stepping when modelling wave propagation and can be more efficient than finite differences in complex geological settings. In 2D on quadrilaterals, spectral elements are the obvious choice. Triangles are more flexible for meshing, but the construction of polynomial elements is less straightforward. So far, elements up to degree 9 have been found. Some years ago, an accuracy criterion that is sharper and less restrictive than the customary one led to new tetrahedral elements that are considerably more efficient than those previously known. Applying the same criterion to triangular elements provides infinitely many new elements of degree 5, with the same number of nodes as the old one, and two elements of degree 6 with less nodes than the known ones. Their efficiency, measured in terms of the compute time needed to obtain a solution with a given accuracy, is determined for a homogeneous problem and compared to that of the old elements of degree 1 to 8. For moderate accuracy, elements of degree 3 are the most efficient. For high accuracy, one of the new degree-6 elements performs best.","","en","conference paper","European Association of Geoscientists and Engineers","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:b039f5c8-9397-4357-adcc-1fd2b7862401","http://resolver.tudelft.nl/uuid:b039f5c8-9397-4357-adcc-1fd2b7862401","Increasing the Integration Potential of EV Chargers in DC Trolleygrids: A Bilateral Substation-Voltage Tuning Approach","Diab, I. (TU Delft DC systems, Energy conversion & Storage); Chandra Mouli, G.R. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","Light rail networks such as trolleybus grids have the potential to become multi-functional smart grids by using the excess capacity of the grid to implement PV systems, EV chargers, and storage. This paper offers a solution to increasing the potential for integration of EV chargers in the trolleygrid, without additional infrastructure costs, by simply tuning the nominal (no-load) voltages of bilaterally connected substations. This method shifts the load share between the two substations, creating more room for the integration of other utilities in a desired zone of the bus route. A mathematical derivation is presented, followed by a verifying case study using detailed and verified bus and trolleygrid simulation models for the city of Arnhem, the Netherlands. It is shown that by setting a substation nominal voltage from +10V compared to its bilateral substation to -10V, the substation can take, on average, as much as 7.5 percentage points less of the load share (from 45.9% to 38.4%) and see as much as 5 percentage points more of complete zero-load time (84.3% to 89.2%).","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-06","","","DC systems, Energy conversion & Storage","","",""
"uuid:bf0d8bd4-fe8f-468d-a089-739c9cc7ba13","http://resolver.tudelft.nl/uuid:bf0d8bd4-fe8f-468d-a089-739c9cc7ba13","L-band nulling interferometry at the VLTI with Asgard/Hi-5: status and plans","Defrère, Denis (Katholieke Universiteit Leuven); Bigioli, A. (Katholieke Universiteit Leuven); Dandumont, C. (Université de Liège; ULiège); Laugier, Romain (Katholieke Universiteit Leuven); Garreau, G. (Katholieke Universiteit Leuven); Ireland, M. (Australian National University); Berger, Jean Philippe (Université Grenoble Alpes); Courtney-Barrer, Benjamin (European Southern Observatory); Loicq, J.J.D. (TU Delft Spaceborne Instrumentation; Université de Liège)","Mérand, Antoine (editor); Sallum, Stephanie (editor); Sanchez-Bermudezv, Joel (editor)","2022","Hi-5 is the L’-band (3.5-4.0 μm) high-contrast imager of Asgard, an instrument suite in preparation for the visitor focus of the VLTI. The system is optimized for high-contrast and high-sensitivity imaging within the diffraction limit of a single UT/AT telescope. It is designed as a double-Bracewell nulling instrument producing spectrally-dispersed (R=20, 400, or 2000) complementary nulling outputs and simultaneous photometric outputs for self-calibration purposes. In this paper, we present an update of the project with a particular focus on the overall architecture, opto-mechanical design of the warm and cold optics, injection system, and development of the photonic beam combiner. The key science projects are to survey (i) nearby young planetary systems near the snow line, where most giant planets are expected to be formed, and (2) nearby main sequence stars near the habitable zone where exozodiacal dust that may hinder the detection of Earth-like planets. We present an update of the expected instrumental performance based on full end-to-end simulations using the new GRAVITY+ specifications of the VLTI and the latest planet formation models.","","en","conference paper","SPIE","","","","","","","","","","Spaceborne Instrumentation","","",""
"uuid:157f7228-18d3-45ae-bd11-4b95b7b07367","http://resolver.tudelft.nl/uuid:157f7228-18d3-45ae-bd11-4b95b7b07367","VLTI/Hi-5: detection yield predictions for young giant exoplanets","Dandumont, C. (Université de Liège; ULiège); Laugier, Romain (Katholieke Universiteit Leuven); Emsenhuber, Alexandre (Ludwig Maximilians University); Gagne, Jonathan (Université de Montréal); Absil, Olivier (Université de Liège); Garreau, G. (Katholieke Universiteit Leuven); Bonavita, M. (Open University); Bigioli, A. (Katholieke Universiteit Leuven); Loicq, J.J.D. (TU Delft Spaceborne Instrumentation; Université de Liège)","Mérand, Antoine (editor); Sallum, Stephanie (editor); Sanchez-Bermudezv, Joel (editor)","2022","The Hi-5 instrument, a proposed high-contrast L' band (3.5-4.0 μm) nulling interferometer for the visitor focus of the Very Large Telescope Interferometer (VLTI), will characterize young extra-solar planetary systems and exozodiacal dust around nearby main-sequence stars. Thanks to VLTI's angular resolution (λ=B = 5 mas for the longest UT baseline), it will fill the gap between young giant exoplanets discovered by ongoing single-aperture direct imaging surveys and exoplanet populations discovered by radial velocity surveys. In this paper, we investigate the exoplanet detection yield of Hi-5. First, we present the latest catalog of stars identified as members of young stellar associations within 150 pc of the Sun thanks to the BANYAN algorithm and other searches for young moving group members. Realistic exoplanet populations are then generated around these stars and processed with the SCIFYsim tool, the end-to-end simulator for the Hi-5 instrument. Then, two formation models are used to estimate the giant planet's luminosity. The first is the New Generation Planetary Population Synthesis (NGPPS), also known as the Bern model, and the second is a statistical model based on gravitational instability (hot-start model - AMES-Dusty model). We show that Hi-5 is insensitive to cold-start planets but can detect giant hot-start planets. With ATs, more than 40 planets could be detected assuming 20 nights of observations. With its unique capabilities, Hi-5 is also able to constrain in mass the observed systems. Hi-5 is sensitive to planets with a mass > 2 Mjup around the snow line.","","en","conference paper","SPIE","","","","","","","","","","Spaceborne Instrumentation","","",""
"uuid:55e80554-e2b2-4bde-ac49-5dcdf1aff9c6","http://resolver.tudelft.nl/uuid:55e80554-e2b2-4bde-ac49-5dcdf1aff9c6","Design of the VLTI/Hi-5 light injection system","Garreau, G. (Katholieke Universiteit Leuven); Bigioli, A. (Katholieke Universiteit Leuven); Raskina, G. (Katholieke Universiteit Leuven); Dandumont, C. (Université de Liège; ULiège); Berger, J-P. (Université Grenoble Alpes); Defrère, Denis (Katholieke Universiteit Leuven); Laugier, Romain (Katholieke Universiteit Leuven); Ireland, M. (Australian National University); Loicq, J.J.D. (TU Delft Spaceborne Instrumentation; Université de Liège)","Mérand, Antoine (editor); Sallum, Stephanie (editor); Sanchez-Bermudezv, Joel (editor)","2022","Hi-5 is an ERC-funded project hosted at KU Leuven and a proposed visitor instrument for the VLTI. Its primary goal is to image the snow line region around young planetary systems using nulling interferometry in the L’ band, between 3.5 and 4.1 μm, where the contrast between exoplanets and their host stars is very advantageous. The breakthrough is the use of a photonic chip based beam combiner, which only recently allowed the required theoretical raw contrast of 10−3 in this spectral range. The VLTI long baseline interferometry enables to reach high angular resolution (4.2 mas at 3.8 μm wavelength with the Auxiliary Telescopes (ATs)), while high contrast detection is achieved using nulling interferometry. This polarisation requires a high degree of optical symmetry between the four pupils of the VLTI, only possible with precise phase, dispersion and intensity control systems. The instrument is currently in its design phase. In this paper, the warm optics design and the injection system up to the photonic chip are presented. The different properties of the design are presented including the optics used, the characteristics of the four beams and the current drawbacks. Particular attention is devoted to the optical alignment and the tolerance analysis in order to estimate the precision required for the alignment procedure and therefore to choose adapted optical mountings.","","en","conference paper","SPIE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Spaceborne Instrumentation","","",""
"uuid:a5e2b045-bef4-4501-a9be-26aeb418343c","http://resolver.tudelft.nl/uuid:a5e2b045-bef4-4501-a9be-26aeb418343c","Technical requirements and optical design of the Hi-5 spectrometer","Dandumont, C. (Université de Liège; ULiège); Mazzoli, A. (ULiège; Université de Liège); Laborde, Victor (Université de Liège; ULiège); Laugier, Romain (Katholieke Universiteit Leuven); Bigioli, A. (Katholieke Universiteit Leuven); Garreau, G. (Katholieke Universiteit Leuven); Gross, S. (Macquarie University); Ireland, M. (Australian National University); Loicq, J.J.D. (TU Delft Spaceborne Instrumentation; ULiège)","Mérand, Antoine (editor); Sallum, Stephanie (editor); Sanchez-Bermudezv, Joel (editor)","2022","Hi-5 is a proposed L' band high-contrast nulling interferometric instrument for the visitor focus of the Very Large Telescope Interferometer (VLTI). As a part of the ERC consolidator project called SCIFY (Self-Calibrated Interferometry For exoplanet spectroscopY), the instrument aims to achieve sufficient dynamic range and angular resolution to directly image and characterize the snow line of young extra-solar planetary systems. The spectrometer is based on a dispersive grism and is located downstream of an integrated optics beam-combiner. To reach the contrast and sensitivity specifications, the outputs of the I/O chip must be sufficiently separated and properly sampled on the Hawaii-2RG detector. This has many implications for the photonic chip and spectrometer design. We present these technical requirements, trade-off studies, and phase-A of the optical design of the Hi-5 spectrometer in this paper. For both science and contract-driven reasons, the instrument design currently features three different spectroscopic modes (R=20, 400, and 2000). Designs and efficiency estimates for the grisms are also presented as well as the strategy to separate the two polarization states.","","en","conference paper","SPIE","","","","","","","","","","Spaceborne Instrumentation","","",""
"uuid:f25b1abf-e9bf-4480-a4e7-25338d13098f","http://resolver.tudelft.nl/uuid:f25b1abf-e9bf-4480-a4e7-25338d13098f","A Framework for Indoor Localization Using the Magnetic Field","Kok, M. (TU Delft Team Manon Kok); Viset, F.M. (TU Delft Team Manon Kok); Osman, M.E.A. (TU Delft Team Manon Kok)","","2022","In this work, our focus is on indoor localization using the indoor magnetic field as a source of position information. This relies on the fact that ferromagnetic materials inside buildings cause the magnetic field to vary spatially. We jointly estimate the pose of a combined sensor module (containing a magnetometer) as well as the magnetic field map. We show that our previously developed algorithm for magnetic field-based simultaneous localization and mapping can be adapted and extended into a general framework where a multitude of measurements can be included. We exemplify this using a foot-mounted inertial measurement unit where we additionally assume the availability of range measurements.","Indoor localization; magnetic field; SLAM; Inertial sensors in vehicle motion estimation and control","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-25","","","Team Manon Kok","","",""
"uuid:10ce1ed8-664a-4c6d-a314-f9e284dfc8d4","http://resolver.tudelft.nl/uuid:10ce1ed8-664a-4c6d-a314-f9e284dfc8d4","Algorithmic QUBO formulations for k-SAT and hamiltonian cycles","Nüßlein, Jonas (Ludwig Maximilians University); Gabor, Thomas (Ludwig Maximilians University); Linnhoff-Popien, Claudia (Ludwig Maximilians University); Feld, S. (TU Delft Quantum Circuit Architectures and Technology)","","2022","Quadratic Unconstrained Binary Optimization (QUBO) can be seen as a generic language for optimization problems. QUBOs attract particular attention since they can be solved with quantum hardware, like quantum annealers or quantum gate computers running QAOA. In this paper, we present two novel QUBO formulations for k-SAT and Hamiltonian Cycles that scale significantly better than existing approaches. For k-SAT we reduce the growth of the QUBO matrix from O(k) to O(log(k)). For Hamiltonian Cycles the matrix no longer grows quadratically in the number of nodes, as currently, but linearly in the number of edges and logarithmically in the number of nodes. We present these two formulations not as mathematical expressions, as most QUBO formulations are, but as meta-algorithms that facilitate the design of more complex QUBO formulations and allow easy reuse in larger and more complex QUBO formulations.","hamiltonian cycle; ising; k-SAT; QUBO; satisfiability","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Quantum Circuit Architectures and Technology","","",""
"uuid:c9a49b2e-813c-456c-a167-d00152b3148b","http://resolver.tudelft.nl/uuid:c9a49b2e-813c-456c-a167-d00152b3148b","Design and engineering as agents of change: a capabilities framework: a capabilities framework","Mulder, I. (TU Delft Design Conceptualization and Communication); Magni, A. (TU Delft Design Conceptualization and Communication)","Bohemia, Erik (editor); Buck, Lyndon (editor); Grierson, Hilary (editor)","2022","Design is generally accepted to provide valuable contributions to addressing complex societal challenges. Even though design and engineering professionals show increasingly capable of making societal impact, it is not straightforward why some creative practices are more impactful in fostering systemic change, let alone what additional capabilities they pursue to be distinctive. The current study introduces a capabilities framework highlighting a set of advanced design capabilities expanding the conventional skillset of designers and engineers towards enabling the adoption of local innovation at a systemic scale. Afterwards, the developed capabilities framework is used as a pedagogical framework
to design a learning environment to prepare the next generation of design and engineering students to respond to today’s societal challenges. We close with a discussion on the professional and pedagogic role of design and engineering as agents of change","design capabilities; disruption; regeneration; societal challenges; urban transformation","en","conference paper","The Design Society","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:da696f45-278c-4667-8aea-0ff5129724ef","http://resolver.tudelft.nl/uuid:da696f45-278c-4667-8aea-0ff5129724ef","A European Roadmap to Leverage RISC-V in Space Applications","Furano, Gianluca (European Space Agency (ESA)); Di Mascio, S. (TU Delft Space Systems Egineering); Menicucci, A. (TU Delft Space Systems Egineering); Monteleone, Claudio (European Space Agency (ESA))","","2022","RISC-V is an open and modular Instruction Set Architecture(ISA) which is rapidly growing in popularity in terrestrial applications. This paper presents the place in future space embedded systems ESA's roadmap for RISC-V based processors. In order to satisfy different applications with contrasting requirements in satellite data systems, four different types of processors are identified: 1) General-Purpose (GP) processors for payloads 2) main platform On-Board Computers (OBCs) controllers 3) low-area/low-power microcontrollers (uCs), 4) enhanced payload processors with support for Artificial Intelligence (AI). We also describe the state of the art of the RISC-V software ecosystem, including the currently available hardware platforms, with a focus on developments for space applications and what has already been done in the European Space Industry. Finally, planned activities are presented, with a focus on the role of the European ecosystem.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Space Systems Egineering","","",""
"uuid:20de7d89-eb0c-42f2-859f-54c9582f3297","http://resolver.tudelft.nl/uuid:20de7d89-eb0c-42f2-859f-54c9582f3297","A toolbox for neuromorphic perception in robotics","Dupeyroux, J.J.G. (TU Delft Control & Simulation); Stroobants, S. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation)","","2022","The third generation of artificial intelligence (AI) introduced by neuromorphic computing is revolutionizing the way robots and autonomous systems can sense the world, process the information, and interact with their environment. Research towards fulfilling the promises of high flexibility, energy efficiency, and robustness of neuromorphic systems is widely supported by software tools for simulating spiking neural networks, and hardware integration (neuromorphic processors). Yet, while efforts have been made on neuromorphic vision (event-based cameras), it is worth noting that most of the sensors available for robotics remain inherently incompatible with neuromorphic computing, where information is encoded into spikes. To facilitate the use of traditional sensors, we need to convert the output signals into streams of spikes, i.e., a series of events (+1,-1) along with their corresponding timestamps. In this paper, we propose a review of the coding algorithms from a robotics perspective and further supported by a benchmark to assess their performance. We also introduce a ROS (Robot Operating System) toolbox to encode and decode input signals coming from any type of sensor available on a robot. This initiative is meant to stimulate and facilitate robotic integration of neuromorphic AI, with the opportunity to adapt traditional off-the-shelf sensors to spiking neural nets within one of the most powerful robotic tools, ROS.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Control & Simulation","","",""
"uuid:21394561-2663-480b-883c-84221752cc2f","http://resolver.tudelft.nl/uuid:21394561-2663-480b-883c-84221752cc2f","Real-time Sensing and NeuroFeedback for Practicing Meditation Using simultaneous EEG and Eye Tracking","Pandey, Pankaj (Indian Institute of Technology Gandhinagar); Gupta, Pragati (National Forensic Sciences University, Gandhinagar); Chaudhary, S. (Indian Institute of Technology Gandhinagar); Miyapuram, Krishna Prasad (Indian Institute of Technology Gandhinagar); Lomas, J.D. (TU Delft Design Aesthetics)","","2022","Next-generation intelligent health-monitoring systems have been ushered in by mobile phones with robust computation power, affordable high-resolution cameras, and embedded wearable sensors. Wearable Electroencephalography (EEG) headset to record brain signals with simultaneous eye tracking using a mobile front camera can become a powerful tool to modulate brain activity for self-enhancement. Meditation has been proved to have great effects in neuroscientific investigations for decades. However, a naive practitioner initiates the practice with high motivation and steps down after struggling to get the feedback or follow the instructions. EEG headset and Eye-tracking technology can help beginners get real-time audio and visual feedback and encourage those who fail to continue a regular meditation session. This article proposes real-time feedback framework for generating mindful moments and trace progress while practicing. Three major components are: Learning Phase, Meditation Style Specific Feedback and Evaluation Phase. We discuss three learning moments including preparatory, disentanglement and mindful. This study facilitates the design of a neurofeedback product that can offer tailored feedback. Neurotechnological revolution enables individuals to attain better equilibrium, sustained attention, meta-cognitive awareness, decreased mind-wandering, and enhanced emotional stability through various meditation practices.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Aesthetics","","",""
"uuid:5b80bd8e-7f77-40bd-973e-d77f52f2a371","http://resolver.tudelft.nl/uuid:5b80bd8e-7f77-40bd-973e-d77f52f2a371","EEG Spectral Correlates of Rapid and Deep Slow Breathing States and classification using ML","Patnaik, Siddesh (Indian Institute of Technology Gandhinagar); Pandey, Pankaj (Indian Institute of Technology Gandhinagar); Arun, Ishita (Indian Institute of Technology Gandhinagar); Yadav, Goldy (Indian Institute of Technology Gandhinagar); Miyapuram, Krishna Prasad (Indian Institute of Technology Gandhinagar); Lomas, J.D. (TU Delft Design Aesthetics)","","2022","One interpretation of breathing exercise is to enforce mind-body harmony, when someone feels well and healthy, different organs of our body function harmoniously. One dysfunctional organ may disturb the resonating mechanism across multiple organs. There are different breathing techniques, and recent scientific evidence encourages understanding the neural correlates of breathing. This research investigates breathing exercises at two paces: Rapid and Deep Slow using neural signals. We collect Electroencephalography (EEG) recordings of 14 participants performing breathing tasks. EEG signals are primarily decomposed in frequency bands that designate different cognitive functions. We extract six primary frequency bands, including delta (1-4 Hz), theta (4-8 Hz), alpha (8-13 Hz), low beta (13-20 Hz), high beta (21-30 Hz), and gamma (30-40 Hz). Two different techniques are utilized to report the findings encompassing power spectral analysis and employing machine learning classifiers to discriminate features among different stages of inhalation and exhalation with the significance of different frequencies bands. Lowered beta power in Slow Deep breathing is observed compared to Rapid Breathing, which may suggest increased relaxation, calmness, and anxiety reduction. Differences between the two conditions observed in the frontoparietal cortex may be attributed to differences in voluntary control between the two tasks. We observed classification accuracy of 72 % using low beta between Rapid and Deep Slow breathing using Decision Tree. Several interesting findings are observed in different scalp regions suggesting future direction for further investigation. This study contributes to the understanding of neural signatures for different breathing practices. The implication of this research in health care is to design personalized therapies and to design better breathing mobile applications for daily use.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Aesthetics","","",""
"uuid:fd15fb56-ed5f-429b-bc7a-b664962cc330","http://resolver.tudelft.nl/uuid:fd15fb56-ed5f-429b-bc7a-b664962cc330","Dynamic Backdoors with Global Average Pooling","Koffas, S. (TU Delft Cyber Security); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen); Conti, M. (TU Delft Cyber Security; University of Padua)","","2022","Outsourced training and machine learning as a service have resulted in novel attack vectors like backdoor attacks. Such attacks embed a secret functionality in a neural network activated when the trigger is added to its input. In most works in the literature, the trigger is static, both in terms of location and pattern. The effectiveness of various detection mechanisms depends on this property. It was recently shown that countermeasures in image classification, like Neural Cleanse and ABS, could be bypassed with dynamic triggers that are effective regardless of their pattern and location. Still, such backdoors are demanding as they require a large percentage of poisoned training data. In this work, we are the first to show that dynamic backdoor attacks could happen due to a global average pooling layer without increasing the percentage of the poisoned training data. Nevertheless, our experiments in sound classification, text sentiment analysis, and image classification show this to be very difficult in practice.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-05","","","Cyber Security","","",""
"uuid:ccd9642b-c20b-4db1-9f8e-4a1bc2aa90f7","http://resolver.tudelft.nl/uuid:ccd9642b-c20b-4db1-9f8e-4a1bc2aa90f7","Design, Engineering and Experimental Testing of Tubular Glass Columns","Veenstra, C.R.A. (Student TU Delft); Noteboom, C. (TU Delft Applied Mechanics); Oikonomopoulou, F. (TU Delft Structural Design & Mechanics); Overend, M. (TU Delft Structural Design & Mechanics)","Louter, Christian (editor); Belis, Jan (editor); Bos, Freek (editor)","2022","This research revolves around the design, fabrication and testing of tubular glass columns, with particular focus on their redundancy and fire-safety mechanisms; moreover, addressing aspects such as: the column shape; cleaning and maintenance; end connections; geometric tolerances in the glass and demountability. Two alternative circular hollow (tube) column designs are initially developed and engineered to address these aspects, namely: the MLA (Multi Layered with Air) and the SLW (Single Layered with water). In both concepts the main load-bearing structure consists of two concentric laminated glass tubes. Thus, in order to explore the manufacturing challenges and structural potential of these concepts, the prototyping and experimental work focuses on six 300 mm long samples with 115 mm outer diameter that are laminated and fitted into customized, engineered steel end-connections. Particular attention in terms of manufacturing is paid to the lamination process and associated bubble formation, the possible fracture of the glass by internal resin-curing stresses and the interface between the glass tube and the steel end-connections. All samples are laminated with Ködistruct LG 2-PU component. Three samples are assembled using DURAN® (annealed) glass and the other three are using DURATAN® (heat-strengthened) glass. Subsequently, the six samples are tested in compression until failure to investigate the behaviour of the interlayer material, the post-fracture behaviour of the designs, the differences between annealed and heat-strengthened samples, the capacity of the glass tubes and the performance of the end connections. Initial cracks appeared between 95-160 kN (compression strength of 30-50 MPa) in the DURAN® samples and between 120-160 kN (compression strength of 37-50 MPa) in the DURATAN® samples. These loads are lower than the ones estimated by calculations; in specific, the first cracks occurred at 34-64% of the calculated load. Nevertheless, the samples are found to be robust, with a considerable load-bearing capacity beyond the first cracks, leading to a maximum nominal compression strength capacity of up to 152 MPa for the DURATAN® samples and up to 233 MPa for the DURAN® samples.","glass column; glass tubes; laminated tubes; tubular glass columns; glass compression strength; structural glass","en","conference paper","","","","","","","","","","","Applied Mechanics","","",""
"uuid:1b163fea-fc2a-4432-9b0f-26d4bdca4003","http://resolver.tudelft.nl/uuid:1b163fea-fc2a-4432-9b0f-26d4bdca4003","In Between: An Interlayer Material Study for Interlocking Cast Glass Blocks","Dimas, M. (Eckersley O’Callaghan Engineers; Student TU Delft); Oikonomopoulou, F. (TU Delft Structural Design & Mechanics); Bilow, M. (TU Delft Building Product Innovation)","Louter, Christian (editor); Belis, Jan (editor); Bos, Freek (editor)","2022","Interlocking cast glass assemblies are a promising solution for architectural cast-glass applications aiming for high transparency and a reversible structure that allows the reuse of the glass components (Oikonomopoulou et al.,2018; Oikonomopoulou,2019b). In such a system, an interlayer material between the glass elements is essential, to assist the homogenous stress distribution and account for the surface microasperities of the glass elements. Towards circularity, this material should be dry (and not an adhesive), allowing for the eventual disassembly of the system. Previous experimental work by (Aurik at al.,2018; Oikonomopoulou at al.,2019b) has focused on the use of PU and PVC interlayers as suitable candidates; the focus in those studies has been solely placed on the mechanical performance of the interlayer material. This research provides a review of potential material candidates suitable for interlayers of an interlocking cast glass assembly based on a set of revised design and performance criteria that are divided into primary and secondary. Furthermore, the impact their unique material properties have on the potential application of the interlocking system is examined. The whole process, from fabrication to construction of the entire assembly, based on an assumed building scenario, is presented in a chain reaction manner, whose starting point is the interlayer itself. After defining the design criteria the interlayer should adhere to, the proposed candidates are: PETG sheets (Vivak®), Neoprene, Aluminum, Laminated Polyurethane (PU) and a Soft-core aluminum interlayer. The unique properties and fabrication challenges of all five proposed interlayers are considered, as well as their properties in relation to assembly, which leads to the development of two distinct assembly sequences. The main distinction concerns the interlayers that risk creeping and those that do not. The research concludes with a comparison between the interlocking assembly and the other glass block assemblies currently applied.","cast glass; Glass bricks; interlocking units; interlayer; reversible system; dry assembly; dry connection; glass sustainability; circular use of glass","en","conference paper","","","","","","","","","","","Structural Design & Mechanics","","",""
"uuid:460aeaca-ec24-4682-a3a0-cb1a68013be5","http://resolver.tudelft.nl/uuid:460aeaca-ec24-4682-a3a0-cb1a68013be5","Towards a Power-Balanced Participatory Design Process","Tomasini Giannini, F. (Student TU Delft); Mulder, I. (TU Delft Design Conceptualization and Communication)","Vlachokyriakos, Vasilis (editor); Yee, Joyce (editor); Frauenberger, Christopher (editor); Hurtado, Melisa Duque (editor); Hansen, Nicolai (editor); Strohmayer, Angelika (editor); Van Zyl, Izak (editor); Dearden, Andy (editor); Talhouk, Reem (editor); Gatehouse, Cally (editor); Leishman, Donna (editor); Agid, Shana (editor); Sciannamblo, Mariacristina (editor); Taylor, Jennyfer (editor); Botero, Andrea (editor); Del Gaudio, Chiara (editor); Akama, Yoko (editor); Clarke, Rachel (editor); Vines, John (editor)","2022","Participatory designers have taken inspiration from other practices like the social sciences to develop socially just and horizontal processes to collaborate with communities. In the current work, we take the premise that designers do not have enough means to address concepts of power and politics in design practice. Therefore, we elaborate upon how designers could develop horizontal
relationships within participatory design practices. Informed by the legacy of Paulo Freire, a research-through-design study exploring new ways of engaging and interacting with the community has been conducted. The study setup allowed for reflection upon the changing role of the designer in a community context. We conclude with a series of propositions and discuss their contribution to power-balanced relationships in participatory design processes.","Dialogical Spaces; Liberation; Participatory Design; Power Dynam- ics; Praxis; Reflection; Social Justice","en","conference paper","Association for Computer Machinery","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:8ea0e8e9-0de4-4fcb-a6c3-51cb7d57a6e6","http://resolver.tudelft.nl/uuid:8ea0e8e9-0de4-4fcb-a6c3-51cb7d57a6e6","Complex-order Reset Control System","Karbasizadeh, Nima (TU Delft Mechatronic Systems Design); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2022","According to the well-known loop shaping method for the design of controllers, the performance of the controllers in terms of step response, steady-state disturbance rejection and noise attenuation and robustness can be improved by increasing the gain at lower frequencies and decreasing it at higher frequencies and increasing the phase margin as much as possible. However, the inherent properties of linear controllers, the Bode’s phase-gain relation, create a limitation. In theory, a complex-order transfer function can break the Bode’s gain-phase relation; however, such transfer function cannot be directly implemented and should be approximated. This paper proposes a reset element and a tuning method to approximate a Complex-Order Controller (CLOC) and, through a simulation example, shows the benefits of using such a controller.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mechatronic Systems Design","","",""
"uuid:87b86bc3-9a74-4deb-9c59-37e0c82dca9f","http://resolver.tudelft.nl/uuid:87b86bc3-9a74-4deb-9c59-37e0c82dca9f","On the Challenges of Upscaling Damage Monitoring Methodologies for Stiffened Composite Aircraft Panels","Broer, Agnes A.R. (TU Delft Structural Integrity & Composites); Yue, N. (TU Delft Structural Integrity & Composites); Galanopoulos, Georgios (University of Patras); Benedictus, R. (TU Delft Structural Integrity & Composites); Loutas, Theodoros (University of Patras); Zarouchas, D. (TU Delft Structural Integrity & Composites)","Farhangdoust, Saman (editor); Guemes, Alfredo (editor); Chang, Fu-Kuo (editor)","2022","Health management methodologies for condition-based maintenance are often developed using sensor data collected during experimental tests. Most tests performed in laboratories focus on a coupon level or flat panels, while structural component testing is less commonly seen. As researchers, we often consider our experimental tests to be representative of a structure in a final application and consider the developed methodologies to be transferrable to these real-life structures. Yet, structures in their final applications such as wind turbines or aircraft are often larger, more complex, might contain various assembly details, and are loaded in complex conditions. These factors might influence the performance of developed diagnostic and prognostic methodologies and should therefore not be ignored.
In our work, we consider the aspects of upscaling structural health monitoring (SHM) methodologies for stiffened composite panels with the design of the panels inspired by an aircraft wing structure. For this, we examine two levels of panels, namely a single- and multi-stiffener composite panel, where we consider the single-stiffener panel to be a representative lower-level version of the multi-stiffener panel. Multiple SHM sensors (acoustic emission, Lamb waves, strain sensing) were installed on both composite panels to monitor damage propagation during testing. We identify and analyse challenges and further discuss considerations that must be taken during upscaling of diagnostics and prognostics, and with that, aid in the development of health management methodologies for condition-based maintenance.","","en","conference paper","DEStech Publications Inc.","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Structural Integrity & Composites","","",""
"uuid:46070c2d-3dc4-4a74-9129-f3726891c027","http://resolver.tudelft.nl/uuid:46070c2d-3dc4-4a74-9129-f3726891c027","PIV analysis of skin friction and coherent structures in turbulent drag reduction regimes","Scarano, F. (TU Delft Aerodynamics); Ujjaini Kempaiah, K. (TU Delft Aerodynamics); Kotsonis, M. (TU Delft Aerodynamics)","","2022","In the present work, planar and tomographic PIV are used to investigate how the organisation of wall turbulence is altered when actuators are operated with the objective of reducing the skin friction drag. When the wall is mechanically oscillated in the spanwise direction, high-resolution planar PIV enable the direct measurement of wall shear and a 15% reduction of skin friction is observed. The use of tomographic PIV enables access to the three dimensional organisation of low- and high-speed streaks, along with ejection events and associated hairpin vortices. These observations help forming a conceptual model of the salient drag reduction mechanism, whereby hairpin auto-generation is inhibited through a tilting action at the tail of low-speed streaks.
The second part of the study documents an effort to surrogate the mechanical oscillation by means of a densely distributed array of AC-DBD plasma actuators. The latter are first characterised in quiescent flow, where the induced velocity distribution is obtained and compared to the solution of the classical second Stokes problem that models the mechanical oscillation. The induced peak of spanwise velocity is found to surpass the velocity of the oscillating wall. However, the wall jet height develops further away from the wall. More importantly, the spatially inhomogeneous distribution of the unsteady body force produces an unwanted lattice of starting streamwise vortices. The latter are deemed to be detrimental for the purpose of drag reduction, compared to the orderly and homogeneous sideways motion induced by mechanical wall motion. The application of the AC-DBD actuator currently leads to a pronounced momentum deficit in the logarithmic region and increases skin friction. Finally, further research directions are anticipated, that potentially circumvent the formation of streamwise vortices by means of AC-DBD actuators operating in steady regime.
4 to 10 5 . The flow behaviour on the blade surface and around the propeller is investigated with oil-flow visualizations and particle image velocimetry. At J = 0.4 and 0.6, vortex shedding from the LSB causes high-frequency noise which appears as a hump in the far-field noise spectra. Forcing the location of the boundary layer transition suppresses the LSB and, consequently, the hump, reducing the noise emission of about 5 and 10 dB at J = 0.4 and 0.6, respectively. The fact that the hump is caused by LSB vortex shedding noise is further assessed by using a semi-empirical noise model; it shows that the hump is constituted by tones of different amplitudes and frequencies, emitted at different spanwise sections along the blade.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-02","","","Wind Energy","","",""
"uuid:9371b6a2-db4b-49f4-8493-1d1f1fb31a6f","http://resolver.tudelft.nl/uuid:9371b6a2-db4b-49f4-8493-1d1f1fb31a6f","Jet-Installation Noise Reduction with Permeable Flaps at In-Flight Conditions","Rego, Leandro (TU Delft Wind Energy); Zamponi, R. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy); Casalino, D. (TU Delft Wind Energy); Cruellas Bordes, M. (German-Dutch Wind Tunnels (DNW))","","2022","An experimental investigation on jet-installation noise reduction with permeable flaps on an aircraft half-model is performed, focusing on the effects on the noise levels as well as on the aerodynamic properties of the model (lift and drag forces). A nozzle with an exit diameter = 113 mm is included for generating a single-stream jet flow in the vicinity of the airframe. Two perforated flaps with different hole distributions are investigated. The first one has a uniform hole distribution with equal hole spacing in both streamwise and spanwise directions, whereas the second one has a gradient permeability with the hole spacing progressively decreasing towards the trailing edge. Aerodynamic force measurements, carried out with a balance, show that the permeable flaps are responsible for a lift reduction in the order of 7%, and a slight drag increase, in the order of 0.5%, with the gradient permeability flap outperforming the uniform one. Flow-field maps indicate that the effect on lift is linked to the formation of side-edge vortices at the spanwise positions corresponding to the discontinuity between solid and porous regions. Acoustic results obtained from phased array measurements show that the source at the flap trailing edge, generated by the interaction with the jet, reduces by 3 dB in amplitude with both permeable flaps, which perform similarly. Therefore, the permeable flaps are able to significantly reduce jet-installation noise for an aircraft configuration in flight conditions, however care must be taken in the design of the permeable structure in order to avoid aerodynamic degradation.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-02","","","Wind Energy","","",""
"uuid:8546fb36-5658-485a-bee8-47e5e67316ea","http://resolver.tudelft.nl/uuid:8546fb36-5658-485a-bee8-47e5e67316ea","Evolved neuromorphic radar-based altitude controller for an autonomous open-source blimp","Gonzalez Alvarez, M. (Student TU Delft); Dupeyroux, J.J.G. (TU Delft Control & Simulation); Corradi, Federico (Stichting IMEC Nederland); de Croon, G.C.H.E. (TU Delft Control & Simulation)","Pappas, George J. (editor); Kumar, Vijay (editor)","2022","Robotic airships offer significant advantages in terms of safety, mobility, and extended flight times. However, their highly restrictive weight constraints pose a major challenge regarding the available computational resources to perform the required control tasks. Neuromorphic computing stands for a promising research direction for addressing such problem. By mimicking the biological process for transferring information between neurons using spikes or impulses, spiking neural networks (SNNs) allow for low power consumption and asynchronous event-driven processing. In this paper, we propose an evolved altitude controller based on an SNN for a robotic airship which relies solely on the sensory feedback provided by an airborne radar. Starting from the design of a lightweight, low-cost, open-source airship, we also present an SNN-based controller architecture, an evolutionary framework for training the network in a simulated environment, and a control strategy for ameliorating the gap with reality. The system's performance is evaluated through real-world experiments, demonstrating the advantages of our approach by comparing it with an artificial neural network and a linear controller. The results show an accurate tracking of the altitude command with an efficient control effort.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Control & Simulation","","",""
"uuid:8cad08a5-2001-46d8-ac8b-ea3eacd18e76","http://resolver.tudelft.nl/uuid:8cad08a5-2001-46d8-ac8b-ea3eacd18e76","An Experimental Study of Wind Resistance and Power Consumption in MAVs with a Low-Speed Multi-Fan Wind System","Olejnik, D.A. (TU Delft Control & Simulation); Wang, S. (TU Delft Control & Simulation); Dupeyroux, J.J.G. (TU Delft Control & Simulation); Stroobants, S. (TU Delft Control & Simulation); Karasek, M. (TU Delft Control & Simulation); de Wagter, C. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation)","Pappas, George J. (editor); Kumar, Vijay (editor)","2022","This paper discusses a low-cost, open-source and open-hardware design and performance evaluation of a low-speed, multi-fan wind system dedicated to micro air vehicle (MAV) testing. In addition, a set of experiments with a flapping wing MAV and rotorcraft is presented, demonstrating the capabilities of the system and the properties of these different types of drones in response to various types of wind. We performed two sets of experiments where a MAV is flying into the wake of the fan system, gathering data about states, battery voltage and current. Firstly, we focus on steady wind conditions with wind speeds ranging from 0.5 m S-1 to 3.4 m S-1. During the second set of experiments, we introduce wind gusts, by periodically modulating the wind speed from 1.3 m S−1 to 3.4 m S−1 with wind gust oscillations of 0.5 Hz, 0.25 Hz and 0.125 Hz. The “Flapper” flapping wing MAV requires much larger pitch angles to counter wind than the “CrazyFlie” quadrotor. This is due to the Flapper's larger wing surface. In forward flight, its wings do provide extra lift, considerably reducing the power consumption. In contrast, the CrazyFlie's power consumption stays more constant for different wind speeds. The experiments with the varying wind show a quicker gust response by the CrazyFlie compared with the Flapper drone, but both their responses could be further improved. We expect that the proposed wind gust system will provide a useful tool to the community to achieve such improvements.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Control & Simulation","","",""
"uuid:ac4647ce-965a-4cd7-9576-1878ef0584bf","http://resolver.tudelft.nl/uuid:ac4647ce-965a-4cd7-9576-1878ef0584bf","The Potential Impact of Electric Aircraft Taxiing: A Probabilistic Analysis and Fleet Assignment Optimization","Groot, M.G.W. (Student TU Delft); Roling, P.C. (TU Delft Air Transport & Operations)","","2022","On-board electric motors can be used to drastically reduce the fuel usage during the taxiing phase of aircraft, leading to cost reductions for airlines and lower amounts of harmful emissions. This study analyses the current state of this innovation and its potential impact on aviation. On a global level, full adoption of electric aircraft taxiing is expected to cause a reduction in jet fuel usage of 846 million kg per year, equivalent to 186 million euros of reduced costs and 2.67 million tonnes of carbon dioxide emissions. This results in a reduction of 0.3% of the total global carbon dioxide emissions of the aviation sector. Locally, airports and their surroundings will benefit significantly from the reduced emissions, because a substantial fraction of airport emissions are due to the taxiing phase. Analysis of the effect of electric aircraft taxiing to key stakeholders such as airlines shows that American airlines would reap substantially larger benefits than European competitors because of consistently higher taxi times in the United States. Low-cost carriers are expected to see smaller impact than traditional hub-and-spoke airlines, due to short taxi times in the secondary airports they predominantly fly to. KLM could save 17.3 million kg of jet fuel annually, representing a cost of 3.8 million euros, which would potentially increase profits by 3%, and a carbon dioxide emission of 55 million kg. Since the road to full adoption is still long, a strategic analysis of the fleet shows the marginal yearly cost reduction per installed electric taxiing system starts at 82 thousand euros for the first product, which reduces to 10 thousand after 100 systems have been installed. Especially the flights between Amsterdam and London, Paris and Manchester should be assigned to aircraft with electric taxiing systems, because these flights would have the most impact given their relatively low flight distance and high taxi times.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Air Transport & Operations","","",""
"uuid:992f0923-0ed2-4af1-9b4f-d9b80339cfb9","http://resolver.tudelft.nl/uuid:992f0923-0ed2-4af1-9b4f-d9b80339cfb9","Flexible Runway Scheduling for Complex Runway Systems: Using a Multi-Objective Optimization","Abbenhuis, A.W. (Student TU Delft); Roling, P.C. (TU Delft Air Transport & Operations)","","2022","Runway usage at complex airports is currently prescribed by a preference list focusing on minimizing noise and providing a manageable flow for ATC. However, fuel burn and the demand of flight is not considered. This study proposes a flexible runway scheduling model and is an improvement of the current flexible runway allocation model. The model is able to assign continuous delay to the scheduled flights and by changing the decision variables a new separation constraint is proposed to accurately model complex runway dependencies. A multiobjective optimization is performed for fuel burn and noise disturbance using Mixed-Integer Linear Programming (MILP). The model is tested on Amsterdam Airport Schiphol (AAS) for different scenarios. A fuel reduction of up to 7% is possible depending on the operational peak and O/D data. At the same time, noise violations are limited in the vicinity of the airport. This provides the opportunity to expand operations while complying with local noise regulations. Furthermore, the model can be used to explore operating strategies for different objectives for every runway configuration.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Air Transport & Operations","","",""
"uuid:5c3d87d9-35ad-4870-9d37-30da25b934e4","http://resolver.tudelft.nl/uuid:5c3d87d9-35ad-4870-9d37-30da25b934e4","A Tabu Search Algorithm for the Optimization of the Long Term Parking of Aircraft","O'Callaghan De Jonghe, V.E. (Student TU Delft); Roling, P.C. (TU Delft Air Transport & Operations)","","2022","The 2020 coronavirus pandemic lead to a virtual standstill of air passenger traffic in the spring of that same year. While some travel restrictions have since been lifted, passenger air travel is not expected to return to pre-coronavirus levels for several years. Then the question arises of how to park the large amounts of grounded aircraft efficiently, minimizing valuable airport space used. While aircraft parking for this purpose is a largely unexplored area in academic literature, the problem shows similarities with cutting and packing problems which have been researched for many years. Hence, the proposed model in the paper is modelled similar to that of the irregular strip packing model, where a fixed width is used and the length of the parking layout is to be minimized. Aircraft are represented as non-convex polygons and are allowed to rotate in discrete intervals. The concept of the no-fit polygon (NFP) is used in order to prevent overlap between aircraft. A tabu search algorithm with an adaptive tabu list is proposed in order to optimize the sequence and orientations in which the aircraft are placed onto the placement area using a bottom-left (BL) placement strategy. In order to evaluate the effectiveness of the proposed algorithm, several instances are created and tested using computational experiments.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Air Transport & Operations","","",""
"uuid:1c701e47-ed30-41c1-9300-aa6e586d2f6b","http://resolver.tudelft.nl/uuid:1c701e47-ed30-41c1-9300-aa6e586d2f6b","Designing Recurrent and Graph Neural Networks to Predict Airport and Air Traffic Network Delays","Sun, Junzi (TU Delft Control & Simulation); Dijkstra, T.L.E. (Student TU Delft); Aristodemou, K. (Student TU Delft); Buzeţelu, V.S. (Student TU Delft); Falat, T. (Student TU Delft); Hogenelst, T.G. (Student TU Delft); Prins, N. (Student TU Delft); Slijper, B.C. (Student TU Delft)","Lovell, Dave (editor)","2022","In this paper, we propose open machine learning models that can provide airport delay predictions in a network with an error of around or less than five minutes. Due to the complexity of different components of air traffic networks, traditional flight performance model-based predictions fall short when dealing with numerous flights and often are not able to deal with delays that propagate among airports in a network. In this study, we employ three different machine learning models to predict delays at three different scopes: individual flights, airports, and the network of airports. Consequently, we tested three approaches with different levels of complexity, including statistical regression models, recurrent neural networks, and spatial-temporal graph attention neural networks. We conduct experiments for all three types of models using the Eurocontrol research data archive. After training and testing with two years of data covering the top 50 European airports, our models produce prediction errors of around or less than 5 minutes with look-ahead time up to 3 hours. These metrics have shown a significant advancement compared to existing prediction models. We also openly share this model to support open science in aviation.","flight delay; airport delay propagation; random forest; recurrent neural network; graph attention neural network","en","conference paper","FAA & Eurocontrol","","","","","","","","","","Control & Simulation","","",""
"uuid:27adc6c1-289d-427f-a228-62ec13fd2061","http://resolver.tudelft.nl/uuid:27adc6c1-289d-427f-a228-62ec13fd2061","Quantum Computation and Simulation - Spins Inside","Vandersypen, L.M.K. (TU Delft QuTech Advanced Research Centre; TU Delft QN/Vandersypen Lab; Kavli institute of nanoscience Delft)","","2022","Quantum computation has captivated the minds of many for almost two decades. For much of that time, it was seen mostly as an extremely interesting scientific problem. In the last few years, we have entered a new phase as the belief has grown that a large-scale quantum computer can actually be built. Quantum bits encoded in the spin state of individual electrons in silicon quantum dot arrays, have emerged as a highly promising direction [1]. In this talk, I will present our vision of a large-scale spin-based quantum processor, and ongoing work to realize this vision.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-21","","","QN/Vandersypen Lab","","",""
"uuid:9f58f429-1964-4174-9eca-e1c18b488c79","http://resolver.tudelft.nl/uuid:9f58f429-1964-4174-9eca-e1c18b488c79","Using human-in-the-loop and explainable AI to envisage new future work practices","Tsiakas, K. (TU Delft Human Information Communication Design); Murray-Rust, D.S. (TU Delft Human Information Communication Design)","","2022","In this paper, we discuss the trends and challenges of the integration of Artificial Intelligence (AI) methods in the workplace. An important aspect towards creating positive AI futures in the workplace is the design of fair, reliable and trustworthy AI systems which aim to augment human performance and perception, instead of replacing them by acting in an automatic and non-transparent way. Research in Human-AI Interaction has proposed frameworks and guidelines to design transparent and trustworthy human-AI interactions. Considering such frameworks, we discuss the potential benefits of applying human-in-the-loop (HITL) and explainable AI (XAI) methods to define a new design space for the future of work. We illustrate how such methods can create new interactions and dynamics between human users and AI in future work practices.","Explainable AI; Future of Work; Human-AI Interaction; Human-in-the-Loop","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Human Information Communication Design","","",""
"uuid:b70d083a-7557-4d8e-9828-b9a7408c72dc","http://resolver.tudelft.nl/uuid:b70d083a-7557-4d8e-9828-b9a7408c72dc","MLSmellHound: A Context-Aware Code Analysis Tool","Kannan, Jai (Deakin University); Barnett, Scott (Deakin University); Cruz, Luis (TU Delft Software Engineering); Simmons, Anj (Deakin University); Agarwal, Akash (Deakin University)","","2022","Meeting the rise of industry demand to incorporate machine learning (ML) components into software systems requires interdisciplinary teams contributing to a shared code base. To maintain consistency, reduce defects and ensure maintainability, developers use code analysis tools to aid them in identifying defects and maintaining standards. With the inclusion of machine learning, tools must account for the cultural differences within the teams which manifests as multiple programming languages, and conflicting definitions and objectives. Existing tools fail to identify these cultural differences and are geared towards software engineering which reduces their adoption in ML projects. In our approach we attempt to resolve this problem by exploring the use of context which includes i) purpose of the source code, ii) technical domain, iii) problem domain, iv) team norms, v) operational environment, and vi) development lifecycle stage to provide contextualised error reporting for code analysis. To demonstrate our approach, we adapt Pylint as an example and apply a set of contextual transformations to the linting results based on the domain of individual project files under analysis. This allows for contextualised and meaningful error reporting for the end user.","code smells; context-aware; Machine learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-02","","","Software Engineering","","",""
"uuid:e63eea42-ff83-468c-8c56-c2fec73fddd9","http://resolver.tudelft.nl/uuid:e63eea42-ff83-468c-8c56-c2fec73fddd9","LUMIO: A CubeSat to Monitor Micro-meteroid Impacts on the Lunar Farside","Turan, E. (TU Delft Space Systems Egineering); Speretta, S. (TU Delft Space Systems Egineering); Cervone, A. (TU Delft Space Systems Egineering); Menicucci, A. (TU Delft Space Systems Egineering); Topputo, Francesco (Politecnico di Milano); Franzese, Vittorio (Politecnico di Milano); Giordano, Carmine (Politecnico di Milano); Merisio, Gianmario (Politecnico di Milano); Di Lizia, Pierluigi (Polytecnico di Milano)","","2022","The Lunar Meteoroid Impact Observer (LUMIO) is a CubeSat mission at the Earth-Moon Lagrangian point 2 (L2) designed to observe, quantify, and characterize the meteoroid impacts by detecting their flashes on the Lunar farside. LUMIO can be deployed as one of the payloads in the NASA Commercial Lunar Payload System or from Artemis-2 mission to a low Lunar orbit and to demonstrate autonomous navigation capabilities to reach its operational orbit around the Earth-Moon L2. From there, its scientific mission to map and investigate the spatial and temporal characteristics of meteoroids impacting the Lunar surface will start and is expected to last for one year. LUMIO is a 12U CubeSat including a dedicated camera to monitor impact flashes in the visible and near-infrared spectrum, and also allows estimating the impact of temperature and energy. Optical navigation using the payload camera will also demonstrate increased on-board autonomy and drastically reduced mission costs. Navigation validation will be carried out using standard ground-based radiometric techniques enabled by a miniaturized X-band coherent transponder on-board. LUMIO can also use an inter-satellite link for telemetry and control via a commercial Lunar data relay system, providing a redundant communication system and lowering the need for high-gain ground stations for routine operations. The satellite bus derives from a commercial version designed for Low Earth Orbit and it will feature several improvements to operate in the Lunar environment, including a more advanced thermal control and radiation shielding. Commercial Off-The-Shelf systems will require a radiation screening and this will contribute to maintain the mission budget low and aim at a launch date in 2024.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Space Systems Egineering","","",""
"uuid:ae8f54ae-192b-42bc-b1e8-6fb388a2c94c","http://resolver.tudelft.nl/uuid:ae8f54ae-192b-42bc-b1e8-6fb388a2c94c","Autonomous Navigation Performance of Cislunar Orbits considering High Crosslink Measurement Errors","Turan, E. (TU Delft Space Systems Egineering); Speretta, S. (TU Delft Space Systems Egineering); Gill, E.K.A. (TU Delft Space Systems Egineering)","","2022","This study investigates the application of the Linked Autonomous Interplanetary Satellite Orbit Navigation (LiAI-SON) technique for multiple small spacecraft in cislunar orbits considering high inter-satellite range measurement errors. The LiAISON method provides an autonomous orbit determination solution using crosslink measurements such as range, and/or range-rate. Inter-satellite ranging can be done via conventional tone or code based methods. Considering the limited on-board transmission power available on small satellites, ranging and data transfer, required to cope with the limited contact time, introduce further observable degradation and limiting performance. For such cases, and to increase the supported data rates, telemetry ranging and time-derived ranging architectures can be used. Unfortunately, in time-derived methods, measurements are not as accurate as using other methods, limiting the ap-plicability of such technique only to few missions. This paper presents a simulation based analysis to understand the limits of LiAISON for a multi-spacecraft mission at the Earth-Moon L1, L2 Halo and Lunar orbits considering high inter-satellite measurements errors due to time-derived and telemetry-based ranging methods and without Doppler measurements. This is specifically targeted at small satellites with limited power budgets and radio links lacking coherent Doppler tracking. The simulation results show that the LiAISON-based autonomous orbit determination works well for configurations of cislunar orbits, having the link between Lagrangian and Lunar orbits, even with high crosslink ranging errors.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Space Systems Egineering","","",""
"uuid:464df924-f824-4c27-8607-9152df4c6d41","http://resolver.tudelft.nl/uuid:464df924-f824-4c27-8607-9152df4c6d41","S-QUERY: Opening the Black Box of Internal Stream Processor State","Verheijde, Jim (Student TU Delft); Karakoidas, Vassilios (Delivery Hero SE); Fragkoulis, M. (TU Delft Web Information Systems); Katsifodimos, A (TU Delft Web Information Systems)","O'Conner, L. (editor)","2022","Distributed streaming dataflow systems have evolved into scalable and fault-tolerant production-grade systems. Their applicability has departed from the mere analysis of streaming windows and complex-event processing, and now includes cloud applications and machine learning inference. Although the advancements in the state management of streaming systems have contributed significantly to their maturity, the internal state of streaming operators has been so far hidden from external applications. However, that internal state can be seen as a materialized view that can be used for analytics, monitoring, and debugging. In this paper we argue that exposing the internal state of streaming systems to outside applications by making it queryable, opens the road for novel use cases. To this end, we introduce S-QUERY: an approach and reference architecture where the state of stream processors can be queried - either live or through snapshots, achieving different isolation levels. We show how this new capability can be implemented in an existing open-source stream processor, and how queryable state can affect the performance of such a system. Our experimental evaluation suggests that the snapshot configuration adds only up to 8ms latency in the 99.99thpercentile and negligible increase in 0-90thpercentiles.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Web Information Systems","","",""
"uuid:a5742973-0be3-4aa0-b9b0-29a40a73cca7","http://resolver.tudelft.nl/uuid:a5742973-0be3-4aa0-b9b0-29a40a73cca7","Design Trade-Offs Between the Coupled Coils’ Inductance and the Series-Series Compensation Capacitance for EV Wireless Charging Systems","Grazian, F. (TU Delft DC systems, Energy conversion & Storage); Soeiro, Thiago B. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","Nowadays, inductive power transfer (IPT) with magnetic resonance is the most used method for high-power wireless battery charging applications. Once the topology of the compensation network and the operating frequency are selected, there are infinite combinations of the circuit equivalent inductance and compensation capacitance values resonating at that frequency. Choosing an appropriate ratio between these passive devices is essential to meet the target output power while ensuring that the required DC input and output voltages are found within the permitted range limited by the power source and the battery load. This paper proposes design trade-offs for selecting the optimum ratio between the inductance and capacitance in IPT systems with series-series compensation applicable to any power level. First, the target mutual inductance must be computed. Based on that, the coupled coils are designed depending on the physical constraints. An example is provided considering a 3.7 kW wireless charging system for electric vehicles (EVs) where different coils’ combinations are analyzed through the finite element method. The most suitable design is implemented, achieving or the application a relatively high measured peak DC-to-DC efficiency of about 96.24% at 3.28kW while the coils are aligned with 11cm distance. The required power is delivered at different battery voltages and coils’ alignments by regulating the DC input voltage.","Electric vehicles; inductive power transfer; series-series compensation network; wireless charging","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:4c5b1846-860d-4af7-bdc4-da31335ac3ee","http://resolver.tudelft.nl/uuid:4c5b1846-860d-4af7-bdc4-da31335ac3ee","Structured Sensing Matrix Design for In-sector Compressed mmWave Channel Estimation","Masoumi, H. (TU Delft Team Nitin Myers); Myers, N.J. (TU Delft Team Nitin Myers); Leus, G.J.T. (TU Delft Signal Processing Systems); Wahls, S. (TU Delft Team Sander Wahls); Verhaegen, M.H.G. (TU Delft Team Michel Verhaegen)","","2022","Fast millimeter wave (mmWave) channel estimation techniques based on compressed sensing (CS) suffer from low signal-to-noise ratio (SNR) in the channel measurements, due to the use of wide beams. To address this problem, we develop an in-sector CS-based mmWave channel estimation technique that focuses energy on a sector in the angle domain. Specifically, we construct a new class of structured CS matrices to estimate the channel within the sector of interest. To this end, we first determine an optimal sampling pattern when the number of measurements is equal to the sector dimension and then use its subsampled version in the sub-Nyquist regime. Our approach results in low aliasing artifacts in the sector of interest and better channel estimates than benchmark algorithms.","Sparse recovery; mm-Wave; channel estimation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-28","","","Team Nitin Myers","","",""
"uuid:53488953-823f-47e2-8999-9988c3ad4cc2","http://resolver.tudelft.nl/uuid:53488953-823f-47e2-8999-9988c3ad4cc2","Memory-Disaggregated In-Memory Object Store Framework for Big Data Applications","Abrahamse, Robin (Student TU Delft); Hadnagy, A. (TU Delft Computer Engineering); Al-Ars, Z. (TU Delft Computer Engineering)","O'Conner, L. (editor)","2022","The concept of memory disaggregation has recently been gaining traction in research. With memory disaggregation, data center compute nodes can directly access memory on adjacent nodes and are therefore able to overcome local memory restrictions, introducing a new data management paradigm for distributed computing. This paper proposes and demonstrates a memory disaggregated in-memory object store framework for big data applications by leveraging the newly introduced Thymes-isFlow memory disaggregation system. The framework extends the functionality of the pre-existing Apache Arrow Plasma object store framework to distributed systems by enabling clients to easily and efficiently produce and consume data objects across multiple compute nodes. This allows big data applications to increasingly leverage parallel processing at reduced development costs. In addition, the paper includes latency and throughput measurements that indicate only a modest performance penalty is incurred for remote disaggregated memory access as opposed to local (~6.5 vs ~5.75 GiB/s). The results can be used to guide the design of future systems that leverage memory disaggregation as well as the newly presented framework. This work is open-source and publicly accessible at https://doi.org/10.5281/zenodo.6368998.","Memory Disaggregation; Apache Arrow Plasma; ThymesisFlow","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Computer Engineering","","",""
"uuid:6593d6ca-f194-4a29-86f0-a60a26b9b86a","http://resolver.tudelft.nl/uuid:6593d6ca-f194-4a29-86f0-a60a26b9b86a","HERMES Radio: Energy and Spectral Efficient Transmitter architectures for small satellites","Karunanithi, Visweswaran (TU Delft Electronics; Innovative Solutions in Space); Verhoeven, C.J.M. (TU Delft Electronics); Silveira Vaucher, C. (TU Delft Electronics; NXP Semiconductors)","","2022","As the complexity of nanosatellite missions have increased over time, the data generated on-board nanosatellites have increased multiple folds. As a result, there is a need to downlink large amounts of data. Multiple nanosatellite missions have started using spectral efficient modulation schemes recommended in DVB.S2 and DVB.S2X to make the best use of the available spectrum. One of the main challenges in adopting higher order modulation schemes is to power-efficiently upconvert and amplify the baseband signals. All the lost efficiency in converting the DC power to the RF output is dissipated as heat and the relatively small thermal mass of nanosatellites poses thermal management challenges. As a first step to addressing the challenge of improving the power efficiency of the communication module, optimization techniques to improve the Peak to Average Power Ratio (PAPR) of the modulation schemes (16/32-APSK) are discussed in this paper. The PAPR of 16-APSK reduces by ~2 dB by incorporating filtering techniques discussed in this paper. Further, a well-known efficiency and linearity enhancement technique; Out-phasing/LINC (Linear Amplification using Non-linear Components) is discussed. As a variant of the out-phasing architecture, a novel approach is proposed using two circularly polarized antenna to transmit the constant envelope signals in opposite polarizations and signal combining is performed at the receiver. Simulations results are used to demonstrate how higher efficiencies can be achieved using the proposed architecture.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:e055248f-0502-4888-9b95-0b3e19c8ed28","http://resolver.tudelft.nl/uuid:e055248f-0502-4888-9b95-0b3e19c8ed28","Switched Control Strategy for Robust Formation Flight with HIL and In-Flight Validation","Ambroziak, L. (Bialystok University of Technology); Kownacki, C. (Bialystok University of Technology); Simha, A. (TU Delft Embedded Systems)","","2022","In recent times, Unmanned Aerial Vehicles (UAVs) have been intensively studied for a wide range of military and civil applications such as surveillance and reconnaissance missions, agriculture, traffic monitoring, pollution control, meteorological data collection, pipeline survey, early fire detection, rescue missions, wildlife population monitoring, etc. The above tasks can be carried out faster and more efficiently by a group of UAVs flying in formation. This paper presents the application of two stage switching controller in autonomous formation flight of UAVs based on the leader follower configuration. The constructed UAV airframe, as well as the autopilot, formation flight control unit and wireless communication link for data sharing between UAVs were presented and described. The advantages of the switched control were demonstrated in terms of a significantly larger region of stability in maintaining formation, as opposed to the standard, one stage control laws with classical compensators. Hardware In The Loop (HIL) tests of proposed formation flight control were performed, during which the inertial states and flight parameters were logged. The HIL test rig enabled us to verify the formation flight control performance which was further substantiated by actual flight tests.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Embedded Systems","","",""
"uuid:b990d1db-c8ee-45b4-af4c-7ce35cec5978","http://resolver.tudelft.nl/uuid:b990d1db-c8ee-45b4-af4c-7ce35cec5978","Coils’ Current Distortion Due to Variable Series Compensation Capacitance in EV Wireless Charging for a Constant Optimum Load","Grazian, F. (TU Delft DC systems, Energy conversion & Storage); Shi, W. (TU Delft DC systems, Energy conversion & Storage); Soeiro, Thiago B. (TU Delft DC systems, Energy conversion & Storage); Dong, J. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","When considering EV wireless charging that uses inductive power transfer with magnetic resonance, the coils’ current distortion must be minimized to guarantee compliance with the electromagnetic compatibility limits on the radiated magnetic field set by the relevant industrial standards. This paper analyzes the current distortion caused by switch-controlled capacitors (SCCs) used as series compensation to achieve constant optimum load (COL) matching at different coils’ alignments. First, the proposed COL charging method is explained where the SCCs have either the half-wave or the full-wave modulation. Their impact on the measured coils’ current distortion has been analyzed up to 30MHz by computing the fast Fourier transform (FFT). Additionally, the currents’ FFT from the half-wave modulation has been compared to those resulting from the conventional series-series compensation with fixed capacitance. The SCCs using the half-wave modulation result in the highest total-lumped distortion. However, the individual amplitudes corresponding to the critical frequencies of the radiated magnetic field’s limit from SAE J2954 are comparable or lower than those resulting from the other implementations. Finally, the radiated magnetic field resulting from each strategy has been evaluated using the finite element method. All results are well within the SAE J2954 recommended limits at 10 m. Moreover, a minimum distance of 25 cm from the outer sides of the coupled coils ensures a safe exposure to both the general public and implanted medical devices according to the ICNIRP reference levels.","Current distortion; electric vehicles; fast Fourier transform; switch-control capacitance; wireless charging","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-17","","","DC systems, Energy conversion & Storage","","",""
"uuid:8dbe0173-aef8-4954-ab4d-a5e8915cfd17","http://resolver.tudelft.nl/uuid:8dbe0173-aef8-4954-ab4d-a5e8915cfd17","A 10-b 330nW Third-Order Predictive SAR ADC Dedicated to Neural Recording Brain Implants","Namavar, Mohsen (K.N. Toosi University of Technology); Lotfi, R. (TU Delft Bio-Electronics; Ferdowsi University of Mashhad); Sodagar, Amir M. (York University)","","2022","This paper reports on a predictive analog-todigital converter (ADC). The proposed ADC employs a linear predictive filter to prepare a prediction for the current sample based on the values of the previous digital codes. This leads to significant reduction in the mean bit cycle of the converter. It is shown in this work that this idea is significantly more effective for the digitization of biological signals (e.g., intra-cortical neural signals). Compared with other similar techniques available in the literature, the proposed predictive ADC is significantly more successful for small signal-to-noise ratios. The proposed algorithm results in 48% and 37% reduction in the converter’s mean bit cycle compared with the conventional and LSB-first structures, respectively. Designed and post-layout simulated in a 90-nm standard CMOS technology and operated at 200 kS/s with a supply voltage of 0.4 V, the 10-bit predictive ADC consumes 330 nW. The circuit occupies a core area of 0.025 mm2, achieves an ENOB of 9.42 bits, a figure-of-merit of 2.4 fJ/conv.-step, and an SFDR of 65.8 dB. The DNL and INL of the circuit are within 0.45 LSB and 0.56 LSB, respectively.","SAR ADC; neural-specific ADC; predictive ADC; linear predictive filter","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-Electronics","","",""
"uuid:2d80cc65-d7ea-4a5f-a2e0-191acd31c708","http://resolver.tudelft.nl/uuid:2d80cc65-d7ea-4a5f-a2e0-191acd31c708","A multiple spiking neural network architecture based on fuzzy intervals for anomaly detection: a case study of rail defects","Phusakulkajorn, W. (TU Delft Railway Engineering); Hendriks, J.M. (TU Delft Railway Engineering); Moraal, J. (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering)","","2022","In this paper, a fuzzy interval-based method is proposed for solving the problem of rail defect detection relying on an on-board measurement system and a multiple spiking neural network architecture. Instead of outputting binary values (defect or not defect), all data will belong to both classes with different spreads that are given by two fuzzy intervals. The multiple spiking neural networks are used to capture different sources of uncertainties. In this paper, we consider uncertainties in the parameters of spiking neural networks during the training phase. The proposed method comprises two steps. In the first step,
multiple sets of the firing times for both classes are obtained from multiple spiking neural networks. In the second step, the obtained multiple sets of firing times are fuzzy numbers and they are used to construct fuzzy intervals. The proposed method is showcased with the problem of rail defect detection. The
numerical analysis indicates that the fuzzy intervals are suitable to make use of the information provided by the multiple spike neural networks. Finally, with the proposed method, we improve the interpretability of the decision making regarding the detection of anomalies.","spiking neural network; parameter uncertainty; prediction interval; interpretability; anomaly detection","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Railway Engineering","","",""
"uuid:b7ec1fcd-fb30-4fcd-9c42-172bc3f9cefa","http://resolver.tudelft.nl/uuid:b7ec1fcd-fb30-4fcd-9c42-172bc3f9cefa","Evolutionary neural cascade search across supernetworks","Chebykin, Alexander (Centrum Wiskunde & Informatica (CWI)); Alderliesten, T. (Leiden University Medical Center); Bosman, P.A.N. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","","2022","To achieve excellent performance with modern neural networks, having the right network architecture is important. Neural Architecture Search (NAS) concerns the automatic discovery of task-specific network architectures. Modern NAS approaches leverage super-networks whose subnetworks encode candidate neural network architectures. These subnetworks can be trained simultaneously, removing the need to train each network from scratch, thereby increasing the efficiency of NAS. A recent method called Neural Architecture Transfer (NAT) further improves the efficiency of NAS for computer vision tasks by using a multi-objective evolutionary algorithm to find high-quality subnetworks of a supernetwork pretrained on ImageNet. Building upon NAT, we introduce ENCAS - - Evolutionary Neural Cascade Search. ENCAS can be used to search over multiple pretrained supernetworks to achieve a trade-off front of cascades of different neural network architectures, maximizing accuracy while minimizing FLOPs count. We test ENCAS on common computer vision benchmarks (CIFAR-10, CIFAR-100, ImageNet) and achieve Pareto dominance over previous state-of-the-art NAS models up to 1.5 GFLOPs. Additionally, applying ENCAS to a pool of 518 publicly available ImageNet classifiers leads to Pareto dominance in all computation regimes and to increasing the maximum accuracy from 88.6% to 89.0%, accompanied by an 18% decrease in computation effort from 362 to 296 GFLOPs.","AutoML; Computer Vision; Deep Learning; Evolutionary Computation; Neural Architecture Search","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Algorithmics","","",""
"uuid:5195a292-4d0f-4167-b0a5-cbc6df8d63a5","http://resolver.tudelft.nl/uuid:5195a292-4d0f-4167-b0a5-cbc6df8d63a5","Evolvability degeneration in multi-objective genetic programming for symbolic regression","Liu, D. (Centrum Wiskunde & Informatica (CWI)); Virgolin, Marco (Centrum Wiskunde & Informatica (CWI)); Alderliesten, T. (Leiden University Medical Center); Bosman, P.A.N. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","","2022","Genetic programming (GP) is one of the best approaches today to discover symbolic regression models. To find models that trade off accuracy and complexity, the non-dominated sorting genetic algorithm II (NSGA-II) is widely used. Unfortunately, it has been shown that NSGA-II can be inefficient: in early generations, low-complexity models over-replicate and take over most of the population. Consequently, studies have proposed different approaches to promote diversity. Here, we study the root of this problem, in order to design a superior approach. We find that the over-replication of low complexity-models is due to a lack of evolvability, i.e., the inability to produce offspring with improved accuracy. We therefore extend NSGA-II to track, over time, the evolvability of models of different levels of complexity. With this information, we limit how many models of each complexity level are allowed to survive the generation. We compare this new version of NSGA-II, evoNSGA-II, with the use of seven existing multi-objective GP approaches on ten widely-used data sets, and find that evoNSGA-II is equal or superior to using these approaches in almost all comparisons. Furthermore, our results confirm that evoNSGA-II behaves as intended: models that are more evolvable form the majority of the population. Code: https://github.com/dzhliu/evoNSGA-II","evolvability; genetic programming; multi-objective optimization; Symbolic regression","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Algorithmics","","",""
"uuid:6dcc4963-eb72-4f30-be0d-14277f2bc54a","http://resolver.tudelft.nl/uuid:6dcc4963-eb72-4f30-be0d-14277f2bc54a","Solving multi-structured problems by introducing linkage kernels into GOMEA","Guijt, Arthur (Centrum Wiskunde & Informatica (CWI)); Thierens, Dirk (Universiteit Utrecht); Alderliesten, T. (Leiden University Medical Center); Bosman, P.A.N. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","","2022","Model-Based Evolutionary Algorithms (MBEAs) can be highly scalable by virtue of linkage (or variable interaction) learning. This requires, however, that the linkage model can capture the exploitable structure of a problem. Usually, a single type of linkage structure is attempted to be captured using models such as a linkage tree. However, in practice, problems may exhibit multiple linkage structures. This is for instance the case in multi-objective optimization when the objectives have different linkage structures. This cannot be modelled sufficiently well when using linkage models that aim at capturing a single type of linkage structure, deteriorating the advantages brought by MBEAs. Therefore, here, we introduce linkage kernels, whereby a linkage structure is learned for each solution over its local neighborhood. We implement linkage kernels into the MBEA known as GOMEA that was previously found to be highly scalable when solving various problems. We further introduce a novel benchmark function called Best-of-Traps (BoT) that has an adjustable degree of different linkage structures. On both BoT and a worst-case scenario-based variant of the well-known MaxCut problem, we experimentally find a vast performance improvement of linkage-kernel GOMEA over GOMEA with a single linkage tree as well as the MBEA known as DSMGA-II.","Evolutionary Algorithms; Kernels; Linkage Learning; Local Neighborhood","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Algorithmics","","",""
"uuid:0782fd6a-85e4-40cf-a099-8b4b654dff82","http://resolver.tudelft.nl/uuid:0782fd6a-85e4-40cf-a099-8b4b654dff82","Integrating technology, education and practice to change energy behaviours in schools","Doherty, Edelle (National University of Ireland Galway); Brychkov, Dmitry (National University of Ireland Galway); Romero Herrera, N.A. (TU Delft Design Conceptualization and Communication); McLoughlin, Eilish (Dublin City University); Roudil, Nadine (École Nationale Supérieure d’Architecture de Paris Val de Seine); Smit, Sander (R2M Solution, London); Maas, Stefan (University of Luxembourg); Gauthier, Florence (Regional Council of Center-Val de Loire); Clifford, Eoghan (National University of Ireland Galway); Delmonte, Branca Arthur (University of Luxembourg)","","2022","Schools are learning communities where multiple stakeholders can collaborate to learn about energy efficiency, including via formal curricula, non-formal learning and day-to-day practices. Furthermore, by improving energy literacy among building occupants, the energy efficiency of schools can be improved. However, turning schools into learning communities rather than learning organizations is still problematic. This article details a case study realised in the form of the ENERGE project, which integrates technological, educational and practical activities in 13 post-primary schools from 6 European countries. Owing to an extensive collaboration of diverse stakeholders, the ENERGE project resulted in the origination of a learning community around energy efficiency in the schools. The outcomes of building a learning community within the ENERGE project included: capacity building (in the form of the ENERGE Committees and Teacher Network), the introduction of digital education (via the ENERGE digital platform), development of curriculum-based modules to raise energy literacy, and the establishment of a viable model for expanding ENERGE experience to other schools. The article concludes by explaining the benefits of the ENERGE approach for stakeholders.","Business model; energy; energy literacy; digital platform; learning community","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:41fa0aeb-eeb2-46f1-9013-fd7ef70504ac","http://resolver.tudelft.nl/uuid:41fa0aeb-eeb2-46f1-9013-fd7ef70504ac","Improving the indoor / outdoor ratio of (ultra)fine particles in a school","Van Dijken, Froukje (BBA Binnenmilieu); te Kulve, Marije (BBA Binnenmilieu); Ursem, W.N.J. (TU Delft BT/Botanical Garden Delft)","","2022","Buildings located close to busy roads, industry or stock farms, are of risk of increased indoor particle concentrations, which negatively impacts the health of the building occupants. In order to reduce the exposure of the building occupants, it is important to take measures to reduce the concentration of particulate matter indoors. Solutions for existing buildings include application of improved filters in the air handling units, using local air cleaners and limit the use of operable windows. However, little is known about the overall effectiveness of these measures in existing buildings that are in use. The aim of our study was to quantify the effectiveness of particle reducing measures in buildings at high traffic locations. We performed a field study in a school in a neighbourhood between highways. In this school the effect of improved filters in the air handling unit, a HEPA filter at room level as well as the combination of both interventions on the particle concentrations indoors were studied. We quantified the effect of the interventions by momentary measurements of PM2,5 and ultrafine particles (≥4 nm). Moreover, PM2.5 was continuously measured outdoors and indoors. The ePM1 85% filters in the AHU seemed effective on the reduction of (ultrafine) particles (nearly 75% reduction of PM2,5). The use of a HEPA filter was not effective in our test situation.","PM2.5; ultrafine particles; filter; classroom","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","BT/Botanical Garden Delft","","",""
"uuid:9886ff9f-00cd-4b33-a61a-7ec10fbfd6f0","http://resolver.tudelft.nl/uuid:9886ff9f-00cd-4b33-a61a-7ec10fbfd6f0","From requirements to a research agenda for governments governing reuse of critical raw materials in the circular economy","van Engelenburg, S.H. (TU Delft Information and Communication Technology); Rukanova, B.D. (TU Delft Information and Communication Technology); Ubacht, J. (TU Delft Information and Communication Technology); Tan, F.S. (Circular Symbiosis); Tan, Y. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Information and Communication Technology)","Hagen, Loni (editor); Solvak, Mihkel (editor); Hwang, Sungsoo (editor)","2022","Governmental organisations use a diversity of policy instruments for sustainability goals. In the field of materials, they aim to advance the reuse of materials on the one hand. On the other hand, they also want to control critical raw materials (CRMs) to protect society against scarcity. Information sharing is required to monitor for both objectives. Research into information sharing for the circular economy mainly focuses on using ICT to follow entire products, such as digital product passports. However, research into information sharing for reuse flows and monitoring at the level of materials is limited so far. Therefore, in this paper, we derive the following requirements for information sharing to support the monitoring of materials and CRMs in particular: 1) businesses and government organisations should have access to the complete history of materials; 2) businesses should be able to share information on materials between different supply chains and industries; 3) information on materials should be reliable and tamper-resistant; 4) governments should be able to obtain a complete overview of the pool of CRMs in circulation and of who is responsible for them; 5) the system supporting the information sharing on materials should be highly robust and should not have a single locus of control. Based on this overview of requirements, we present a research agenda in which we identify challenges and related future research questions.","Circular Economy; Interorganisational Information Systems; Business-to-Government Information Sharing; Critical Raw Materials","en","conference paper","Digital Government Society","","","","","","","","","","Information and Communication Technology","","",""
"uuid:e6b7a63d-25da-4c6d-8ede-bb0600f3426d","http://resolver.tudelft.nl/uuid:e6b7a63d-25da-4c6d-8ede-bb0600f3426d","Digital Government and the Circular Economy: Towards an Analytical Framework","Medaglia, Rony (Copenhagen Business School); Rukanova, B.D. (TU Delft Information and Communication Technology); Tan, Y. (TU Delft Information and Communication Technology)","Hagen, Loni (editor); Solvak, Mihkel (editor); Hwang, Sungsoo (editor)","2022","Circular economy is high on the political agenda, with governments at all levels setting ambitious goals to move away from traditional linear production models, where goods are used and disposed as waste, towards a future with less use of virgin raw materials, and where valuable materials at a product end-of-life are returned as raw materials or in an environmentally-friendly way to the biosphere. While circular economy is gaining a lot of attention on a policy level, the role that digital government can play to facilitate the circular economy transition is largely unexplored. We carry out a review of existing literature in the fields of digital government and Information Systems (IS) to identify the roles played by digital government in the circular economy. Based on an analysis of 54 empirical research articles, we identify foci and gaps in relation to the different types of roles played by government (nodality, authority, treasure, and organization), to stages of the Product Life Cycle (preuse, in-use, and post-use), and to types of digital technology focused on. Based on these findings, we present an analytical framework to guide future research on digital government in relation to the circular economy, and exemplify the use of the framework drawing on examples from circular economy initiatives in the automotive industry.","Circular Economy; Digital Government; Sustainability","en","conference paper","Digital Government Society","","","","","","","","","","Information and Communication Technology","","",""
"uuid:ac5a9da4-2c78-492f-8a4f-26b86233b7bb","http://resolver.tudelft.nl/uuid:ac5a9da4-2c78-492f-8a4f-26b86233b7bb","Learning to Predict Motion from Raw 3D Object Detections","Muench, C. (TU Delft Intelligent Vehicles); Bijelic, Mario (Princeton University); Gavrila, D. (TU Delft Intelligent Vehicles)","","2022","We show how to design a motion prediction algorithm that works with 3D object detections and map locations. In particular, we obtain object id’s – even though the training data does not contain any object id’s – across multiple time-steps into the future by propagating a Gaussian Mixture of likely object (e.g., vehicle) locations through time.We validate our approach on the nuScenes dataset. First, we find that a motion prediction algorithm without tracking id’s performs as well as motion prediction algorithm with tracking id’s in the training data. Second, the 3D labels of an on-board perception system are inferior (e.g., loss of detections, positional uncertainty) to those generated by offline labelling (automatic labelling pipeline, manual labelling). Even so, we find that a moderate increase in the size of the training data offsets the deterioration in prediction performance (with no additional offline labelling).","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Intelligent Vehicles","","",""
"uuid:2f6fbb7a-b6ba-49b4-9740-c7306c994c48","http://resolver.tudelft.nl/uuid:2f6fbb7a-b6ba-49b4-9740-c7306c994c48","BackboneAnalysis: Structured Insights into Compute Platforms from CNN Inference Latency","Hafner, Frank M. (ZF Friedrichshafen AG); Zeller, Matthias (ZF Friedrichshafen AG); Schutera, Mark (ZF Friedrichshafen AG); Abhau, Jochen (ZF Friedrichshafen AG); Kooij, J.F.P. (TU Delft Intelligent Vehicles)","","2022","Customization of a convolutional neural network (CNN) to a specific compute platform involves finding an optimal pareto state between computational complexity of the CNN and resulting throughput in operations per second on the compute platform. However, existing inference performance benchmarks compare complete backbones that entail many differences between their CNN configurations, which do not provide insights in how fine-grade layer design choices affect this balance.We present BackboneAnalysis, a methodology for extracting structured insights into the trade-off for a chosen target compute platform. Within a one-factor-at-a-time analysis setup, CNN architectures are systematically varied and evaluated based on throughput and latency measurements irrespective of model accuracy. Thereby, we investigate the configuration factors input shape, batch size, kernel size and convolutional layer type.In our experiments, we deploy BackboneAnalysis on a Xavier iGPU and a Coral Edge TPU accelerator. The analysis reveals that the general assumption from optimal Roofline performance that higher operation density in CNNs leads to higher throughput does not always hold. These results highlight the importance for a neural network architect to be aware of platform-specific latency and throughput behavior in order to derive sensible configuration decisions for a custom CNN.","Space vehicles; Shape; Convolution; Neural networks; Network architecture; Throughput; Convolutional neural networks","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-19","","","Intelligent Vehicles","","",""
"uuid:0d12bcd8-cae2-4836-95b9-f50bc8abd404","http://resolver.tudelft.nl/uuid:0d12bcd8-cae2-4836-95b9-f50bc8abd404","A Comparative Study of Deep Reinforcement Learning-based Transferable Energy Management Strategies for Hybrid Electric Vehicles","Xu, Jingyi (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology); Gao, Li (Beijing Institute of Technology); Ma, Junyi (Beijing Institute of Technology); Liu, Qi (Beijing Institute of Technology); Zhao, Yanan (Beijing Institute of Technology)","","2022","The deep reinforcement learning-based energy management strategies (EMS) have become a promising solution for hybrid electric vehicles (HEVs). When driving cycles are changed, the neural network will be retrained, which is a time-consuming and laborious task. A more efficient way of choosing EMS is to combine deep reinforcement learning (DRL) with transfer learning, which can transfer knowledge of one domain to the other new domain, making the network of the new domain reach convergence values quickly. Different exploration methods of DRL, including adding action space noise and parameter space noise, are compared against each other in the transfer learning process in this work. Results indicate that the network added parameter space noise is more stable and faster convergent than the others. In conclusion, the best exploration method for transferable EMS is to add noise in the parameter space, while the combination of action space noise and parameter space noise generally performs poorly. Our code is available at https://github.com/BIT-XJY/RL-based-Transferable-EMS.git.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:a11555a6-0b34-4bce-8630-6670adaabddc","http://resolver.tudelft.nl/uuid:a11555a6-0b34-4bce-8630-6670adaabddc","Fast Screening Assessments of the Impact of Sedimentological Heterogeneity on CO2 Migration and Storage","Hampson, Gary (Imperial College London); Alshakri, A (Imperial College London); Jackson, W (Imperial College London); Jacquemyn, Carl (Imperial College London); Jackson, Matthew (Imperial College London); Petrovskyy, Dmytro (Heriot-Watt University); Geiger, S. (TU Delft Applied Geology); Silva, Julio Daniel Machado (University of Calgary); Judice, Sicilia (University of Calgary)","","2022","We use a method combining experimental design, sketch-based reservoir modelling, and flow diagnostics to rapidly screen the impact of sedimentological heterogeneities on CO2 migration and storage by stratigraphic trapping. Experimental design allows efficient exploration of a wide parameter space, sketch-based modelling enables rapid construction of deterministic models of interpreted geological scenarios, and flow diagnostics provide computationally cheap approximations of full-physics, multiphase simulations that are reasonable for many subsurface-flow conditions. Integrated sketch-based reservoir modelling and flow diagnostics are implemented in open source research code (Rapid Reservoir Modelling, RRM). The method is applied to two case studies: (1) the Triassic Sherwood Sandstone Group and Bunter Sandstone Formation, UK, which comprise fluvial-aeolian sandstones, floodplain and sabkha heteroliths, and lacustrine mudstones; and (2) the Jurassic Johansen and Cook formations, offshore western Norway, which record progradation of a wave-dominated delta system. Results for the two case studies are compared using effective permeability (kx, ky, kz) and pore volume injected at breakthrough time (a measure of how much injected fluid is stored in the model volume as a result of stratigraphic trapping).","","en","conference paper","European Association of Geoscientists & Engineers","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geology","","",""
"uuid:413ef818-f46f-40cb-96a6-623f84e70e3c","http://resolver.tudelft.nl/uuid:413ef818-f46f-40cb-96a6-623f84e70e3c","Sketch-Based Geological Modelling and Flow Diagnostics for Geothermal and Heat Storage Applications","Jacquemyn, Carl (Imperial College London); Hampson, Gary (Imperial College London); Jackson, Matthew (Imperial College London); Petrovskyy, Dmytro (Heriot-Watt University); Geiger, S. (TU Delft Applied Geology); Silva, Julio Daniel Machado (University of Calgary); Judice, Sicilia (University of Calgary); Rahman, Fazilatur (University of Calgary); Sousa, Mario Costa (University of Calgary)","","2022","Production of subsurface heat from geothermal sources and subsurface storage of heat (and cool) are important for energy transition. Doublets for geothermal and warm- and cold wells for aquifer thermal energy storage (ATES) depend on circulation of fluids and heat. Estimating the potential and feasibility of such systems requires a careful analysis with simulation of fluid flow and heat transport. As building models and running simulations are time-consuming, a prototyping approach is beneficial to quickly assess viability and sensitivity of such systems.
Sketch-based geological modelling combined with flow diagnostics forms the ideal for such a prototyping approach. Geological models can be sketched in 3D in a couple of minutes. Flow diagnostics then provides several key metrics on predicted flow behaviour. The quick turnaround time from sketching to quantitative results is key to understand the impact of heterogeneity on flow and helps to decide which detailed geological models and flow simulations are useful to carry out. This prototyping approach is applied to aquifers in shallow marine deposits, as proxy for thermal breakthrough time in geothermal doublet system and to estimate well spacing between cold and hot wells for ATES.","","en","conference paper","European Association of Geoscientists & Engineers","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geology","","",""
"uuid:7f38438e-4c18-45c8-9273-05af89396c61","http://resolver.tudelft.nl/uuid:7f38438e-4c18-45c8-9273-05af89396c61","Fast Assessment of the Impact of Multi-Scale Geological Heterogeneities on Flow Behaviour in Complex Carbonate Reservoirs","Li, Jiahong (Heriot-Watt University); Geiger, S. (TU Delft Applied Geology); Gomes, Jorge Costa (Heriot-Watt University); Petrovskyy, Dmytro (Heriot-Watt University); Jacquemyn, Carl (Imperial College London); Hampson, Gary (Imperial College London); Jackson, Matthew (Imperial College London); Silva, Julio Daniel Machado (University of Calgary); Judice, Sicilia (University of Calgary)","","2022","We discuss the application of the new open-source Rapid Reservoir Modelling software (RRM) to create a suite of 3D reservoir models of a complex carbonate formation where each model is increasingly more refined such that progressively more small-scale geological structures are preserved.
Using flow diagnostics we then calculate key metrics for the dynamic reservoir behaviour to quantify the similarities and dissimilarities of the flow behaviour across the different models. This analysis allows us to identify at which scale geological heterogeneities need to be resolved in the reservoir model to capture the essential flow behaviours.
The workflow presented in this study hence allows us to efficiently and effectively test different geological concepts and analyse how multi-scale geological heterogeneities that may need to be represented in a reservoir model impact the predicted dynamic response, so as to design more reliable and robust reservoir models for a broad range of geoenergy applications.","","en","conference paper","European Association of Geoscientists & Engineers","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geology","","",""
"uuid:febaf40d-bf50-448b-931c-ce3503c309c4","http://resolver.tudelft.nl/uuid:febaf40d-bf50-448b-931c-ce3503c309c4","A DPLL-Based Phase Modulator Achieving -46dB EVM with A Fast Two-Step DCO Nonlinearity Calibration and Non-Uniform Clock Compensation","Gao, Z. (TU Delft Electronics); Fritz, Martin (Sony Europe B.V.); He, J. (TU Delft Electronics); Spalink, Gerd (Sony Europe B.V.); Staszewski, R.B. (TU Delft Electronics; University College Dublin); Alavi, S.M. (TU Delft Electronics); Babaie, M. (TU Delft Electronics)","","2022","We present a broadband digital PLL (DPLL)-based phase modulator supporting wide frequency modulation (FM) bandwidth (BW). It compensates for the EVM degradation due to the non-uniform period of the retimed updating clock and shortens the nonlinearity calibration time of the digitally controlled oscillator (DCO) with a phase-domain digital pre-distortion (DPD) and an encoding-assisted (EA)-LMS calibration. While generating a 10MHz 64-PSK signal, the prototype can achieve -46dB EVM with less than one-tenth of the calibration samples (time) required by the prior art.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:e438cca0-245c-4bb0-8f5b-4055b186752b","http://resolver.tudelft.nl/uuid:e438cca0-245c-4bb0-8f5b-4055b186752b","A 200Gb/s PAM-4 Transmitter with Hybrid Sub-Sampling PLL in 28nm CMOS Technology","Wang, Zhongkai (University of California); Choi, Minsoo (Samsung Semiconductor); Kwon, Paul (University of California); Lee, Kyoungtae (University of California); Yin, Bozhi (University of California); Liu, Zhaokai (University of California); Park, Kwanseo (University of California); Biswas, Ayan (University of California); Du, S. (TU Delft Electronic Instrumentation)","","2022","This paper presents a complete 200Gb/s PAM-4 transmitter (TX) in 28nm CMOS technology. The transmitter features a hybrid sub-sampling PLL (SSPLL) with a delta-sigma (?S) modulator, clock distribution network with flexible timing control, and data path with a hybrid 5-tap Feed-Forward Equalizer (FFE) and T-coil for bandwidth extension. The prototype chip achieves 4.69 pJ/bit efficiency, 54mV eye height, 0.27UI eye width, and 97% RLM under -6dB channel loss at 50GHz.","28nm; CMOS; SerDes; Sub-sampling PLL; Transmitter","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:c51de145-c833-4db9-8b53-988cfa2ec908","http://resolver.tudelft.nl/uuid:c51de145-c833-4db9-8b53-988cfa2ec908","A 210nW BJT-based Temperature Sensor with an Inaccuracy of ±0.15°C (3s) from -15°C to 85°C","Someya, T. (TU Delft Electronic Instrumentation); Van Hoek, Vincent (Student TU Delft); Angevare, J. (TU Delft Electronic Instrumentation); Pan, S. (TU Delft Electronic Instrumentation); Makinwa, K.A.A. (TU Delft Microelectronics)","","2022","This paper presents a 210nW BJT-based temperature sensor that achieves an inaccuracy of ±0.15°C (3s) from -15°C to 85°C. A dual-mode front-end (FE), which combines a bias circuit and a BJT core, halves the power needed to generate well-defined CTAT (VBE) and PTAT (?VBE) voltages. The use of a tracking ?S ADC reduces FE signal swing and further reduces system power consumption. In a 180-nm BCD process, the prototype achieves a 15mK resolution in 50ms conversion time, translating into a state-of-the-art FoM of 2.3pJK2.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-13","","Microelectronics","Electronic Instrumentation","","",""
"uuid:0e2a2fad-9faf-4fec-bbc8-c4dd6651997c","http://resolver.tudelft.nl/uuid:0e2a2fad-9faf-4fec-bbc8-c4dd6651997c","Learning and Knowledge Transfer of Professionals within the Building Services Sector","Ahmed, Mohammad Samir (Eindhoven University of Technology); van der Velden, Joep (Kropman Installatietechniek); Soleymani, A. (TU Delft Web Information Systems); van den Brom, P.I. (TU Delft Building Energy Epidemiology); Konings, Maaike (The Hague University of Applied Sciences); Itard, L.C.M. (TU Delft Building Energy Epidemiology); Specht, M.M. (TU Delft Web Information Systems); Sjoer, Ellen (The Hague University of Applied Sciences); Zeiler, Wim (Eindhoven University of Technology)","","2022","Buildings need to be carefully operated and maintained for optimum health, comfort, energy performance, and utility costs. The increasing use of Machine Learning combined with Big Data in the building services sector has shown the potential to bring energy efficiency and cost-effectiveness. Therefore, upskilling and reskilling the current workforce is required to realize new possibilities. In addition, sharing and preserving knowledge are also required for the sustainable growth of professionals and companies. This formed the basis for the Dutch Research Council funded TransAct project. To increase access to education on the job, online learning is experiencing phenomenal growth. A study was conducted with two focus groups - professionals of a building service company and university researchers - to understand the existing challenges and the ways to improve knowledge sharing and upskilling through learning on the job. This study introduced an Enterprise Social Network platform that connects members and may facilitate knowledge sharing. As a community forum, Yammer from office 365 was used. For hosting project files, a SharePoint page was created. For online courses, the company’s online learning site was utilized. The log data from the online tools were analysed, semi-structured interviews and webinars were conducted and feedback was collected with google forms. Incentive models like social recognition and innovative project results were used to motivate the professionals for online activities. This paper distinguishes the impacts of initiatives on the behaviour of university researchers vs company employees.","Lifelong learning; knowledge sharing; building services professionals; learning communities","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Web Information Systems","","",""
"uuid:4c9371f3-0b0f-4462-8799-8a56af8ea130","http://resolver.tudelft.nl/uuid:4c9371f3-0b0f-4462-8799-8a56af8ea130","Using Social Network Analysis to explore Learning networks in MOOCs discussion forums","Soleymani, A. (TU Delft Web Information Systems); Itard, L.C.M. (TU Delft Building Energy Epidemiology); de Laat, Maarten (University of South Australia); Valle Torre, M. (TU Delft Web Information Systems); Specht, M.M. (TU Delft Web Information Systems)","","2022","Learning and educational challenges in the field of indoor climate and building services like energy systems are mainly due to the transformation of professional practices and learning networks, a big shift in the way in which people work, communicate, and share their knowledge and the need for additional workforce, either juniors or coming from other disciplines. One of the most important factors that highly influence professional development and workplace learning is networked learning. Our goal in this study, is understanding the learning networks characteristics and patterns of interaction using Social Network Analysis techniques in three MOOCs discussion forums. The result of this study shows not only the importance of Learning networks and peer support on professionalization of learners, but also how pedagogical approach of instructors in MOOCs can foster learning networks. This novel approach in developing learning networks and communities is not only able to help connect young professionals and experienced practitioners digitally, but also it can promote professional development and innovation in the energy installation sector.","Professional learning networks; social network analysis; lifelong learning; Massive Online Open Courses","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Web Information Systems","","",""
"uuid:0fb9de75-2308-4732-b12e-daf816f044ea","http://resolver.tudelft.nl/uuid:0fb9de75-2308-4732-b12e-daf816f044ea","Optimal Sizing of a Community Level Thermal Energy Storage System","Geraedts, M. (Student TU Delft); Alpizar Castillo, J.J. (TU Delft DC systems, Energy conversion & Storage); Ramirez Elizondo, L.M. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","Fifth-generation energy networks are combined networks of heat and electricity, that have the ability to generate, distribute, store and share energy between consumers. Knowledge on the dynamic behaviour of the physical phenomena related to energy generation, distribution and storage provides insight into the performance of the system as a whole. A mixed-integer linear algorithm is proposed, implementing a partitioned clustering program for subsequent classification of typical demand, grouping specific days with similar demand profiles together. From this arrangement, the optimal network configuration can be determined using an objective function, minimizing the economic and environmental impact. To validate the optimization results, a simulation of the network was built, which mimics its physical dynamic behaviour, and through which the distribution and storage capabilities of the network can be assessed. Advanced advice on fifth-generation energy networks is presented that can be applied to early-stage network design, reducing costs and emissions, along with data on the implementation of renewable energy technologies and their performance. Additionally, this research provides the foundation for numerical modelling of such energy networks which contributes to future research.","","en","conference paper","IEEE","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:ed9603ee-97b4-4942-9741-75cad042b471","http://resolver.tudelft.nl/uuid:ed9603ee-97b4-4942-9741-75cad042b471","Can You Hear It? Backdoor Attacks via Ultrasonic Triggers","Koffas, S. (TU Delft Cyber Security); Xu, J. (TU Delft Cyber Security); Conti, M. (TU Delft Cyber Security; Università degli Studi di Padova); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen)","","2022","This work explores backdoor attacks for automatic speech recognition systems where we inject inaudible triggers. By doing so, we make the backdoor attack challenging to detect for legitimate users and, consequently, potentially more dangerous. We conduct experiments on two versions of a speech dataset and three neural networks and explore the performance of our attack concerning the duration, position, and type of the trigger. Our results indicate that less than 1% of poisoned data is sufficient to deploy a backdoor attack and reach a 100% attack success rate. We observed that short, non-continuous triggers result in highly successful attacks. Still, since our trigger is inaudible, it can be as long as possible without raising any suspicions making the attack more effective. Finally, we conduct our attack on actual hardware and saw that an adversary could manipulate inference in an Android application by playing the inaudible trigger over the air.","backdoor attacks; inaudible trigger; neural networks","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Cyber Security","","",""
"uuid:5ad8312a-e7df-410a-bb0e-cd1e97856d3c","http://resolver.tudelft.nl/uuid:5ad8312a-e7df-410a-bb0e-cd1e97856d3c","A Two-Stage Bayesian optimisation for Automatic Tuning of an Unscented Kalman Filter for Vehicle Sideslip Angle Estimation","Bertipaglia, A. (TU Delft Intelligent Vehicles); Shyrokau, B. (TU Delft Intelligent Vehicles); Alirezaei, Mohsen (Eindhoven University of Technology); Happee, R. (TU Delft Intelligent Vehicles)","","2022","This paper presents a novel methodology to auto-tune an Unscented Kalman Filter (UKF). It involves using a Two-Stage Bayesian Optimisation (TSBO), based on a t-Student Process to optimise the process noise parameters of a UKF for vehicle sideslip angle estimation. Our method minimises performance metrics, given by the average sum of the states’ and measurement’ estimation error for various vehicle manoeuvres covering a wide range of vehicle behaviour. The predefined cost function is minimised through a TSBO which aims to find a location in the feasible region that maximises the probability of improving the current best solution. Results on an experimental dataset show the capability to tune the UKF in 79.9% less time than using a genetic algorithm (GA) and the overall capacity to improve the estimation performance in an experimental test dataset of 9.9% to the current state-of-the-art GA.","Training; Intelligent vehicles; Measurement uncertainty; Gaussian processes; Cost function; Bayes methods; Kalman filters","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-19","","","Intelligent Vehicles","","",""
"uuid:bb32c25c-107a-43bc-a9df-fc1a460f3463","http://resolver.tudelft.nl/uuid:bb32c25c-107a-43bc-a9df-fc1a460f3463","3DOP: Comfort-oriented Motion Planning for Automated Vehicles with Active Suspensions","Zheng, Y. (TU Delft Intelligent Vehicles); Shyrokau, B. (TU Delft Intelligent Vehicles); Keviczky, T. (TU Delft Team Tamas Keviczky)","","2022","Motion comfort is the basis of many societal benefits promised by automated driving and motion planning is primarily responsible for this. By planning the spatial trajectory and the velocity profile, motion planners can significantly enhance motion comfort, ideally without sacrificing time efficiency. Active suspensions can push the boundary further by enabling additional degrees of freedom in the controllable vehicle motions. In this paper, we propose to integrate the planning of roll motion into an optimization-based motion planning algorithm called 3DOP(3 Degrees-of-Freedom Optimal Planning), where the conflicting objectives of comfort and time efficiency are optimized. The feasibility of the planned motion is verified in a realistic simulation environment, where feedforward-proportional control suffices to track the speed, path, and roll references. The proposed scheme achieves a significant reduction of motion discomfort, namely by up to 28.1% over the variant without controllable roll motion, or up to 34.2% over an acceleration-bounded driver model. The results suggest considerable potential for improving motion comfort by equipping automated vehicles with active suspensions.","Suspensions (mechanical systems); Tracking; Intelligent vehicles; Planning; Trajectory","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-19","","","Intelligent Vehicles","","",""
"uuid:88a2eb26-cc21-4213-88db-406dfcad8f3b","http://resolver.tudelft.nl/uuid:88a2eb26-cc21-4213-88db-406dfcad8f3b","Data Smells in Public Datasets","Shome, A. (TU Delft Software Engineering); Cruz, Luis (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Technology)","","2022","The adoption of Artificial Intelligence (AI) in high-stakes domains such as healthcare, wildlife preservation, autonomous driving and criminal justice system calls for a data-centric approach to AI. Data scientists spend the majority of their time studying and wrangling the data, yet tools to aid them with data analysis are lacking. This study identifies the recurrent data quality issues in public datasets. Analogous to code smells, we introduce a novel catalogue of data smells that can be used to indicate early signs of problems or technical debt in machine learning systems. To understand the prevalence of data quality issues in datasets, we analyse 25 public datasets and identify 14 data smells.","ai engineering; code smells; data quality; data smells","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-27","","Software Technology","Software Engineering","","",""
"uuid:28ba6a79-9e84-49db-af40-c77839620196","http://resolver.tudelft.nl/uuid:28ba6a79-9e84-49db-af40-c77839620196","Measurement of Air Pollution by Measurement of Traffic Density","Rothkrantz, L.J.M. (TU Delft Interactive Intelligence; Czech Technical University)","Ruzicka, Jiri (editor)","2022","The areas of many cities in the Netherlands are covered by a network of stationary sensors, measuring special components of air pollution such as CO2, NO2, PM2.5 and PM10. The pollution with fine dust along roads, surrounding and crossing the city is primarily related to traffic density. To measure traffic density, we used a license plate recognizer based on a special Neural Network Neocognitron, analyzing the video footage of surveillance cameras along the roads. We also studied the onset and offset of traffic density to predict traffic density, using the first recorded sparse traffic data. In cooperation with MIT Senseable City Lab the Technical University of Delft has developed special mobile, low cost sensors to measure air pollution. These mobile sensors are integrated with stationary sensors to a heterogeneous sensor network and enable measurement of air pollution out of the reach of the stationary sensor network..","Air Quality Monitoring; Distributed Sensor Network; License Plate Recognizer; Traffic Density","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Interactive Intelligence","","",""
"uuid:db89b5d7-3d15-4608-8efa-92b992a934fc","http://resolver.tudelft.nl/uuid:db89b5d7-3d15-4608-8efa-92b992a934fc","Users and Contemporary SERPs: A (Re-)Investigation: Examining User Interactions and Experiences","Roy, N. (TU Delft Web Information Systems); Maxwell, D.M. (TU Delft Web Information Systems); Hauff, C. (TU Delft Web Information Systems)","","2022","The Search Engine Results Page (SERP) has evolved significantly over the last two decades, moving away from the simple ten blue links paradigm to considerably more complex presentations that contain results from multiple verticals and granularities of textual information. Prior works have investigated how user interactions on the SERP are influenced by the presence or absence of heterogeneous content (e.g., images, videos, or news content), the layout of the SERP (\emphlist vs. grid layout), and task complexity. In this paper, we reproduce the user studies conducted in prior works---specifically those of~\citetarguello2012task and~\citetsiu2014first ---to explore to what extent the findings from research conducted five to ten years ago still hold today as the average web user has become accustomed to SERPs with ever-increasing presentational complexity. To this end, we designed and ran a user study with four different SERP interfaces:(i) ~\empha heterogeneous grid ;(ii) ~\empha heterogeneous list ;(iii) ~\empha simple grid ; and(iv) ~\empha simple list. We collected the interactions of $41$ study participants over $12$ search tasks for our analyses. We observed that SERP types and task complexity affect user interactions with search results. We also find evidence to support most (6 out of 8) observations from~\citearguello2012task,siu2014first indicating that user interactions with different interfaces and to solve tasks of different complexity have remained mostly similar over time.","Human Computer Interaction; Interactive Information Retrieval; Search Interfaces; Search Tasks; Reproducibility","en","conference paper","ACM DL","","","","","","","","","","Web Information Systems","","",""
"uuid:5aae8710-c439-4165-856e-5733fa5d2398","http://resolver.tudelft.nl/uuid:5aae8710-c439-4165-856e-5733fa5d2398","Enhancing consumers’ willingness to repair electronic products: How design can nudge sustainable behaviour","van den Berge, R.B.R. (TU Delft Marketing and Consumer Research); Magnier, L.B.M. (TU Delft Marketing and Consumer Research); Mugge, R. (TU Delft Marketing and Consumer Research; TU Delft Design, Organisation and Strategy)","Lockton, D. (editor); Lenzi, S. (editor); Hekkert, P. (editor); Oak, A. (editor); Sádaba, J. (editor); Lloyd, P. (editor)","2022","Product repair can decrease the ecological burden of consumer electronics
by lengthening their lifetimes, but it is still too rarely practised by consumers. Design for behaviour change can motivate consumers to undertake repair activities. An increased level of repair self-efficacy can nudge consumers towards repair. In two experiments, we tested the effects of a fault indication on consumers’ willingness to repair washing machines, vacuum cleaners and stick vacuum cleaners. A fault indication is a signal appearing on a product providing information about the occurring failure. For products that are relatively less likely to be repaired by a repair professional, the willingness to repair increased significantly when a fault indication was present. The perceived level of self-efficacy mediated these results. These results remained consistent among different types of product failures. Finally, we provide implications for designers and future opportunities on how to further stimulate consumers’ willingness to repair electronic products","design for repair; sustainable consumer behaviour; product lifetime; circular economy","en","conference paper","","","","","","","","","","Design, Organisation and Strategy","Marketing and Consumer Research","","",""
"uuid:4ba23271-5f19-416e-bb76-49e50947f48c","http://resolver.tudelft.nl/uuid:4ba23271-5f19-416e-bb76-49e50947f48c","Climate Change and Resilient Rail Freight Transport","Vleugel, J (TU Delft Transport and Planning); Bal, F","Casares, Juan (editor)","2022","Rail freight transport is a key economic activity; many businesses and people rely on it. It should be available when needed and efficient in use. There are however factors that may reduce the availability and quality of a rail freight service offering. A distinction can be made between what may be called systemic factors, like congestion, accidents and technical failures, and external factors like weather conditions and natural disasters. Rail infrastructure managers and users of rail services have learned from experience to anticipate or adapt to systemic factors. They are, to some extent, also able to cope with certain weather conditions. A rather different situation occurs when the weather becomes more extreme and less predictable. Water management systems are usually not developed for excess amounts of rain and hence will fail. Terrestrial water can turn into a devastating flood that may (temporarily) incapacitate railway infrastructure. With this (partially) out of service, freight trains have to be rerouted. This may lead to severe delays, additional costs and externalities, in particular more emissions. This paper deals with the question: What are options for governments to make rail freight transport more resilient to disruptions triggered by climate change, while limiting the externalities caused by rerouting? The paper is an extension of earlier research on this topic. Methods used in the research were a case study, the data of which were fed into a simulation model, which was used to estimate route length, fuel consumption and emissions. The main outcome of the study is that there are interesting options to make rail freight transport networks more resilient. In order to reap their benefits, interoperability should be improved considerably.","Climate change; resilience; transport operations; modelling","en","conference paper","WIT Press","","","","","","","","","","Transport and Planning","","",""
"uuid:263c225e-0eb0-4485-a816-2f4ba332ca46","http://resolver.tudelft.nl/uuid:263c225e-0eb0-4485-a816-2f4ba332ca46","Total Variation Compressive Sensing for Extended Targets in MIMO Radar","Roldan Montero, I. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","The problem of extended target cross-section estimation has been considered. A two-step method based on the Total Variation Compressive Sensing theory has been proposed to solve it. First, a coarse estimation of the target cross-section is performed with classical beamforming methods, and then Compressive Sensing algorithms have been applied to refine it. To the best of the authors' knowledge, this is the first time this approach has been applied to automotive radar signals. The method has been verified simulating extended targets as scatter point clouds and computing the response in a uniform rectangular array. Two metrics have been used, the Intersection over Union and a pseudo Integrated Sidelobe Level. Significant improvements in both metrics compared with classical beamforming methods have been demonstrated.","Compressive Sensing; Extended Targets; MIMO automotive radar; Total Variation Normalization","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-30","","","Microwave Sensing, Signals & Systems","","",""
"uuid:7b7b3be5-ff3f-4355-9746-ecb716abed4e","http://resolver.tudelft.nl/uuid:7b7b3be5-ff3f-4355-9746-ecb716abed4e","CONVERGE: low energy with active passiveness in a transparent highly occupied building","van den Engel, P.J.W. (TU Delft Building Services); Bokel, R.M.J. (TU Delft Building Physics); Brembilla, E. (TU Delft Building Physics); de Araujo Passos, L.A. (TU Delft Team Bart De Schutter); Luscuere, P (TU Delft Building Services)","","2022","This research gives an overview of the current comfort and energy performance, and optional future design of highly transparent and lightweight buildings. The transparent Co-Creation Centre in the Green Village at the TU Delft, has a combination of active and passive climate control measures. The aim of the research is to show how transparent buildings with a high glass/floor percentage (here 122 %) perform and how these could be optimized. An overview of the research project and system integration is presented, with the focus on energy, comfort and working of the BMS-system. Energy and comfort performances are measured and simulated. Validation has been executed of daylight, solar heat access, and thermal performances. A large Phase Change Material (PCM) buffer in the air handling unit reduces the heating and cooling demand. Making use of passive qualities of the outdoor and indoor air temperature and solar energy requires a more complex control strategy than usual. A Model Predictive Control (MPC) strategy has been investigated and can optimize the energy consumption.","transparency; passive; PCM; ventilation","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Building Services","","",""
"uuid:7ef1391d-c56c-4f2b-8e07-c674e3f9c37a","http://resolver.tudelft.nl/uuid:7ef1391d-c56c-4f2b-8e07-c674e3f9c37a","Simulation-based seasonal underground sensible heat storage integrated in a district heating network","ter Meulen, Bram (Student TU Delft); Geerts, Rene (HoCoSto); Infante Ferreira, C.A. (TU Delft Engineering Thermodynamics)","","2022","This study assesses the role of (seasonal) thermal energy storage in the next generation renewables based central heating systems for the built environment in the Netherlands. Specifically, the neighbourhood ""Karwijhof"" in the city Nagele which is transitioning to a collective renewable district heating network incorporating 24 users. The study focus on the technology for storing thermal energy and two different heat collection technologies. The storage of heat is done using an underground seasonal thermal energy storage (USTES), in this case an underground sensible heat storage tank using water as storage medium. The system relies on a small scale district heating network (DHN) for the distribution of heat. For this research two heat collection technologies are considered both incorporating the USTES as main system component. The first system relies on heat collection by solar thermal collectors, the second on an air-water heat pump. Both systems are modelled in Matlab-Simulink making use of KNMI weather data. Different system sizes are evaluated. The investigated components include: volume of the USTES, surface area of the solar thermal collectors, and air-water heat pump capacity. Key performance indicators include the levelised cost of heat (LCOH) and the seasonal COP of the system which gives an indication on the autonomy of the system. To increase the autonomy of the systems a photo-voltaic (PV) array is considered for both systems to offset the electricity use. However, the systems are allowed to exchange electricity with the grid translating into the goal of ""zero on the meter"" autonomy. The results show that both systems can ensure heat throughout the year for the users considered during this study. However, systems cannot compete with traditional natural gas heating systems based on the LCOH. This is partly due to the high cost of the district heating network. The systems including a PV array show a LCOH that can compete with the traditional natural gas HR-boiler but are constraint by the rooftop area available during this study leading to a non-competitive LCOH. When considering the environmental benefits, the systems are already competitive to the traditional natural gas heating systems.","Energy; Renewable and smart energy solutions for buildings and sites; Design of Innovative HVAC systems for optimized operational performances","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:2368ebdb-54e2-4a5c-b7c5-54abcbc8a819","http://resolver.tudelft.nl/uuid:2368ebdb-54e2-4a5c-b7c5-54abcbc8a819","Ventilation and COVID-19 transmission risks on board of Dutch governmental ships","Boerstra, A.C. (TU Delft Support Architectural Engineering +Technology; BBA Binnenmilieu); Verlinde, Mark (BBA Binnenmilieu)","","2022","The Dutch government (specifically the ‘Rijksrederij’, the governmental shipping company) owns a fleet of just under 100 ships that are equipped to service the internal waterways and parts of the North Sea. Think in this context of e.g. Coast Guard ships, ships that help to fight oil accidents or ships that maintain buoys. Just after the COVID-19 pandemic had started the ‘Rijksrederij’ decided that it was necessary to investigate to what extent the fleet might pose a risk for cross contamination of this new disease on board. This was approached with a specific focus on ventilation and the airborne route. The objective was to find out whether the most important spaces on board of the ships were adequately ventilated and to evaluate how ships can be made or kept ‘COVID-resistant’ as far as the airborne route is concerned. A sample of 16 ships of different types, most of them mechanically ventilated, were surveyed. This included a general inspection, an inspection of relevant HVAC system characteristics and measurements of e.g. air supply flows. Also, ships were equipped with monitors that measured CO2 concentration (e.g. in galleys and wheelhouses) that were left on board for at least one week. As reference for the supply flow measurement outcomes we used ISO 7547 guideline values and the Germanischer Lloyd ventilation requirements. On board of 6 of the 16 ships that were investigated we found serious problems with the fresh air supply and/or measured CO2 concentrations. On the positive side, the majority of the ships had ventilation capacities in line with the two reference standards, and almost all did not use central recirculation. We also found that many of the ships had adequate options, at room level, for individual control of both fresh air supply and temperature. The results of the study will be used to further improve ‘COVID safety’ on board of the whole fleet and to ameliorate future, new ships and their HVAC systems.","Airway infections; cross contamination; Corona disease; CO2 concentration; dilution; fresh air supply; recirculation","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Support Architectural Engineering +Technology","","",""
"uuid:d668769f-23e6-4bf3-8b8a-8296e40670b2","http://resolver.tudelft.nl/uuid:d668769f-23e6-4bf3-8b8a-8296e40670b2","Optimized district heating system for combined operation with seasonal heat storage","Wolbert, Gijs (Student TU Delft); Geerts, Rene (HoCoSto); Infante Ferreira, C.A. (TU Delft Engineering Thermodynamics)","","2022","This study optimizes the district heating network side of a high temperature community heating system powered by decentralized solar collectors and seasonal thermal energy storage (STES). Six network configurations are considered which have the potential to improve system performance compared to a base scenario. The base scenario consists of a 2-line network with a fixed supply temperature where the decentralised solar collectors feed in over the heating network. All alternative configurations aim to improve system performance by lowering the temperature of consumed and/or produced heat. Lowering the temperature in the heating network reduces heat losses and decreases heat pump utilization. Lowering the operational temperature of the solar collectors increases their efficiency. The strategies explored by the different configurations include variable supply temperatures, a 4-line network (where the solar collectors do not feed into the heating network), and ways to mitigate temperature constraints imposed by domestic hot water production regulations. In the neighbourhood “”Karwijhof” of Nagele, 24 consumers will make the switch to a solar+storage district heating system. In order to assess their performance, all configurations and the base scenario are modelled in Matlab/Simulink. The system performance is measured in terms of levelised cost of heat (LCOH) and seasonal coefficient of performance (SCOP). They are compared to a scenario where the dwellings are fitted with individual high temperature air to water heat pumps. Making the supply temperature variable (dependent on the ambient temperature) reduces pipeline thermal losses and reduces heat pump utilization. The transition from a 2-line network to a 4-line network where the solar collectors are separately connected to the buffer was found to significantly increase solar collector efficiency. The combination of these two measures reduces the LCOH by 4.5 %. Slightly oversizing the buffer volume and solar area significantly increases the SCOP with small impact on LCOH. When comparing the improved community solar heating system with a scenario where every house is heated with an individual heat pump instead, it is found that the community solar system achieve a 15.7 % lower LCOH while having a SCOP of 4.4 compared to just 2.75 for the heat pump scenario.","Energy; Renewable and smart energy solutions for buildings and sites; Design of Innovative HVAC systems for optimized operational performances","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:36c16cd9-b68f-4149-a6e1-cd6fb3dd4c9e","http://resolver.tudelft.nl/uuid:36c16cd9-b68f-4149-a6e1-cd6fb3dd4c9e","From collective to individual decision-making: barriers and opportunities to improve the success rate of the energy retrofits in the Dutch owner-occupied sector","Ebrahimigharehbaghi, S. (TU Delft Design & Construction Management); Qian, QK (TU Delft Design & Construction Management); de Vries, G. (TU Delft Organisation & Governance); Visscher, H.J. (TU Delft Design & Construction Management)","","2022","The building sector can contribute considerably to reducing global greenhouse gas (GHG) emissions. In the Netherlands, the GHG emissions must be mitigated by 95% by 2050 relative to the 1990 baseline. Various factors, such as low renovation rates cause uncertainties in reaching these targets. The current study aims for investigating the barriers and opportunities regarding the energy efficiency renovations (EER) and programs offered by the cities in the Netherlands. Homeowners encounter individually and collectively different forms of barriers during their journeys of EERs. By collective decision-making, we mean when an individual
homeowner cannot make the final decision on EER by themselves. Homeowners may have to decide together with other homeowners or even tenants in case of living in a multi-family dwelling. The local authorities sometimes offer the energy efficiency programs at neighbourhood levels and the agreement of most households is essential for the continuation of the energy efficiency programs. The literature review, semi-structured interviews and focus groups are conducted with experts from the largest cities in the Netherlands. The focus groups and interviews are used to examine the barriers and opportunities especially at neighbourhood and street levels. Our main initial findings include the barriers of (a) Individual homeowners: difficulties in making them interested to conduct EER, lack of knowledge for the starting point of renovation, additional barriers of following many steps in conducting EERs for the old dwellings;
(b) Homeowner associations: difficulties in reaching agreement by 70% of homeowners, timeconsuming process for agreement, and not well-organised meetings by all the homeowners' associations; (c) Neighbourhood level: cultural diversities, difficulties in finding solutions for different groups of people, etc.","Energy efficiency renovation; Individual homeowners; Homeowner association; Decision-making; Behavioural factors; Transaction cost barriers; Neighbourhood approach","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Design & Construction Management","","",""
"uuid:cb446634-d93c-4977-8d7e-1364e0034f0f","http://resolver.tudelft.nl/uuid:cb446634-d93c-4977-8d7e-1364e0034f0f","Data encounters in renovated homes: Sense-making beyond displays","van Beek, E. (TU Delft Applied Ergonomics and Design); Boess, S.U. (TU Delft Applied Ergonomics and Design)","","2022","There is an increasing acknowledgement of the role of residents in the success of low- or zero-energy renovations. One of the approaches to improve this factor is by influencing resident behaviour by means of devices for feedback on consumption. The goal of these systems is to help residents make sense of the relation between their actions and choices, and their energy consumption, indoor environmental conditions and comfort. In this paper we describe interactions with these devices as one form of data encounter. We then suggest that there are other forms of data encounters already happening in renovated homes by which residents make sense. These data encounters are useful to understand if we want to understand the interactions between residents and buildings. We introduce the concepts of sense-making and interactive adaptation to better understand these data encounters. In this study we show data encounters in various forms as they happen in four renovated homes in the same building in the Netherlands. We use interviews and video-recorded walkthroughs to identify data encounters related to indoor climate and energy consumption within these homes. We find data encounters that involve more than displays and technical devices. Residents use bodily senses, information from other people, and complex contextual information to understand indoor climate and energy consumption. We also find that data encounters relate to, and are embedded in everyday practices and routines. Finally, we find that data encounters involve active sense-making rather than passive consumption of information. We discuss these findings and conclude by suggesting that existing data encounters could serve as a starting point for the improved design of buildings, renovation processes, and the selection, design and implementation of new data encounters.","Occupant behaviour; data; feedback; renovation; sense-making; design; home energy management systems","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:6b096752-3d7d-4e50-876b-9c32fce51ece","http://resolver.tudelft.nl/uuid:6b096752-3d7d-4e50-876b-9c32fce51ece","Social dreaming together: A critical exploration of participatory speculative design","Farias, Pedro Gil (Student TU Delft); Bendor, R. (TU Delft Design Conceptualization and Communication); van Eekelen, B.F. (TU Delft Design Aesthetics)","Vlachokyriakos, Vasilis (editor); Yee, Joyce (editor); Frauenberger, Christopher (editor); Hurtado, Melisa Duque (editor); Hansen, Nicolai (editor); Strohmayer, Angelika (editor); Van Zyl, Izak (editor); Dearden, Andy (editor); Talhouk, Reem (editor); Gatehouse, Cally (editor); Leishman, Donna (editor); Agid, Shana (editor); Sciannamblo, Mariacristina (editor); Taylor, Jennyfer (editor); Botero, Andrea (editor); Del Gaudio, Chiara (editor); Akama, Yoko (editor); Clarke, Rachel (editor); Vines, John (editor)","2022","While often seen as an elitist practice found only in artistic and academic circles, speculative design has grown in popularity and is now practiced in more diverse contexts and with a variety of participants. In order to gain a better understanding of this ostensible 'participatory turn', this paper presents an initial exploration of participatory speculative design based on a pilot survey of recent projects. Using a sample of projects we develop an 8-step hierarchical taxonomy of participation in speculative design that moves from 'spectatorship' to 'reflection', 'inspiration', 'generative reflection', 'shared creativity', 'shared authorship', 'initiative' and, finally, 'ownership'. The taxonomy helps to raise important questions about the character and outcomes of participatory speculative design processes and the role played by designers as agents of the public imagination.","Design methods; Participatory design; Speculative design","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:50ba3547-423c-48c6-a36e-dd5e09814da3","http://resolver.tudelft.nl/uuid:50ba3547-423c-48c6-a36e-dd5e09814da3","Quantitative photoacoustic velocimetry technique using multi-angle observations","Smith, C.G. (The University of Auckland); Shepherd, Jami (The University of Auckland); Renaud, G.G.J. (TU Delft ImPhys/Medical Imaging); van Wijk, Kasper (The University of Auckland)","","2022","By changing the ultrasonic receiving angle in post-processing, we can obtain flow vectors from a photoacoustic experiment on a blood vessel phantom by solving the photoacoustic Doppler equation using a least-squares optimisation approach.","","en","conference paper","Optica Publishing Group (formerly OSA)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Medical Imaging","","",""
"uuid:56bee830-9e1a-4a5f-8dde-00b04bd8ebc0","http://resolver.tudelft.nl/uuid:56bee830-9e1a-4a5f-8dde-00b04bd8ebc0","A usability study of an innovative optical device for the diagnosis of schistosomiasis in Nigeria","Bengtson, M.L. (Leiden University Medical Center); Onasanya, A.A. (TU Delft Design for Sustainability); Oyibo, P.O. (TU Delft Team Michel Verhaegen); Meulah, Brice (Leiden University Medical Center); Samenjo, K.T. (TU Delft Design for Sustainability); Braakman, I.G. (Student TU Delft); Andi, Wellington Oyibo (Lagos State University); Diehl, J.C. (TU Delft Design for Sustainability)","","2022","Schistosomiasis is a neglected tropical disease thatis predominantly diagnosed by conventional microscopy in Sub-Saharan Africa. However, effective diagnosis by conventional microscopy is limited by multiple technical and logistic barriers.Alternative diagnostic techniques are needed. The Schistoscope is a digital optical device that has been designed to support microscopists for the detection of schistosomiasis in endemic resource-limited settings. Aim: A user-centered design approachwas used to assess the usability and user-acceptance of the Schistoscope compared to conventional microscopy in the Federal Capital Territory, Abuja, Nigeria. In this study, usability and acceptance are defined as being easy-to-use, efficient, and suitable in the daily workflow by end-users. Methods: Using a qualitative conventional context analysis approach, a mixedmethods questionnaire was used to elucidate themes related to the usability and user-acceptance of the device. Participants included trained microscopists and university students (n=17). Results: Participants answered both ranked and open questions. Overall the device’s use was considered to be easy and acceptable
in the routine workflow of a microscopist. The auto-scan feature was considered to have added value. Critical feedback regarding aesthetics of the device, particularly related to size, was noted by the participants. Conclusion: The usability approach used in this study elucidated valuable insights of end-users. The Schistoscope was very well perceived by both medical students and trained microscopists. Critical feedback will be used to further improve the next iterative design of the device.","digital optical device; chistosomiasis; usability; mixed-model questionnaire; resource-limited settings","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-11","","","Design for Sustainability","","",""
"uuid:f21bf2e9-bc4b-431a-a471-6d4305b9559f","http://resolver.tudelft.nl/uuid:f21bf2e9-bc4b-431a-a471-6d4305b9559f","Suspended Waveguide for Mechanical Driving of Color Centers in Diamond","Ding, Sophie W. (Harvard University); Cornell, Eliza (Harvard University); Shao, Linbo (Harvard School of Engineering and Applied Sciences; Virginia Tech); Pingault, B.J. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre; Harvard School of Engineering and Applied Sciences; Kavli institute of nanoscience Delft); Sinclair, Neil (Harvard School of Engineering and Applied Sciences; California Institute of Technology); Lončar, Marko (Harvard University)","","2022","We demonstrate the transmission of a ∼4-GHz surface acoustic wave across a suspended diamond waveguide. This enables simultaneous coherent mechanical driving of, and optical access to, diamond-based color centers.","","en","conference paper","Optica Publishing Group (formerly OSA)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","QID/Taminiau Lab","","",""
"uuid:677a0c7b-31cd-4b49-89ff-cbefb9aeb4e3","http://resolver.tudelft.nl/uuid:677a0c7b-31cd-4b49-89ff-cbefb9aeb4e3","Telecom Quantum Network Node via Atom-Nanophotonic Coupling","Glachman, Noah (University of Chicago); Menon, Shankar (University of Chicago); Chai, Yuzhou (University of Chicago); Singh, Kevin (University of Chicago); Dibos, Alan (Argonne National Laboratory); Borregaard, J. (TU Delft QN/Borregaard groep; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bernien, Hannes (University of Chicago)","","2022","We propose neutral atoms coupled to telecom nanophotonic cavities as quantum network nodes. Our novel protocol for generating atom-telecom photon entanglement enables a scalable network architecture featuring identical qubits and direct telecom operation.","","en","conference paper","Optica Publishing Group (formerly OSA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","QN/Borregaard groep","","",""
"uuid:35edcbc2-dbab-45c8-9b23-225d318d0ae2","http://resolver.tudelft.nl/uuid:35edcbc2-dbab-45c8-9b23-225d318d0ae2","Ultra-high photon flux high-harmonic generation","Tschernajew, Maxim (Active Fiber Systems, Jena); Hädrich, Steffen (Active Fiber Systems, Jena); Klas, Robert (Friedrich Schiller University Jena; Helmholtz-Institute Jena, Jena); Gebhardt, Martin (Friedrich Schiller University Jena; Helmholtz-Institute Jena, Jena); Horsten, R.C. (TU Delft ImPhys/Optics); Weerdenburg, S. (TU Delft ImPhys/Optics); Pyatchenkov, Sergey; Coene, W.M.J.M. (TU Delft ImPhys/Optics; ASML); Breitkopf, Sven (Active Fiber Systems, Jena)","","2022","We present a highly stable, easy-to-use HHG source delivering a record photon flux of >1011 photons/s at 69eV-75eV, being tunable to approx. 100eV which will be used for future photon-hungry applications.","","en","conference paper","Optica Publishing Group (formerly OSA)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Optics","","",""
"uuid:f7ec4e33-ca8e-4fed-a0f3-6a165a23a2ba","http://resolver.tudelft.nl/uuid:f7ec4e33-ca8e-4fed-a0f3-6a165a23a2ba","Quantum Control of the Tin-Vacancy Spin Qubit in Diamond","Debroux, Romain (University of Cambridge); Michaels, Cathryn P. (University of Cambridge); Purser, Carola M. (University of Cambridge); Wan, Noel (Massachusetts Institute of Technology); Trusheim, Matthew E. (Massachusetts Institute of Technology; U.S. Army Research Laboratory); Martίnez, Jesús Arjona (University of Cambridge); Parker, Ryan A. (University of Cambridge); Stramma, Alexander M. (Cambridge University Press); De Santis, L. (TU Delft QuTech Advanced Research Centre; TU Delft QID/Hanson Lab; Massachusetts Institute of Technology)","","2022","Group-IV colour centres in diamond are a promising light-matter interface for quantum networking devices. We demonstrate multiaxis coherent control of the SnV spin-qubit via an all-optical stimulated Raman drive between the ground and excited states.","","en","conference paper","Optica Publishing Group (formerly OSA)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","QID/Hanson Lab","","",""
"uuid:964d2879-e2fc-44b1-ad1c-79fb1c8e2f3d","http://resolver.tudelft.nl/uuid:964d2879-e2fc-44b1-ad1c-79fb1c8e2f3d","Diffraction-Limited Imaging Demonstration using a Silicon Integrated Array at Terahertz Frequencies","Hoogelander, M. (TU Delft Tera-Hertz Sensing); van Berkel, S.L.; Malotaux, E.S. (TU Delft Electronics); Alonso Del Pino, M. (TU Delft Tera-Hertz Sensing); Spirito, M. (TU Delft Electronics); Neto, A. (TU Delft Tera-Hertz Sensing); Cavallo, D. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2022","In this contribution we will present the diffraction-limited imaging capabilities of a focal plane array (FPA) of antenna-coupled direct-detectors at submillimeter wavelengths. The FPA prototype is a tightly sampled, 12-pixel array that was developed in a 22 nm CMOS technology and it covers a band from 200 GHz to 600 GHz. A quasi-optical (QO) setup was developed to actively illuminate this FPA in order to perform imaging with > 40 dB SNR. The resulting images will be the first that have diffraction-limited angular resolution at these wavelengths, which demonstrates that this FPA design can be very attractive for future passive THz imaging applications.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Tera-Hertz Sensing","","",""
"uuid:45a0437e-0b7d-4d70-a605-5f31d7ab9719","http://resolver.tudelft.nl/uuid:45a0437e-0b7d-4d70-a605-5f31d7ab9719","W-Band Demonstration of Dynamic, High-Gain Beam Steering with a Scanning Lens Phased Array","Bosma, S. (TU Delft Tera-Hertz Sensing); van Rooijen, N. (TU Delft Tera-Hertz Sensing); Alonso Del Pino, M. (TU Delft Tera-Hertz Sensing); Spirito, M. (TU Delft Electronics); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2022","We report the measured results of a sparse, 4x1 scanning lens phased array prototype at W-band that is capable of beam steering a directive (>30 dBi) beam towards ±20° with sidelobe levels around -10 dB. The array elements are high-aperture-efficiency resonant leaky-wave lens antennas with a feed that suppresses the spurious TM0 mode over a wide bandwidth by using a circular waveguide in a ground plane surrounded by annular corrugations. The scanning lens phased array relies on simultaneous electrical and mechanical phase shifting to steer the beams. We use 15 GHz IQ-mixers followed by x6 multipliers to achieve electronic amplitude and phase control at W-band and a piezo-electric motor for mechanical phase shifting, which allows us to scan this array up to 20°. Measurements at 90 GHz of the lens array are in excellent agreement with simulations. More measurement results will be presented at the conference.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-27","","","Tera-Hertz Sensing","","",""
"uuid:02201e61-d511-4448-b594-79391f46dafd","http://resolver.tudelft.nl/uuid:02201e61-d511-4448-b594-79391f46dafd","A Leaky Enhanced Photo-Conductive Connected Array for Broadband Generation of THz Power","Bueno Lopez, J. (TU Delft Electronics); Huiskes, M. (Student TU Delft); Zhang, H. (TU Delft Tera-Hertz Sensing); Sberna, P.M. (TU Delft EKL Processing); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing)","","2022","Photoconductive antennas are devices that provide power up to THz frequencies at a relatively low cost. However, the power radiated by each antenna is typically quite low and arrays have been proposed to increase it. In this paper we present the design of a leaky enhanced array architecture that surpasses the state of the art as it operates efficiently for frequencies up to 1THz, without excessive complications in the manufacturing. This architecture is compared with a ‘standard’ array, showing a broader bandwidth and a higher emitted detected signal.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:5c643232-f24d-403f-83a7-8d788e679cd7","http://resolver.tudelft.nl/uuid:5c643232-f24d-403f-83a7-8d788e679cd7","A Volumetric Method of Moments for Integrated Lens Antennas","Ozzola, R. (TU Delft Tera-Hertz Sensing); Geng, J. (Student TU Delft); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Freni, A. (University of Florence); Cavallo, D. (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing)","","2022","A Volumetric Method of Moments accelerated by means of iterative solvers combined with FFT matrix-vector products has been presented. The method allows analyzing small lens antennas with their integrated feeds efficiently since different structures and geometries can be studied with the same discretization, just by adding the material characteristics in post-processing.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Tera-Hertz Sensing","","",""
"uuid:dcd5cbb4-6eee-430f-b7fa-f325fb6e6970","http://resolver.tudelft.nl/uuid:dcd5cbb4-6eee-430f-b7fa-f325fb6e6970","The Chronology of Historical Glass Constructions","Rehde, Franziska (Technische Universität Dresden); Heinrich, Maria (Technische Universität Dresden); Schmölder, Alexandra (University of Bamberg); Lohr, Katharina (Technische Universität Dresden); Louter, P.C. (TU Delft Applied Mechanics)","Belis, Jan (editor); Bos, Freek (editor); Louter, Christian (editor)","2022","Glass surfaces are characteristic elements of façades and make a significant contribution to the authenticity of architectural monuments. Glass as a material is considered an important testimony of its time. Depending on the manufacturing process, it differs both in surface and material composition. The period of high modernism (ca. 1880-1970) overlapped with the technical developments of the industrial revolution, which led from manual production to industrial production. The further development of manufacturing processes as well as the dimensions and qualities of the glass thus shaped the development of glass constructions, which had to be made increasingly slimmer over time in order to guarantee a high degree of transparency. Today, historical windows are often replaced by new glazing made of float glass, which can cause the authentic character of buildings to be lost. A team working on the research project at the Technical University of Dresden and the University of Bamberg has therefore set itself the goal of examining in detail the glass and its construction in the period from around 1880 to around 1970. The aim is to define the living character of industrially manufactured glass from the time before the introduction of float glass as an authentic and style-defining feature of the period. The present work focuses on the chronological presentation of the development of glass designs. Furthermore, the development and use of refined flat glass is analysed and presented. This includes wired glass, laminated glass, thermally toughened glass, insulating glass and curved glass. The significance of historical glass constructions for engineers and planners can be derived from the results and the evaluation.","Historical Glass; Historical Glass Constructions; Development of Glass; High Modernism","en","conference paper","Challenging Glass","","","","","","","","","","Applied Mechanics","","",""
"uuid:e0298d28-3c66-442b-ba92-8235f732d0ff","http://resolver.tudelft.nl/uuid:e0298d28-3c66-442b-ba92-8235f732d0ff","Image-based Material Characterization for Daylight Simulation Using Illuminance-proxy and Artificial Neural Networks","Forouzandeh Shahraki, N. (TU Delft Building Physics); Brembilla, E. (TU Delft Building Physics); Jakubiec, John Alstan (University of Toronto)","","2022","A key aspect of daylight modeling is the definition of material optical properties. Characterization of such properties in existing indoor spaces with current methods is a labour-intensive and time-consuming task, especially in surfaces with considerable visual complexity. Faster and more accurate estimations of such properties will lead to more efficient workflows. Towards this direction, the present work studied the feasibility of using two novel approaches i.e. illuminance-proxy and probabilistic image based material characterization methods for implementation in daylight modeling. These approaches are compared with two common techniques, namely the manual selection from a measured dataset and the use of illuminance/luminance measurements. According to the results, both novel techniques are able to predict spatiallyaveraged Daylight Autonomy, continuous Daylight Autonomy, and Useful Daylight Illuminance in 300-3000 lx range with less than 5% error","on-site; measurement; visual; Digitalization; Optical","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Building Physics","","",""
"uuid:aabc9f00-02ab-4de2-94ca-e356a7342970","http://resolver.tudelft.nl/uuid:aabc9f00-02ab-4de2-94ca-e356a7342970","Editorial Challenging Glass Conference 8","Belis, Jan (Universiteit Gent); Bos, Freek (Eindhoven University of Technology); Louter, P.C. (TU Delft Applied Mechanics)","Belis, Jan (editor); Bos, Freek (editor); Louter, Christian (editor)","2022","We gradually emerge from two difficult years of the covid-19 pandemic raging through the world in waves of constantly changing intensities. The associated uncertainties really put the ‘challenging’ into Challenging Glass this time. Still, some of our peers are not able to join us in Ghent, and they will be sorely missed. Nevertheless, we are happy to be able to return this year to the ‘real thing’: a live event which allows the international glass community to finally meet again in person. An excellent opportunity, especially within the UN-declared International Year of Glass 2022. We look forward to reconnect with international colleagues and old friends, but we also want to extend a particularly warm welcome to new and young peers who perhaps have not yet had many opportunities to build their professional network.","","en","conference paper","Challenging Glass","","","","","","","","","","Applied Mechanics","","",""
"uuid:2d425be6-dd41-40ae-930d-48e47b0709e3","http://resolver.tudelft.nl/uuid:2d425be6-dd41-40ae-930d-48e47b0709e3","Quantification of the risk reduction potential of autonomous navigation","de Vos, J. (TU Delft Ship Design, Production and Operations); Pruyn, J.F.J. (TU Delft Ship Design, Production and Operations); Hekkenberg, R.G. (TU Delft Ship Design, Production and Operations)","","2022","Autonomous ships have become a topic of interest for an increasing number of researchers over the last few years. Most of the research that is being performed focuses on autonomous navigation. An important driver for this research is the belief that autonomous navigation will increase safety at sea. In order to evaluate the possible safety benefit of autonomous navigation, it is essential to have an understanding of the risk associated with navigation-related accidents. In this paper, a monetary quantification of the risk associated with navigation-related accidents will be presented, to support designers in determining the acceptable costs of an autonomous navigation system.. It is the intention to provide order-of-magnitude figures for the annual risk for different ship types and sizes. Although it is acknowledged that the analysis comes with uncertainties, the results provide an overview contribution that different damage cases make to the overall risk per year, associated with navigation-related accidents. It is found that the annual risk can be expected to be between €1.5 billion and €2.5 billion, or a €45k to €75k risk per vessel per year. Consequently, the maximum annual safety benefit of autonomous navigation is equal to this figure if autonomous navigation will be able to prevent all navigation-related accidents.","Autonomous Navigation; Autonomy benefits; Navigation-related accidents; Risk analysis; Safety at sea","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Ship Design, Production and Operations","","",""
"uuid:1c92f837-d1fa-49c8-bf4a-902afaeb78b3","http://resolver.tudelft.nl/uuid:1c92f837-d1fa-49c8-bf4a-902afaeb78b3","An evaluation of suitable methods to deal with deep uncertainty caused by the energy transition in ship design","Zwaginga, J.J. (TU Delft Ship Design, Production and Operations); Pruyn, J.F.J. (TU Delft Ship Design, Production and Operations)","","2022","The maritime energy transition presents deep uncertainties that are difficult to deal with in the current ship design process. Even though other fields have stressed using adaptive strategies and explorative methods to deal with deep uncertainty, it is rarely included in ship design. Therefore, this paper compares three applicable methods to investigate how such aspects could support the design process. Each method is found to offer specific improvements to decision making, but no separate method meets the established criteria to the desired degree. The methods are found to be complementary, and by developing a combined method for ship design, ships can be better prepared to deal with deep uncertainty.","Adaptability; Energy Transition; Ship Design; Sustainable Fuels; Uncertainty","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Ship Design, Production and Operations","","",""
"uuid:7fe1d1cc-6f0d-4391-8332-68392d194e2f","http://resolver.tudelft.nl/uuid:7fe1d1cc-6f0d-4391-8332-68392d194e2f","Factors Influencing the Economic Feasibility of Unmanned Ships","Kooij, C. (TU Delft Ship Design, Production and Operations; NHL University of Applied Science)","","2022","The research effort into unmanned and autonomous ships has increased significantly over the last decade. Although not all required technology is currently commercially available, consensus is that from a technical standpoint, unmanned shipping is possible. The next question is: is it economically feasible to operate an unmanned ship. The article investigates which operation parameters influence the economic feasibility of differently sized unmanned ships the most.","autonomous ships; cost benefit analysis; Economic viability; feasibility; unmanned ships","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Ship Design, Production and Operations","","",""
"uuid:fa4c9dba-19ca-456d-a244-66558fe69aff","http://resolver.tudelft.nl/uuid:fa4c9dba-19ca-456d-a244-66558fe69aff","Using fiber bragg gratings for shape monitoring and adjustment of a thermal protection system aboard a targeted re-entry cubesat","Vanhamel, J. (TU Delft Space Systems Egineering); Eaton, Nicholas (Space Acoustics GmbH); Spreij, Roemer (von Karman Institute for Fluid Dynamics)","","2022","Currently, the amount of space debris is increasing rapidly due to the tremendous amount of satellite launches. Having an autonomous re-entry system aboard satellites at their end-of life, creates possibilities in the frame of lowering space debris, as well as for sample return from space. This paper aims at describing two possible deployment systems for the Thermal Protection System. Also, the integration of an onboard Fibre Bragg Grating-based shape monitoring system, coupled to an adaptive control system is described. Additionally, several re-entry trajectory steering systems are investigated, combined with an inflatable concept analysis. The combination of a deployable Thermal Protection System, an onboard closed feedback loop for shape monitoring, and the ability to adapt the shape of the Thermal Protection System, creates the possibility to design an autonomous dynamically steered re-entry system.","Thermal Protection System; cubesat,; Fibre Bragg grating; shape monitoring; heat shield","en","conference paper","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:0ff034fd-4715-4b40-849f-dedeb04ecfef","http://resolver.tudelft.nl/uuid:0ff034fd-4715-4b40-849f-dedeb04ecfef","Chances for the upscaling of living-cost neutral renovations in the Netherlands","Mlecnik, E. (TU Delft Real Estate Management)","","2022","Many multi-owned condominiums need an energy renovation, but in practice the number of such renovations is low. Dutch policy emphasizes the need to search for cost-neutral renovation solutions. This study therefore examined how the number of living-cost neutral renovations of buildings owned by assemblies of homeowners in the Netherlands can be increased by means of an integrated unburdening offer that includes energy performance guarantees and supply chain integration. The study uses scientific literature, project experiences and in-depth interviews with experts to examine how policy, demand and supply currently play a role in the upscaling of living-cost neutral renovations. The study finds that customized guidance is needed for condominium associations to steer their maintenance decision processes towards deep renovations. Also chances are detected to link living cost neutrality with energy neutrality, while developing an integrated supply chain that takes into account full process guidance including the provision of performance guarantees. This is now already successfully implemented in a new non-profit service desk and a new process model will be tested in multiple regions.","housing refurbishment; renovation; Energy Efficiency; renewable energy systems; energy transition","en","conference paper","IOP Publishing","","","","","","","","","","Real Estate Management","","",""
"uuid:f5e7c49c-97a8-4554-88dc-1e4af0f06b6c","http://resolver.tudelft.nl/uuid:f5e7c49c-97a8-4554-88dc-1e4af0f06b6c","The need for a female perspective in designing agent-based negotiation support","Bouman, Katja (Student TU Delft); Lefter, I. (TU Delft System Engineering); Rook, L. (TU Delft Economics of Technology and Innovation); Oertel, Catharine (TU Delft Interactive Intelligence); Jonker, C.M. (TU Delft Interactive Intelligence); Brazier, F.M. (TU Delft System Engineering)","","2022","This study investigates whether an agent-based Negotiation Training System (NTS) can teach women Strategic Empathy - a recently introduced negotiation strategy based on perspective taking - and whether this can improve their negotiation performance. Developed and tested through an interaction-based real-time experiment was a NTS that integrated instructions on how to utilize Strategic Empathy. Women in the experimental group showed significantly higher levels of perspective-taking compared to the control group, and their understanding and use of Strategic Empathy increased over time. Also, a significant positive effect was found of Strategic Empathy on women's self-efficacy. No significant positive effect was found of Strategic Empathy on persistence. The high cognitive load of the experiment and a lack of intrinsic motivation may have caused this finding. Overall, this work demonstrates the applicability of using NTS to teach Strategic Empathy, and its effectiveness for enhancing women's self-efficacy in salary negotiations.","negotiation training systems; perspective taking; strategic empathy; women in negotiation","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","System Engineering","","",""
"uuid:a87385e4-99fa-461d-97df-05b74bfa8a1a","http://resolver.tudelft.nl/uuid:a87385e4-99fa-461d-97df-05b74bfa8a1a","DEFEAT: Deep Hidden Feature Backdoor Attacks by Imperceptible Perturbation and Latent Representation Constraints","Zhao, Zhendong (University of Chinese Academy of Sciences; Chinese Academy of Sciences); Chen, Xiaojun (University of Chinese Academy of Sciences; Chinese Academy of Sciences); Xuan, Yuexin (University of Chinese Academy of Sciences; Chinese Academy of Sciences); Dong, Ye (University of Chinese Academy of Sciences; Chinese Academy of Sciences); Wang, Dakui (University of Chinese Academy of Sciences; Chinese Academy of Sciences); Liang, K. (TU Delft Cyber Security)","","2022","Backdoor attack is a type of serious security threat to deep learning models. An adversary can provide users with a model trained on poisoned data to manipulate prediction behavior in test stage using a backdoor. The backdoored models behave normally on clean images, yet can be activated and output incorrect prediction if the input is stamped with a specific trigger pattern. Most existing backdoor attacks focus on manually defining imperceptible triggers in input space without considering the abnormality of triggers' latent representations in the poisoned model. These attacks are susceptible to backdoor detection algorithms and even visual inspection. In this paper, We propose a novel and stealthy backdoor attack - DEFEAT. It poisons the clean data using adaptive imperceptible perturbation and restricts latent representation during training process to strengthen our attack's stealthiness and resistance to defense algorithms. We conduct extensive experiments on multiple image classifiers using real-world datasets to demonstrate that our attack can 1) hold against the state-of-the-art defenses, 2) deceive the victim model with high attack success without jeopardizing model utility, and 3) provide practical stealthiness on image data.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:9d421b60-cd3c-4200-a4d6-d9edc325983a","http://resolver.tudelft.nl/uuid:9d421b60-cd3c-4200-a4d6-d9edc325983a","Gromit: Benchmarking the Performance and Scalability of Blockchain Systems","Nasrulin, B. (TU Delft Dataintensive Systems); de Vos, M.A. (TU Delft Dataintensive Systems); Ishmaev, G. (TU Delft Dataintensive Systems); Pouwelse, J.A. (TU Delft Dataintensive Systems)","O'Conner, L. (editor)","2022","The growing number of implementations of blockchain systems stands in stark contrast with still limited research on a systematic comparison of performance characteristics of these solutions. Such research is crucial for evaluating fundamental trade-offs introduced by novel consensus protocols and their implementations. These performance limitations are commonly analyzed with ad-hoc benchmarking frameworks focused on the consensus algorithm of blockchain systems. However, comparative evaluations of design choices require macro-benchmarks for uniform and comprehensive performance evaluations of blockchains at the system level rather than performance metrics of isolated components. To address this research gap, we implement Gromit, a generic framework for analyzing blockchain systems. Gromit treats each system under test as a transaction fabric where clients issue transactions to validators. We use Gromit to conduct the largest blockchain study to date, involving seven representative systems with varying consensus models. We determine the peak performance of these systems with a synthetic workload in terms of transaction throughput and scalability and show that transaction throughput does not scale with the number of validators. We explore how robust the subjected systems are against network delays and reveal that the performance of permissoned blockchain is highly sensitive to network conditions.","Benchmark; blockchain performance; reproducibility; stress testing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-27","","","Dataintensive Systems","","",""
"uuid:9a668a1c-0869-4a36-9054-49f394ff0903","http://resolver.tudelft.nl/uuid:9a668a1c-0869-4a36-9054-49f394ff0903","Automated Access Control via License Plate Recognition using Neocognitron Neural Network","Rothkrantz, L.J.M. (TU Delft Interactive Intelligence)","","2022","In 1979 Fukushima developed a hierarchical, multilayered neural network called Neocognitron and used it for the automatic recognition of handwritten Japanese symbols. We combined the Neocognitron classifier with a special image and segment processor and applied the system in 2001 for automatic recognition of license plates in laboratory experiments. In this paper we report about a special image acquisition module and a postprocessor. We tested the system in real life conditions in an application of automated access control.","access controls; characteristics Dutch license plates; image acquisition; license plate recognition; Neocognitron neural network","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Interactive Intelligence","","",""
"uuid:aee764c1-861a-443e-81e3-818397481186","http://resolver.tudelft.nl/uuid:aee764c1-861a-443e-81e3-818397481186","Extending the Open-Short de-embedding frequency via metal-l on-wafer calibration approaches","Esposito, C. (Technische Universität Dresden); De Martino, C. (TU Delft Electronics; Vertigo Technologies); Lehmann, S. (GlobalFoundries); Zhao, Z. (GlobalFoundries); Mothes, S. (GlobalFoundries); Kretzschmar, C. (Technische Universität Dresden); Schroter, M. (Technische Universität Dresden); Spirito, M. (TU Delft Electronics)","","2022","In this contribution, We analyze the bandwidth versus accuracy trade-offs of conventional two-step de-embedding approaches, often employed to extract the device model parameters. The accuracy limitation of incorporating the pad/line section of classical DUT test-fixtures into shunt-series complex and frequency-dependent elements is analyzed by means of linear circuit simulations and EM parametric analysis. The de-embedding accuracy is then evaluated by employing 3D surfaces to include both the frequency and the geometrical dependency. To validate the presented analysis, classical device monitoring parameters are extracted versus frequency for the same nMOS device embedded in two different fixtures. One topology only supports pad level calibration, thus including the fixture pad/line section in the de-embedding process. The second topology allows a direct on-Wafer calibration (reference plane set on metal-1 in close proximity to the DUT) thus minimizing the residual parasitics to be removed by the de-embedding step. Experimental data are then presented and compared to simulation test benches to highlight the improved consistency of the extracted model parameters of the metal-1 calibration approach up to 220GHz.","Calibration; De-embedding; mm-Wave; Open-Short","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:bf433d06-13a4-4ec0-856f-18b6b08ffb0f","http://resolver.tudelft.nl/uuid:bf433d06-13a4-4ec0-856f-18b6b08ffb0f","Development of an Active Aeroelastic Parametric Wing Apparatus","Schildkamp, R.R.M. (TU Delft Aerospace Structures & Computational Mechanics); Wang, Xuerui (TU Delft Aerospace Structures & Computational Mechanics); Chang, J. (TU Delft Aerospace Structures & Computational Mechanics); De Breuker, R. (TU Delft Aerospace Structures & Computational Mechanics); Sodja, J. (TU Delft Aerospace Structures & Computational Mechanics)","","2022","This paper presents the development and initial characterization of an active, para- metric wing section with aileron and spoiler control surfaces. This wing section is intended to replace the currently used passive wing section setup and facilitate research in active con- trol techniques of aeroelastic phenomena such as flutter, gust load alleviation, and limit cycle oscillations. Baseline capabilities of the passive setup such as a variable center of mass, pitch axis location and spring stiffnesses are retained, while control surfaces, sensors, a single-board computer, and a mechanism for adjustable aileron free play were includedin the new design. Various system identification tests, such as a ground vibration test, flutter and control reversal speed tests, the actuator frequency response and static aerodynamic interaction between spoiler and aileron, were performed to identify the characteristics of the wing section. Finally, as a proof-of-concept, gust load alleviation tests show the difference between open- and closed-loop gust response when the aileron and spoiler are controlled with PID control.","aeroelasticity; wind tunnel; experimental; design; spoiler; gust load alleviation","en","conference paper","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:8737cc6e-6434-4167-9e97-57248acccf4b","http://resolver.tudelft.nl/uuid:8737cc6e-6434-4167-9e97-57248acccf4b","Incremental Nonlinear Control for Aeroelastic Wing Load Alleviation and Flutter Suppression","Schildkamp, R.R.M. (TU Delft Aerospace Structures & Computational Mechanics); Chang, J. (TU Delft Aerospace Structures & Computational Mechanics); Sodja, J. (TU Delft Aerospace Structures & Computational Mechanics); De Breuker, R. (TU Delft Aerospace Structures & Computational Mechanics); Wang, Xuerui (TU Delft Aerospace Structures & Computational Mechanics)","","2022","This paper proposes an incremental nonlinear control method for aeroelastic sys- tem gust load alleviation and active flutter suppression. These two control objectives can be achieved without modifying the control architecture or the control parameters. The proposed method has guaranteed stability in the Lyapunov sense and also has robustness against external disturbances and model mismatches. The effectiveness of this control method is validated by wind tunnel tests of an active aeroelastic parametric wing apparatus, which is a typical wing section containing heave, pitch, flap, and spoiler degrees of freedom. Wind tunnel experiment results show that the proposed nonlinear incremental control can reduce the maximum gust loads by up to 46.7% and the root mean square of gust loads by up to 72.9%, while expanding the flutter margin by up to 15.9%.","aeroservoelasticity; wind tunnel experiment; gust load alleviation; flutter suppression; nonlinear incremental control","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-09","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:cee0a222-b0d0-4ba3-a78d-f8acaba9f643","http://resolver.tudelft.nl/uuid:cee0a222-b0d0-4ba3-a78d-f8acaba9f643","Preconditioners for Multi-Screen Scattering","Cools, K. (Universiteit Gent); Urzúa-Torres, Carolina (TU Delft Numerical Analysis)","","2022","In this contribution, a well-conditioned method for the modelling of scattering by so-called multi-screens or PEC sheets including junctions is introduced. The method starts from the inflated screen approach by Claeys and Hiptmair. We introduce a Calderón preconditioner and a suitable discretisation scheme. The resulting scheme contains many more DoFs than strictly required. We will show how almost all redundancy can be removed without significant loss of effectiveness of the method.","","en","conference paper","IEEE","","","","","","","","","","Numerical Analysis","","",""
"uuid:21e4ec81-5d41-45bb-bd2b-d54bce4daad8","http://resolver.tudelft.nl/uuid:21e4ec81-5d41-45bb-bd2b-d54bce4daad8","Site-Specific Ultra-Low-Sidelobe Phased Array Topologies for Sparse Areas of Particular Shape","Aslan, Y. (TU Delft Microwave Sensing, Signals & Systems)","","2022","Optimal design of uniformly-fed aperiodic millimeter-wave phased array topologies for site-specific and quasi interference-free operation is presented. Several use cases with different number of line-of-sight cells in the close vicinity of the base station, and for various widths and shapes of the cells, are analyzed. The arrays are synthesized by applying an iterative convex optimization technique. The simulation results of 256-element arrays indicate strong intra-cell and inter-cell isolation with around −40 dB maximal side lobe level. The proposed technique is found to be especially useful in the application scenarios supporting sparsely distributed narrow communication cells.","5G; ntenna radiation patterns; communication systems; optimization methods; phased arrays","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:12c8d993-e337-4a2d-83bf-150c21723004","http://resolver.tudelft.nl/uuid:12c8d993-e337-4a2d-83bf-150c21723004","Opportunities, Progress and Challenges in Active Heatsink Antenna Arrays for 5G and Beyond","Aslan, Y. (TU Delft Microwave Sensing, Signals & Systems)","","2022","The conventional air and liquid cooling based thermal management strategies in mm-wave active phased arrays are illustrated with several examples from the literature. The key role of antenna engineers in electronics thermal management is discussed. The intriguing concept of using the antennas as auxiliary heat dissipators is recapitulated with a focus on the past and recent developments. The multiphysics (electromagnetic and thermal) modeling and design approaches of such dual function antennas are outlined. Some of the future multidisciplinary research challenges in heatsink antennas are identified.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:13cac00d-d109-4498-bf09-36ffd2b63131","http://resolver.tudelft.nl/uuid:13cac00d-d109-4498-bf09-36ffd2b63131","Policy instruments for energy-efficient renovations at district level","Mlecnik, E. (TU Delft Real Estate Management); Hidalgo-Betanzos, Juan Maria (Universidad del Paıs Vasco)","","2022","IEA EBC Annex 75 aims to investigate, amongst other policy for reducing carbon emissions and energy use in buildings at district level, combining both energy efficiency measures and renewable energy measures. Particularly policy instruments deployed at the local level targeting owners and investors could increase residential building renovation and renewable energy systems to a district scale. A desk and empirical research using 38 expert interviews from 8 European countries was used to investigate the use and development of various types of policy instruments, including regulations, incentives, communication and facilitating instruments. The research confirms interest of frontrunners to use or develop specific policy instruments further, such as enforcement of energy standards and inspections, financial incentives for district stakeholders and for groups of homeowners, renovation services and energy desks, digital communication and network meetings in districts. It also finds that low relative advantage for some stakeholders, lack of good examples, an incompatible legal or national framework and high complexity are serious risks that can further hinder adoption of energy efficiency and renewable energy systems in districts. Renovations at district scale including energy efficiency and renewable energy can benefit from adapted or improved regulations, incentives, communication and facilitation to better support renovations at district scale including energy efficiency and renewable energy systems.","Energy Efficiency; renewable energy systems; renovation; district; housing refurbishment; energy policy; local authorities","en","conference paper","IOP Publishing","","","","","","","","","","Real Estate Management","","",""
"uuid:fe529348-c7f6-4613-9fd7-9589f9e09ec9","http://resolver.tudelft.nl/uuid:fe529348-c7f6-4613-9fd7-9589f9e09ec9","End-Effector Contact Force Estimation for Aerial Manipulators","Bredenbeck, A. (TU Delft Control & Simulation); Della Santina, C. (TU Delft Learning & Autonomous Control); Hamaza, S. (TU Delft Control & Simulation)","","2022","Unmanned Aerial Vehicles (UAVs) are widely used for environmental surveying and exploration thanks to their maneuverability and accessibility. Until recently, however, these platforms were mainly used as passive systems that observe their environments visually and do not interact physically. The capability of UAVs to physically interact with their environment, also known as Aerial Manipulators (AMs), allows them to do a wider variety of tasks. These tasks include contact inspection, manipulation of objects, and more. To successfully interact with the environment, the AM must compensate for the contact-induced disturbance forces. One approach is to estimate the contact force and compensate for it within the control approach. This work introduces a framework to estimate the contact force at the End-Effector (EE) using only state measurements of the generic AM. Further, the evaluation of the framework in a simulation of an AM with a tendon-driven robotic arm shows that it precisely estimates the contact force.","aerial manipulation; aerial robotics; soft robotics; aerial physical interaction","en","conference paper","IEEE","","","","","","","","","","Control & Simulation","","",""
"uuid:bae5126a-e48a-4299-bf09-5c08b06f392e","http://resolver.tudelft.nl/uuid:bae5126a-e48a-4299-bf09-5c08b06f392e","Optimal Sensor Launching with UAVs for Monitoring of Hazardous Environments","Farinha, Andre (Imperial College London); Hamaza, S. (TU Delft Control & Simulation); Burroughes, Guy (Culham Science Centre); Kovac, Mirko (Imperial College London)","","2022","Sensor launching is an approach to remote sensor placement which can accurately deploy sensor nodes while maintaining a safe distance from obstacles, making it a promising method for hazardous environments such as nuclear facilities. Moreover, as long as the sensor’s trajectory can be accurately predicted, up to ±5 cm precision can be achieved with little onboard computation and perception. This extended abstract covers a robust method to predict said trajectories and the formulation of an optimal problem to find effective initial launching poses.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:cccfd44f-b8af-4297-92e7-e5eec44e0a84","http://resolver.tudelft.nl/uuid:cccfd44f-b8af-4297-92e7-e5eec44e0a84","An alternating frequency-time harmonic balance method for fast-slow dynamical systems","Tsetas, A. (TU Delft Dynamics of Structures); Tsouvalas, A. (TU Delft Dynamics of Structures; TU Delft Offshore Engineering); Metrikine, A. (TU Delft Offshore Engineering; TU Delft Engineering Structures)","","2022","The Alternating Frequency-Time (AFT) Harmonic Balance method has been widely applied in the analysis of non-linear mechanical systems under periodic excitation. Customarily, a periodic displacement is considered as ansatz in a harmonic balance analysis. In the present work, a deviation from the latter ansatz is realized and the periodicity is assumed in the velocity, leading to a linear term in the displacement of the system. The latter approach aims to facilitate the analysis of a certain class of systems, which are characterized by a fast periodic motion and a slow non-periodic motion. The motivation of this study originates in the area of offshore engineering and more specifically in the topic of monopile installation. During vibratory pile installation, the pile is forced into the soil under the combined action of a periodic excitation at the pile top and the self-weight of the pile and the vibratory device. As a result, the pile simultaneously penetrates into the soil as a rigid body (slow motion) and vibrates in the driving frequency and its super-harmonics both as a rigid and a flexible body (fast motion). In this study, the AFT harmonic balance with the ansatz of periodic velocity is implemented in different problem cases. A set of non-linear mechanical systems are analysed, ranging from a single-degree-of-freedom to a continuum, to showcase the potential application of the method and to verify its accuracy.","harmonic balance; nonlinear vibrations; Galerkin method; Coulomb friction","en","conference paper","Society of Acoustics","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Engineering Structures","Dynamics of Structures","","",""
"uuid:9369e2a3-b65e-48e9-b300-bbaacdd7e09c","http://resolver.tudelft.nl/uuid:9369e2a3-b65e-48e9-b300-bbaacdd7e09c","A New Baseline for Feature Description on Multimodal Imaging of Paintings","van der Toorn, J. (Student TU Delft); Wiersma, R.T. (TU Delft Computer Graphics and Visualisation); Vandivere, Abbie (Royal Picture Gallery Mauritshuis); Marroquim, Ricardo (TU Delft Computer Graphics and Visualisation); Eisemann, E. (TU Delft Computer Graphics and Visualisation)","","2022","Multimodal imaging is used by conservators and scientists to study the composition of paintings. To aid the combined analysis of these digitisations, such images must first be aligned. Rather than proposing a new domain-specific descriptor, we explore and evaluate how existing feature descriptors from related fields can improve the performance of feature-based painting digitisation registration. We benchmark these descriptors on pixel-precise, manually aligned digitisations of ''Girl with a Pearl Earring'' by Johannes Vermeer (c. 1665, Mauritshuis) and of ''18th-Century Portrait of a Woman''. As a baseline we compare against the well-established classical SIFT descriptor. We consider two recent descriptors: the handcrafted multimodal MFD descriptor, and the learned unimodal SuperPoint descriptor. Experiments show that SuperPoint starkly increases description matching accuracy by 40% for modalities with little modality-specific artefacts. Further, performing craquelure segmentation and using the MFD descriptor results in significant description matching accuracy improvements for modalities with many modalityspecific artefacts.","Image registration; Cultural Heritage; Technical Imaging; Image Processing","en","conference paper","The Eurographics Association","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:b64f6296-e747-47e9-81e3-622db0ebc781","http://resolver.tudelft.nl/uuid:b64f6296-e747-47e9-81e3-622db0ebc781","Optimizing deep reinforcement learning policies for deteriorating systems considering ordered action structuring and value of information","Andriotis, C. (TU Delft Structural Design & Mechanics); Papakonstantinou, K.G. (Pennsylvania State University)","Li, J. (editor); Spanos, Pol D. (editor); Chen, J.B. (editor); Peng, Y.B. (editor)","2022","Inspection and maintenance (I&M) optimization entails many sources of computational complexity, among others, due to high-dimensional decision and state variables in multi-component systems, long planning horizons, stochasticity of objectives and constraints, and inherent uncertainties in measurements and models. This paper studies how the above can be addressed within the context of constrained Partially Observable Markov Decision Processes (POMDPs) and Deep Reinforcement Learning (DRL) in a unified fashion. Special emphasis is paid on how ordered action structuring of I&M actions can be exploited to decompose the respective policy parametrizations in actor-critic DRL schemes, resulting into fully decoupled maintenance and inspection actors. It is shown that the Value of Information (VoI) is naturally utilized in such POMDP control frameworks, as directly associated with the DRL advantage functions that emerge in the gradient computations of the inspection policy parameters. Overall, the presented approach, following the natural flow of engineering decisions, results in new architectural configurations for policy networks, facilitating more efficient training, while alleviating further the dimensionality burdens related to combinatorial definitions of I&M actions. The efficiency of the methodology is demonstrated in numerical experiments of a structural system subject to corrosion, where the optimization problem is formulated to concurrently account for state and model uncertainties as well as long-term probability of failure exceedance constraints. Results showcase that the obtained DRL policies considerably outperform standard decision rules.","inspection & maintenance; deep reinforcement learning; partially observable Markov decision processes; value of information; stochastic constraints; decision theory","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Structural Design & Mechanics","","",""
"uuid:7b012629-4029-403a-8c23-404073a6b6c9","http://resolver.tudelft.nl/uuid:7b012629-4029-403a-8c23-404073a6b6c9","Automated Aerial Screwing with a Fully Actuated Aerial Manipulator","Schuster, Micha (Technische Universität Dresden); Bernstein, David (Technische Universität Dresden); Reck, Paul (Technische Universität Dresden); Hamaza, S. (TU Delft Control & Simulation); Beitelschmidt, Michael (Technische Universität Dresden)","","2022","The tasks that unmanned aerial vehicles (UAVs) have taken upon have progressively grown in complexity over the years, alongside with the level of autonomy with which they are carried out. In this work, we present an example
of aerial screwing operations with a fully-actuated tilt-rotor platform. Key contributions include a new control framework to automate screwing operations through a robust hole search and in-hole detection algorithm. These are achieved without a-priori knowledge of the exact hole location, and without
the use of external tools, such as vision based hole detection or force sensors. Wrench coupling is implemented to account for the platform's kinematic constraints during screwing. The application of a constant contact force and a compliant response
to induced disturbances are obtained with the use of admittance
control. The full framework is validated with extensive flight
experiments that demonstrate the effectiveness of each subsystem,
as well as the complete architecture. We also validate
the robustness of the detection algorithm against false positives.
Within the results we demonstrate the ability to perform the
automated task with a 86% success rate over 35 flights, and
measured hole search time of 9s (median value).","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Control & Simulation","","",""
"uuid:5306dbf8-9f07-45cd-9399-bff71097ea59","http://resolver.tudelft.nl/uuid:5306dbf8-9f07-45cd-9399-bff71097ea59","Designing reliable, data-driven maintenance for aircraft systems with applications to the aircraft landing gear brakes","Lee, J. (TU Delft Air Transport & Operations); Mitici, M.A. (TU Delft Air Transport & Operations); Geng, S. (TU Delft Safety and Security Science); Yang, M. (TU Delft Safety and Security Science)","Leva, Maria Chiara (editor); Patelli, Edoardo (editor); Podofillini, Luca (editor); Wilson, Simon (editor)","2022","When designing the maintenance of multi-component aircraft systems, we consider parameters such as safety margins (used when component replacements are scheduled), and reliability thresholds (used to define data-driven Remaining-Useful-Life prognostics of components). We propose Gaussian process learning and novel adaptive sampling techniques to efficiently optimize these design parameters. We illustrate our approach for aircraft landing gear bakes. Data-driven, Remaining-Useful-Life prognostics for brakes are obtained using a Bayesian linear regression. Pareto optimal safety margins for scheduling brake replacements are identified, together with Pareto optimal reliability thresholds for prognostics.","","en","conference paper","ESREL","","","","","","","","","","Air Transport & Operations","","",""
"uuid:03f30f02-d764-4f08-92fc-3ee1475f0663","http://resolver.tudelft.nl/uuid:03f30f02-d764-4f08-92fc-3ee1475f0663","Reconstructing Intimate Contexts through Data Donation: A Case Study in Menstrual Tracking Technologies","Gomez Ortega, A. (TU Delft Internet of Things); Bourgeois, J. (TU Delft Internet of Things); Kortuem, G.W. (TU Delft Internet of Things)","","2022","Ubiquitous wearable and mobile technologies generate vast amounts of data from sensors and self-logging applications. This data creates opportunities to better understand people’s behavior and inform research on intimate topics such as menstruation. However, in design and HCI research, reconstructing the context in which data was collected and understanding the lived experience behind the data often requires the active participation of people. In this paper, we augment the concept of data donation beyond data collection to explore the possibilities of actively engaging data donors in the (intimate) interpretation of their data. Specifically, we define and implement a menstrual logs data donation journey. We received data sets from 35 donors over five weeks, 13 of whom participated in reconstructing the context of their data. We translate our experience into a conceptualization of designerly data donation around the data, data donors, and data receivers, which we discuss along with its implications.","Data Donation; Personal Data; Intimate Data; Data-Centric Design; Menstrual Tracking; Self-Tracking","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Internet of Things","","",""
"uuid:04bee27f-3d02-410f-969b-b855d60754ca","http://resolver.tudelft.nl/uuid:04bee27f-3d02-410f-969b-b855d60754ca","Embedding Phylogenetic Trees in Networks of Low Treewidth","van Iersel, L.J.J. (TU Delft Discrete Mathematics and Optimization); Jones, M.E.L. (TU Delft Discrete Mathematics and Optimization); Weller, Mathias (CNRS)","Chechik, Shiri (editor); Navarro, Gonzalo (editor); Rotenberg, Eva (editor); Herman, Grzegorz (editor)","2022","Given a rooted, binary phylogenetic network and a rooted, binary phylogenetic tree, can the tree be embedded into the network? This problem, called Tree Containment, arises when validating networks constructed by phylogenetic inference methods. We present the first algorithm for (rooted) Tree Containment using the treewidth t of the input network N as parameter, showing that the problem can be solved in 2O(t2) |N| time and space.","display graph; embedding; fixed-parameter tractability; phylogenetic network; phylogenetic tree; tree containment; treewidth","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:d7a3df24-6f18-46fe-ba6d-8e6fafa101d3","http://resolver.tudelft.nl/uuid:d7a3df24-6f18-46fe-ba6d-8e6fafa101d3","SIG on Data as Human-Centered Design Material","Gomez Ortega, A. (TU Delft Internet of Things); van Kollenburg, Janne (Philips Design Innovation); Shen, Yvette (Ohio State University); Murray-Rust, D.S. (TU Delft Human Information Communication Design); Nedić, Dajana (University of Arkansas, Fayetteville,); Jimenez Garcia, Juan (Carleton University); Meijer, Wo (TU Delft Internet of Things); Kumar Chaudhary, Pranshu (National Institute of Design, Ahmedabad); Bourgeois, J. (TU Delft Internet of Things)","","2022","Designers and HCI researchers from industry and academia have been exploring the opportunities that emerge from incorporating behavioral data into the design process. For this, designers employ and combine data from multiple sources, multiple scales, and types to obtain valuable insights that inform and support design decisions. This combination unfolds through interdisciplinary collaborations, enabled by various methods and approaches, including participatory data analysis, sense-making interviews, co-design workshops, and data storytelling. However, due to the personal nature of behavioral data and the open-ended, iterative approach of HumanCentered Design, data-centric design activities clash with current HCI and data science practices. As both industry and academia increasingly use data-centric design processes, we recognize a need to share both examples and experiences to reinforce that most practices (and failed experiences) do not yet emerge solely from the literature. In this Special Interest Group, we aim to provide a space for design, data, and HCI researchers and practitioners to connect, reflect on the current practices, and explore potential approaches to further integrating behavioral data into design activities.","Human-Centered Design; Data-Centric Design; Participatory Design; Behavioural Data","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-28","","","Internet of Things","","",""
"uuid:e17aea39-238d-4db9-92c9-06cc760b3d33","http://resolver.tudelft.nl/uuid:e17aea39-238d-4db9-92c9-06cc760b3d33","Single-Leg Forward Hopping via Nonlinear Modes","Calzolari, Davide (Technische Universität München; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Della Santina, C. (TU Delft Learning & Autonomous Control; Technische Universität München; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Giordano, Alessandro Massimo (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR); Technische Universität München); Albu-Schaffer, Alin (Technische Universität München; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2022","Implementing dynamic legged locomotion entails stabilizing oscillatory behaviors in complex mechanical systems. Whenever possible, locomotion algorithms should also exploit the improved capabilities of elastic elements added to the structure to improve efficiency and robustness. This work aims to shed some light on implementing generic dynamic locomotion by stabilizing nonlinear modes. The nonlinear modal analysis extends the linear modal theory to nonlinear systems and thus characterizes the oscillations that a robot can execute as autonomous evolutions. We execute forward hopping motions with a single segmented elastic leg as the first step towards generic modal locomotion. We propose a locomotion algorithm that exploits the modes of an extension of the SLIP model. We develop this strategy to generalize to other robotic systems, and we extensively validate it with experiments on an elastically actuated segmented leg.","Legged locomotion; Motion segmentation; Modal analysis; Heuristic algorithms; Robustness; Trajectory; Mechanical systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-05","","","Learning & Autonomous Control","","",""
"uuid:52cfca5f-8656-4f21-b363-e9b5f34bf501","http://resolver.tudelft.nl/uuid:52cfca5f-8656-4f21-b363-e9b5f34bf501","Periodic Load Estimation of a Wind Turbine Tower using a Model Demodulation Transformation","Pamososuryo, A.K. (TU Delft Team Jan-Willem van Wingerden); Mulders, S.P. (TU Delft Team Jan-Willem van Wingerden); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2022","The ever-increasing power capacities of wind turbines promote the use of tall and slender turbine towers. This poses a challenge from a fatigue loading perspective by the relocation of the first and lightly-damped tower side-side natural frequency into the turbine operating regime, promoting its excitation during nominal operation. The excitation of this resonance can be aggravated by periodic loading in the presence of rotor mass and/or aerodynamic imbalance. Earlier work already presented a method to prevent the side-side excitation using a combination of model demodulation and quasilinear parameter varying model predictive control techniques. However, the method does not incorporate features for active control for side-side load mitigations. Because the information of the beforementioned periodic side-side loading is unknown and unmeasurable in practical scenarios, this paper presents a Kalman filtering method for its estimation in a demodulated fashion. The Kalman filter employs an extended demodulated wind turbine model augmented with random walk models of the periodic load. The simulation result demonstrates the effectiveness of the proposed method in estimating the periodic load components along with unmeasurable tower states in their demodulated form. These estimates pose an opportunity for use in future advanced controller designs for active load reductions.","Torque; Tracking; Poles and towers; Loading; Estimation; Mathematical models; Wind turbines","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-05","","","Team Jan-Willem van Wingerden","","",""
"uuid:d5835ecf-f209-4713-b711-1de0ea804306","http://resolver.tudelft.nl/uuid:d5835ecf-f209-4713-b711-1de0ea804306","On Learning Discrete-Time Fractional-Order Dynamical Systems","Chatterjee, Sarthak (Rensselaer Polytechnic Institute); Gonçalves Melo Pequito, S.D. (TU Delft Team Sergio Pequito)","","2022","Discrete-time fractional-order dynamical systems (DT-FODS) have found innumerable applications in the context of modeling spatiotemporal behaviors associated with long-term memory. Applications include neurophysiological signals such as electroencephalogram (EEG) and electrocorticogram (ECoG). Although learning the spatiotemporal parameters of DT-FODS is not a new problem, when dealing with neurophysiological signals we need to guarantee performance standards. Therefore, we need to understand the trade-offs between sample complexity and estimation accuracy of the system parameters. Simply speaking, we need to address the question of how many measurements we need to collect to identify the system parameters up to an uncertainty level. In this paper, we address the problem of identifying the spatial and temporal parameters of DT-FODS. The main result is the first result on non-asymptotic finite-sample complexity guarantees of identifying DT-FODS. Finally, we provide evidence of the efficacy of our method in the context of forecasting real-life intracranial EEG time series collected from patients undergoing epileptic seizures.","Uncertainty; Time series analysis; Measurement uncertainty; Estimation; Electroencephalography; Spatiotemporal phenomena; Complexity theory","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-05","","","Team Sergio Pequito","","",""
"uuid:b0513881-b2e7-4985-bd22-0f49a121e6b8","http://resolver.tudelft.nl/uuid:b0513881-b2e7-4985-bd22-0f49a121e6b8","Physics-Guided Neural Networks for Feedforward Control: An Orthogonal Projection-Based Approach","Kon, Johan (Eindhoven University of Technology); Bruijnen, Dennis (Philips Research); van de Wijdeven, Jeroen (ASML); Heertjes, Marcel (Eindhoven University of Technology; ASML); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2022","Unknown nonlinear dynamics can limit the performance of model-based feedforward control. The aim of this paper is to develop a feedforward control framework for systems with unknown, typically nonlinear, dynamics. To address the unknown dynamics, a physics-based feedforward model is complemented by a neural network. The neural network output in the subspace of the model is penalized through orthogonal projection. This results in uniquely identifiable model coefficients, enabling increased performance and similar task flexibility with respect to the model-based controller. The feedforward framework is validated on a representative system with performance limiting nonlinear friction characteristics.","Training; Maximum likelihood detection; Limiting; System dynamics; Nonlinear filters; Cost function; Feedforward neural networks","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-05","","","Team Jan-Willem van Wingerden","","",""
"uuid:d8f5fd22-44e8-4075-afef-acdda1ad82b0","http://resolver.tudelft.nl/uuid:d8f5fd22-44e8-4075-afef-acdda1ad82b0","Design of a Haptic Palmar Device with Thumb Flexion and Circumduction Movements for Sensorimotor Stroke Rehabilitation","Rätz, R. (University of Bern); Müri, René M. (University of Bern); Marchal Crespo, L. (TU Delft Human-Robot Interaction; University of Bern)","","2022","To address the clinical need for high-intensity, repetitive sensorimotor hand training after stroke, we developed in a first step a novel haptic device for practicing finger movements. Because the thumb plays a fundamental role in the loss of autonomy and prehensile functions after stroke, we present here the development of a thumb module that complements our previous design. The novelties of our device are that it reduces the complexity to a minimum from a user perspective while still allowing anatomical thumb flexion/extension and circumduction movements with a highly functional range of motion. Moreover, it enables sensorimotor training thanks to its backlash-free and backdrivable actuation that allows for high-quality haptic rendering. Our device was co-created together with clinicians to incorporate clinical and anatomical requirements, and therefore, maximize its clinical relevance.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Human-Robot Interaction","","",""
"uuid:2de09196-5fa7-4177-953d-769572353cde","http://resolver.tudelft.nl/uuid:2de09196-5fa7-4177-953d-769572353cde","A Switching Thrust Tracking Controller for Load Constrained Wind Turbines","Gonzalez Silva, J. (TU Delft Team Riccardo Ferrari); van der Hoek, D.C. (TU Delft Team Jan-Willem van Wingerden); Mulders, S.P. (TU Delft Team Jan-Willem van Wingerden); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2022","Wind turbines are prone to structural degradation, particularly in offshore locations. Based on the structural health condition of the tower, power de-rating strategies can be used to reduce structural loads at the cost of power losses. This paper introduces a novel closed-loop switching control architecture to constrain the thrust in individual turbines. By taking inspiration from developments in the field of reference governors, an existing demanded power tracking controller is extended by a thrust tracking controller. The latter is activated only when a user-defined constraint on fore-aft thrust force is exceeded, which can be set based on the actual damage status of the turbine. Having a down-regulation with monotonic aerodynamic load response, a simple linear thrust tracking controller is proposed. Such a scheme can reduce aerodynamic loads while incurring acceptable losses on power production which, in a wind farm setting, can be compensated for by other turbines. Large eddy simulations demonstrate the performance of the proposed scheme on satisfying thrust constraints.","Costs; Wind speed; Switches; Production; Wind farms; Predictive models; Aerodynamics","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-05","","","Team Riccardo Ferrari","","",""
"uuid:d1f7ede6-9096-441c-876c-44998b2b33a2","http://resolver.tudelft.nl/uuid:d1f7ede6-9096-441c-876c-44998b2b33a2","A non-causal approach for suppressing the estimation delay of state observer","Tsurumoto, Kentaro (University of Tokyo); Ohnishi, Wataru (University of Tokyo); Koseki, Takafumi (University of Tokyo); Strijbosch, Nard (Eindhoven University of Technology); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology; University of Tokyo)","","2022","BState estimation is essential for tracking conditions which can not be directly measured by sensors, or are too noisy. The aim of this poster is to present an approach to mitigate the phase delay without compromising the noise sensitivity, by using accessible future data. Such use of future data can be possible in cases like Iterative Learning Control, where full data of the previous trial is acquired beforehand. The effectiveness of the presented approach is verified through a motion system experiment, successfully showing the state estimation improvement in time domain. The presented non-causal approach improves the trade-offs between the phase delay of the estimation and the noise sensitivity of the state observer.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-05","","","Team Jan-Willem van Wingerden","","",""
"uuid:e55f9a6f-600c-463c-a81d-3e356e34d988","http://resolver.tudelft.nl/uuid:e55f9a6f-600c-463c-a81d-3e356e34d988","Feedforward of Sampled-Data System for High-Precision Motion Control using Basis Functions with ZOH Differentiator","Mae, Masahiro (University of Tokyo); van Haren, Max (Eindhoven University of Technology); Ohnishi, Wataru (University of Tokyo); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology); Fujimoto, Hiroshi (University of Tokyo)","","2022","Feedforward control has an important role in high-precision mechatronic systems. The aim of this research is to design a discrete-time feedforward controller to improve on-sample and intersample errors. The developed approach is parameterized using a linear combination of parameters and basis functions, which results in a parameterization that has intuitive physical meaning. The basis functions are designed with a differentiator that considers the sampled-data and zero-order-hold aspects. The performance improvement is demonstrated by comparing the developed approach with a conventional basis function design for a motion system.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-05","","","Team Jan-Willem van Wingerden","","",""
"uuid:d1436cb5-1496-4f0f-adab-c84b2862162e","http://resolver.tudelft.nl/uuid:d1436cb5-1496-4f0f-adab-c84b2862162e","An Alternative Micro LED Mass Transfer Technology: Self-Assembly","Ji, Liangzheng (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology); Liu, Pan (Fudan University)","","2022","Micro LED display technology has been spotlighted as the most promising technology compared to LCD and OLED. Its excellent advantages include higher brightness, self-illumination, higher resolution, lower power consumption, faster response, higher integration, higher stability, thinner thickness, longer life, etc. In terms of the unique benefits, it is attracting increasing attention from industries. With the commercialization of Micro LED technology, the following hurdles are identified: wafer manufacturing, full color, bonding, and mass transfer. Among them, mass transfer is so far considered as the most severe bottleneck. Several mass transfer technologies have emerged, including fine picking and placing, roll printing, laser transferring, and fluid self-assembly, which aim to solve the mass transfer problems. However, the aforementioned first 3 types of technologies still rely on the pick-and-place process, which is limited when the Micro LED die dimension shrinks to smaller scales due to processability and equipment precision. Fluidity self-assembly, on the other hand, will not be constrained by the Micro LED size and machine accuracy in the mass transfer process, which received increasing attention from researchers. In the self-assembly of component level, gravitational attraction, magnetic /electromagnetic fields, and capillary force are considered the mainstream force to facilitate the assembly process. Therefore, the component self-assembly becomes a prospective substitute for the Micro LED mass transfer solution, which overcomes the problems of the trade-off between throughput and the placement accuracy of the pick-and-place technology.","Micro LED; Mass transfer; Pick-and-place; Selfassembly","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:f53ead41-a958-458f-8cbc-3e0097001d5b","http://resolver.tudelft.nl/uuid:f53ead41-a958-458f-8cbc-3e0097001d5b","Finite Element Modeling for Thermal Conductivity of Cement-based Encapsulation Materials","Gao, Hanyan (Fudan University); Zhang, Jing (Heraeus Materials Technology); Zhu, Yingcan (University of Southern Queensland); Guo, Ruiqian (Fudan University); Zhang, Wanlu (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Pan (Fudan University; Research Institute of Fudan University, Ningbo; Yiwu Research Instiute of Fudan)","","2022","With the trend of miniaturization and the increasing power density, the operating temperature of electronic devices keeps climbing, especially for wide band-gap semiconductors such as silicon carbide and gallium nitride. The high operating temperature up to 250℃ brings challenges to encapsulation materials since traditional encapsulation materials such as epoxy resins and silicone gels hardly bear temperatures above 200℃. Calcium aluminate cement (CAC) was proved to be a promising encapsulation material, which owns high thermal stability with its operating temperature of up to 300℃. Based on its satisfied thermal stability and low cost, the thermal conductivity of CAC was researched in this work with different ratios of 10-μm-sphere-Alumina (Al 2 O 3 ) fillers at different temperatures, which formed μm-scale CAC-Al 2 O 3 composites. In this work, we focused on the thermal conductivity of CAC-Al 2 O 3 composites aiming for encapsulation applications in power electronics packaging. The thermal conductivities of μm-scale CAC-Al 2 O 3 composites by the laser-flash method from room temperature to 350℃ were firstly measured. Results showed with an increasing content of fillers, the TC of CACAl 2 O 3 will increase accordinglyIt also illustrated that calcium aluminate cement was a high thermal stable encapsulation material with thermal conductivity over epoxy resins. Then, the Finite Element Model (FEM) was established and calibrated by experimental data for thermal conductivity simulation. The FEM model accuracy reached 90%. Such models for new filler materials are effective to minimize material development by actual experiments and characterizations, for CAC composite with different fillers. It also provides an alternative method in predicting other physical properties of composites such as coefficient of thermal expansion, porosity, etc.","Encapsulation; Finite element modeling; Cement; Thermal conductivity; Electronic packaging","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:00c0c0b4-78e2-4c89-a351-79cba207f056","http://resolver.tudelft.nl/uuid:00c0c0b4-78e2-4c89-a351-79cba207f056","Review of Laser Sintering of Nanosilver Pastes for Die Attachment: Technologies and Trends","Chen, Haixue (Fudan University); Zhang, Jing (Heraeus Materials Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Pan (Fudan University; Research Institute of Fudan University, Ningbo)","","2022","Nanosilver pastes have been regarded as the most promising die-attach materials for high-temperature and high-power applications due to their advantages such as excellent thermal conductivity, electrical conductivity, high temperature resistance, and good shear strength. However, the common hot pressing sintering process for nanosilver pastes has the limitations of long sintering time and complicated sintering processes. Thus, laser sintering has been proposed as a rapid sintering method that attracts increasing interest due to its advantages of high energy density, fast temperature rise, easy densification, etc. In this review, the recent advances in laser sintering processes were summarized, including pressure laser sintering, backside sintering, and hybrid bimodal laser sintering. The effects of various laser sintering process parameters on joint performance, such as laser power, sintering pressure, irradiation time, and defocusing amount, were further discussed. The rapid sintering mechanism of laser sintering silver nanoparticles(AgNPs) was revealed, while microscopic explanations need to be further explored. This review provided ideas and methods for subsequent researchers to develop rapid sintering methods for power electronic packaging.","Nanosilver; Laser sintering; Die Attachment","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:005b3281-eb9d-44ce-9e66-ad37e4931dd6","http://resolver.tudelft.nl/uuid:005b3281-eb9d-44ce-9e66-ad37e4931dd6","A TCAD Simulation Study on the Short-circuit Performance of 650V P-pillar Offset Super-junction MOSFET","Yuan, Wucheng (Southern University of Science and Technology); Liu, Ke (Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics); Tan, C. (TU Delft Electronic Components, Technology and Materials); Ye, H. (Southern University of Science and Technology)","","2022","The limitation of Silicon based power MOSFET was broken by the super-junction (SJ) structure, which can provide lower specific on-resistance and higher breakdown voltage compared with the conventional power MOSFET structure. Multi-epitaxial and multi-ion-implant technology, as a mature manufacturing process of the SJ structure, has been widely used in the field of SJ-MOSFET. Therefore, this process is applied to construct the cell structure of 650V SJ-MOSFET in our study. Based on practical application, high current caused by unexpected short circuit will induce an increasing of the internal temperature of SJ-MOSFET, which leads to an irreversible damage in the SJ-MOSFET devices. However, the short-circuit robustness of SJ-MOSFET is still unstable, and the structure needs to be further improved. In our study, the electrical performance of a 650V SJ-MOSFET with offset P-pillar is theoretically investigated by means of technology computer aided design (TCAD) when the SJ-MOSFET is short circuited. The results clearly show that the optimized SJ-MOSFET can withstand the source-drain voltage of 400V for at least 10 μs in the case of the short-circuit. The thermal distribution and peak temperature of the cell structure of SJ-MOSFET are also simulated to assist in the analysis of the short circuit capable of the device. In addition, the hole current density distribution of two SJ-MOSFETs is considered to gain insight into the effect of P-pillar parameters on the short-circuit robustness. The result represents that the structure with offset P-pillar can effectively improve the short-circuit capability.","Super-junction structure; Short circuit; P-pillar offset; TCAD simulation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-Electronics","","",""
"uuid:5b98065c-8069-4933-8f9e-147edb367f80","http://resolver.tudelft.nl/uuid:5b98065c-8069-4933-8f9e-147edb367f80","Study on Reverse Recovery of a P-pillar Tunable Super-Junction MOSFET*","Liu, Ke (Southern University of Science and Technology); Yuan, Wucheng (Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics); Tan, C. (TU Delft Electronic Components, Technology and Materials); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology)","","2022","In this paper, a novel bubble-shift super junction (SJ) MOSFET structure is proposed, and its main static electrical parameters and reverse recovery characteristics are simulated by TCAD software tool. By designing the P-pillar ion implantation windows with a certain offset, the bubble-shift SJ-MOSFET contains a curved pillar region in the upper half of the P-pillar. In the reverse recovery test of the proposed bubble-shift SJ-MOSFET, the peak reverse recovery current (I rrm ) is reduced from 16.04 A to 15.21 A, and the current drop rate (di/dt) is reduced from 1587 A/μs to 815 A/μs. Correspondingly, the proposed device achieves a better reverse recovery characteristic while sacrificing a small fraction of the drain-source breakdown voltage (BV) and drain-source special on-resistance (R on,sp ). Compared with the BV of 700 V and the R on,sp of 9 mΩ·cm 2 of the benchmark SJ-MOSFET. The proposed device has a BV of 650 V and a R on,sp of 12.4 mΩ·cm 2 . Mechanistically, the non-uniform depletion of the curved P-pillar reduces the carrier extraction rate, thereby prolonging the reverse current drop time (t f ) and increasing the softness factor (S) of the bubble-shift SJ-MOSFET.","super junction; reverse recovery; P-pillar; bubbleshift; TCAD","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-Electronics","","",""
"uuid:408ac6ca-f0dc-4d79-abbf-618cdea1c78f","http://resolver.tudelft.nl/uuid:408ac6ca-f0dc-4d79-abbf-618cdea1c78f","Bonding Process of Copper Foam-Silver Composite and Performance Characterization of the Joint","Lv, Guoping (Guilin University of Electronic Technology); Yan, Haidong (Zhejiang University); Yan, Haidong (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Wu, Xinke (Zhejiang University); Sheng, Kuang (Zhejiang University); Liu, Chaohui (National New Energy Vehicle Technology Innovation); Zhang, Yakun (National New Energy Vehicle Technology Innovation); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","As a key heat-dissipating and electrical interconnecting component in high-temperature power modules, die-attach and substrate-attach layers play an important role in effectively reducing the thermal resistance and improving the long-term reliability. Traditional substrate-attach materials limit the high-temperature applications of packaging modules due to their high thermal resistance and high-temperature reliability. To solve the above deficiency, a copper foam-silver composite was proposed in this paper, which was prepared by mixing copper foam solid skeleton with micron silver paste. According to the results of thermogravimetric analysis (TGA) of silver paste, the preheating process was determined and sintered at 270°C and 10MPa. The influence of different preparation technology on the quality of sintered joint was investigated. The morphology characteristics and distribution of sintered silver in the copper foam were observed by scanning electron microscope (SEM). The results show that the sintered silver of group C samples can be uniformly filled into the solid skeleton of copper foam, and the densification degree is high, without cracks, delamination, and holes. The shear strength can reach 55MPa.","large-area bonding; copper foam-Ag composite film; preparation process","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:d870e2f8-78ae-43d5-bef2-351fb755d8d6","http://resolver.tudelft.nl/uuid:d870e2f8-78ae-43d5-bef2-351fb755d8d6","Research on Thermal-Mechanical Properties of GaN Power Module Based on QFN Package by Using Nano Copper/Silver Sinter Paste","Li, Shizhen (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials); Fan, Jiajie (Fudan University); Tan, C. (TU Delft Electronic Components, Technology and Materials); Wang, S. (TU Delft Bio-Electronics); Xie, Bin (Hong Kong Applied Science and Technology Research Institute); Ye, H. (Southern University of Science and Technology)","","2022","The wide-bandgap semiconductors represented by GaN have a broad application prospect because of their high service temperature and high switch frequency. Quad-Flat-No-Lead (QFN) Package is currently one of the mainstream packaging methods due to its low cost and high efficiency. However, the low reliability of QFN used in GaN devices is still a crucial problem caused by elevated temperatures and the thermal stress induced by the mismatch of coefficient of thermal expansion (CTE). Therefore, it is necessary to control the temperature inner the package and increase the mechanical property of the bonding layer. In this paper, the finite element method (FEM) with thermal-mechanical coupling is performed to optimize the reliability of the bonding layer by adopting sinter nano Cu and silver. Based on the conventional QFN package module, we tried to add different metallization on the bonding surface to decrease the influence of CTE mismatch. We should note that the Anand viscoplastic model was used in the materials of Sintered Ag and lead-free solder paste presented by SAC305, which were the most commonly used in die-attachment. The results showed that the utilization of nano copper/silver paste could hardly facilitate thermal performance although sintered Ag had excellent thermal conductivity. Since the Anand modules of Ag and SAC305 were different, there were some impacts on the stress distribution and deformation. During the bonding process, a large thermal stress generated between die-attachment layer and Package or the PCB. The die-attachment layer formed by nano Ag paste suffered the smaller thermal stress because its CTE is comparable to that of thermal pad. In terms of sintered Ag, the bonding layer generated more elastic strain. As the deformation recovered to initial stage, the stress decreased because of the elastic strain. And we also found that the Ag metallization could decreased the maximum stress of model at heating stage. But Ag metallization suffered larger thermal stress as the temperature decreased. The selection of connection materials and metallization are a crucial part of design the structure of electronic package. And this paper could provide a reference for optimize the package structure to further improve their reliability in future works.","GaN; QFN; simulation; sintered Ag; thermal stress","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:5df258b8-8c1c-4d84-b9af-f4381f9e6c0f","http://resolver.tudelft.nl/uuid:5df258b8-8c1c-4d84-b9af-f4381f9e6c0f","Urban Air Traffic Management For Collision Avoidance with Non-Cooperative Airspace Users","Panchal, Isha (Technische Universität München; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Metz, I.C. (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Ribeiro, M.J. (TU Delft Control & Simulation); Armanini, S.F. (Technische Universität München)","","2022","With the rise of new and innovative Urban Air Mobility solutions, there also arises a need to integrate these into the existing airspace. Current airspace users include conventional civil, commercial and general aviation, military air users, police and emergency services as well as a plethora of avian life. Planned additions to the airspace are electric vertical take-off and landing vehicles such as logistics drones and air taxis. The airspace for conventional users is stringently controlled. Urban Air Mobility operations are expected to mainly take place in individual corridors to be added to the currently uncontrolled low-level airspace. This airspace is also intended for various types of drone operations, out of which, small-scale drones can be non-co-operative. In addition, the operational altitudes of Urban Air Mobility aircraft will strongly expose them to birds. Due to abundance of these non-cooperating airspace users (like hobby-drones and birds), conflicts with Urban Air Mobility aircraft are expected to be inevitable. The aim of this paper is to develop a concept of Urban Air Mobility Collision Avoidance System to reduce the likelihood of collision between air taxis and non-cooperating airspace users. As such, this work proposes the introduction of an additional safety layer to prevent collisions during operations of strong exposure. The concept consists of a conflict detection and resolution method tailored for Urban Air Mobility operations. A three-dimensional safety envelope is designed using the geometric and performance values of the aircraft configurations currently available. Procedures to avoid conflicts prior to as well as during the flights are presented. Finally, the concept is visualized for the common use case of a shuttle service between an airport and a railway station. The results demonstrate the importance of incorporating individual aircraft configuration into conflict avoidance approach and report its effect to avoid collision.","Air Taxi Drones; Electric Vertical Take-Off and Landing Vehicles; Collision Avoidance; Conflict Detection & Resolution (CD&R); Urban air mobility; Traffic Management","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:765eeb0a-7421-4124-8ed1-b9ea15afcb57","http://resolver.tudelft.nl/uuid:765eeb0a-7421-4124-8ed1-b9ea15afcb57","Developing conceptual and methodological foundations for a cross-cultural, multi-institutional study of ethical reasoning and moral dispositions of engineering students","Gammon, A.R. (TU Delft Ethics & Philosophy of Technology); Zhu, Qin (Virginia Tech); Streiner, Scott (University of Pittsburgh); Clancy III, R.F. (TU Delft Ethics & Philosophy of Technology); Thorpe, Ryan (Shanghai Jiao Tong University)","","2022","This full research paper develops a framework for using comparative case studies to triangulate with quantitative survey data in engineering ethics education research.Ethics has long been recognized as crucial to responsible engineering, but the increasingly globalized environments of contemporary engineering present challenges to effective engineering ethics training. An overarching goal of our team’s larger project is to examine the effects of culture and education on ethics training in undergraduate engineering students at universities in the United States, China, and the Netherlands to assess how this training impacts students’ ethical reasoning and moral dispositions, and how this differs cross-culturally. To gauge students’ moral dispositions and ethical reasoning skills and to measure any change in these, we administer the Moral Foundations Questionnaire and the Engineering & Science Issues Test to engineering students longitudinally over four years. Because the conditions related to engineering ethics education differ widely per participating institution, interpreting and analyzing survey quantitative data will require understanding the contextual conditions of education at each institution. In this paper we ask the question what and how can case study methods contribute to longitudinal and cross-cultural ethics educational research with large data sets? To answer it, we develop conceptual and methodological foundations for the design of comparative, multi-institutional case studies to contextualize, complement, and interpret quantitative and qualitative data on ethical reasoning and moral dispositions. We develop comparative case studies to supply missing contextual information for triangulation with quantitative and qualitative data and to provide a more complete picture of the engineering ethics educational contexts, strategies, and practices at each of the participating universities. In this project, case studies provide informational and contextual significance to the other sources of data our research produces, elucidating conditions required to understand and make sense of the results of the research. In the paper we introduce our research project, motivate the use of case studies in our research by reviewing literature on case studies and multi-method triangulation in educational research. We explain how specific cases will be designed, and by providing the first step of two cases, timelines of ethics interventions for two degree programs, demonstrate the informational and interpretive need for comparative case studies in triangulating with other data sources. By using multiple case design to compare universities’ approaches in this frame, our analysis can respond to particular institutional educational contexts and cultural and language factors, make cross-cultural comparisons, and offer recommendations about responsible and culturally responsive engineering ethics education.","engineering ethics education; mixed methods research; case study; multi-method triangulation; multiculturalism","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Ethics & Philosophy of Technology","","",""
"uuid:1266e4f1-2ce8-4154-845c-12516750b51e","http://resolver.tudelft.nl/uuid:1266e4f1-2ce8-4154-845c-12516750b51e","Digital Infrastructures for Monitoring Circular Economy Investments by Financial Institutions and Government: A Research Agenda","Kräussl, Zsofia (University of Luxembourg); Baida, Ziv (Insights Unboxed); Post, Suzanne (Student TU Delft); Rukanova, B.D. (TU Delft Information and Communication Technology); Tan, Y. (TU Delft Information and Communication Technology)","","2022","Circular Economy (CE) and sustainability are getting high on the political agenda of governments on the global level. Businesses and supply chains are at the heart of that transition, and need to make big steps in the coming years for making the transition from a linear model of make-use-dispose towards a circular model. For this transition, financing plays a key role. Financial institutions operate in a highly regulated environment. In this context, we see two particular, yet complementary, areas where digital infrastructures can be of value to support this transition. They can (1) help the financial institutions gather data about supply chain operations and address the performance of financial instruments used for the green and circular transition (i.e. bottom-up, micro view); and (2) help regulators monitor the activities of financial institutions to ensure that provided financing is indeed used to stimulate circular supply chains (i.e. top-down, macro view). In this paper, we explore the scene for digital infrastructure deployment for CE monitoring when it comes to CE funding, and propose a framework and a research agenda on the topic.","eGovernment; circular economy; sustainability; finance; digital Infrastructures; public values; data analytics; risk management","en","conference paper","Digital Government Society","","","","","","","","","","Information and Communication Technology","","",""
"uuid:867205ff-b735-40f2-b1bc-88c7458d731b","http://resolver.tudelft.nl/uuid:867205ff-b735-40f2-b1bc-88c7458d731b","Ultra-high system detection efficiency superconducting nanowire single-photon detectors for quantum photonics and life sciences","Lopez Rodriguez, B. (TU Delft ImPhys/Optics); Chang, J. (TU Delft QN/Groeblacher Lab; TU Delft QN/Quantum Nanoscience; Kavli institute of nanoscience Delft); Los, Johannes W.N. (Single Quantum); Steinhauer, Stephan (AlbaNova University Center); Zwiller, Val (AlbaNova University Center); Esmaeil Zadeh, I.Z. (TU Delft ImPhys/Optics)","","2022","Ultra-high system detection efficiency (SDE) s uperconducting nanowire single-photon detectors are demonstrated for a broad range of wavelengths, from UV to mid-infrared, opening novel possibilities in the fields of quantum photonics, neuroimaging and astronomy.","","en","conference paper","Optica Publishing Group (formerly OSA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","QN/Quantum Nanoscience","ImPhys/Optics","","",""
"uuid:cc0bc055-fa9c-4adc-82b1-13e48873f8ad","http://resolver.tudelft.nl/uuid:cc0bc055-fa9c-4adc-82b1-13e48873f8ad","Áreas periurbanas en transformación: Estrategias para la resiliencia de los paisajes periurbanos en ciudades europeas y españolas","Diez-Medina, Carmen (Universidad de Zaragoza); Ezquerra, Isabel (Universidad de Zaragoza); Monclus Fraga, Javier (Universidad de Zaragoza); Pierini, Orsina Simona (Politecnico di Milano); Sucena, Sara (Faculdade de Arquitectura do Porto); Ioannou, O. (TU Delft Building Product Innovation)","Higueras García, Ester (editor); García-González, María Cristia (editor)","2022","In recent decades, the international debate on suburban sprawl and peri-urban growth in European cities has intensified. The decentralization of industrial, tertiary and residential activities, as well as the proliferation of road infrastructures have given rise to the fragmentation of the agricultural fabric with the formation of mixed-use, empty or residual spaces. On the other hand, the growing interest in the cultural, ecological and landscape values of peri-urban landscapes is evident.","Valores eco-culturales; áreas estratégicas; regeneración urbana; infraestructura verde y azul","es","conference paper","Instituto Juan de Herrera","","","","","","","","","","Building Product Innovation","","",""
"uuid:41ad58da-b6d8-42bc-bc85-9ba1d86253d9","http://resolver.tudelft.nl/uuid:41ad58da-b6d8-42bc-bc85-9ba1d86253d9","Inactivation of Escherichia coli and Staphylococcus aureus by using a UVC-LED module with a multi-wavelength setting","Lu, Zhiwei (Guilin University of Electronic Technology); Li, Xiaoling (Guilin University of Electronic Technology); Wei, Jinxiu (Guilin University of Electronic Technology); Cai, Miao (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Shenzhen Institute of Wide-bandgap Semiconductors)","","2022","UVC-LED is known as a deep ultraviolet LED. The application development and disinfection efficiency of UVC-LED modules are important problems encountered when UVC-LED products are rushed into commercialization. In this article, a specific disinfection experiment with a UVC-LED module was combined to analyze the disinfection efficiency. UVC-LEDs with wavelengths of 260 and 280 nm were used and supplemented with UVA-LEDs with wavelengths of 360 and 390 nm. The module was packaged to investigate the inactivation of Escherichia coli and Staphylococcus aureus. Two new findings were obtained through the analysis and comparison of the experiments. First, the short wavelength from UVA might have an enhanced destructive effect on microorganisms when the radiation intensity of UVA-LED was sufficient with coupling UVA and UVC. Second, 260 nm UVC-LED lamp beads might have a shorter response time to inactivate microorganisms than 280 nm UVC-LED lamp beads. Bactericidal experiments near the surface and different radiation distances showed that the inactivation rate reached 99.9% after 1 min of exposure when the UVC-LED module was set at 260 or 280 nm wavelength lamp beads for disinfection. The disinfection efficiency of 280 nm UVC-LED lamp beads was higher than that of 260 nm UVC-LED lamp beads because of the increased UV intensity. The radiation distance was within 7.5 cm range, the exposure time was 60 s, the inactivation rate was over 99.9%, and the disinfection effect was remarkable. For current UVC-LED applications, such as near-surface UVC-LED, disinfection and air purification products have a high value.","UVC-LED; disinfection experiment; inactivation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:ddbcfa30-6322-4b50-aad3-d61e386e5eec","http://resolver.tudelft.nl/uuid:ddbcfa30-6322-4b50-aad3-d61e386e5eec","Finite Element Analysis of Power Module Packages with One-step Molding for Power Inductors","Li, Xiao (Fudan University); Tang, Jiuyang (Fudan University); Zhao, Jiayan (Mazo Technology Company Limited); Li, Jinbing (Mazo Technology Company Limited); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Pan (Fudan University)","","2022","With the development of 5G communication technology and the rise of power semiconductors, the switching frequency of the circuit keeps increasing, which pushes for miniaturization of power modules and related components. Therefore, in this paper, a one-step molding technology was proposed for a DC/DC buck converter power module. We proposed a method of using Soft Magnetic Powder filled Epoxy (SMPE) adhesive as a molding material to encapsulate a power module, which is a DC/DC buck converter power module contains several passive components, 1 power inductor, and a high-efficiency switching regulator with two integrated N-channel MOSFETs. On the basis of Finite Element Method (FEM), models were firstly established with component level moldings and checked with actual module samples for calibration. Based on the calibrated model, inductors without component level molding were then simulated. SMPE with 4~7μm insulated carbonyl ferrous powder were prepared and measured the magnetic relative permeability. Such material was investigated to pot the whole power module as a one-step molding, instead of separate molding for the power inductor and the power module. After that, thermal analysis and inductance were calculated and compared.","Finite element simulation; Power module packaging; Relative Permeability; Thermal management","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:91a238a7-6a88-4805-9a79-c2e6f32105fb","http://resolver.tudelft.nl/uuid:91a238a7-6a88-4805-9a79-c2e6f32105fb","A Modern Perspective on Safe Automated Driving for Different Traffic Dynamics using Constrained Reinforcement Learning","Kamran, Danial (Karlsruhe Institut für Technologie); Simão, T. D. (Radboud Universiteit Nijmegen); Yang, Q. (TU Delft Algorithmics); Ponnambalam, C.T. (TU Delft Algorithmics); Fischer, Johannes (Karlsruhe Institut für Technologie); Spaan, M.T.J. (TU Delft Algorithmics); Lauer, Martin (Karlsruhe Institut für Technologie)","","2022","The use of reinforcement learning (RL) in real-world domains often requires extensive effort to ensure safe behavior. While this compromises the autonomy of the system, it might still be too risky to allow a learning agent to freely explore its environment. These strict impositions come at the cost of flexibility and applying them often relies on complex parameters and hard-coded knowledge modelled by the reward function. Autonomous driving is one such domain that could greatly benefit from more efficient and verifiable methods for safe automation. We propose to approach the automated driving problem using constrained RL, a method that automates the trade off between risk and utility, thereby significantly reducing the burden on the designer. We first show that an engineered reward function for ensuring safety and utility in one specific environment might not result in the optimal behavior when traffic dynamics changes in the exact environment. Next we show how algorithms based on constrained RL which are more robust to the environmental disturbances can address this challenge. These algorithms use a simple and easy to interpret reward and cost function, and are able to maintain both, efficiency and safety without requiring reward parameter tuning. We demonstrate our approach in the automated merging scenario with different traffic configurations such as low or high chance of cooperative drivers and different cooperative driving strategies.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Algorithmics","","",""
"uuid:89220b07-4efb-4edf-b342-7bf24b4c7ca1","http://resolver.tudelft.nl/uuid:89220b07-4efb-4edf-b342-7bf24b4c7ca1","Single photon emission from waveguide-integrated color centers in silicon","Prabhu, Mihika (Massachusetts Institute of Technology); Errando-Herranz, Carlos (Massachusetts Institute of Technology; University of Münster); De Santis, L. (TU Delft QID/Hanson Lab; Massachusetts Institute of Technology); Christen, Ian (Massachusetts Institute of Technology); Chen, Changchen (Massachusetts Institute of Technology); Englund, Dirk (Massachusetts Institute of Technology)","","2022","We demonstrate silicon color centers coupled to foundry-compatible silicon waveguides. We produced G-centers via carbon implantation in commercial silicon-on-insulator waveguides and measure through-waveguide single-photon emission in the telecommunications O-band.","","en","conference paper","Optica Publishing Group (formerly OSA)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","QID/Hanson Lab","","",""
"uuid:ef84ea9b-67aa-4b96-9c13-1bb3d04836da","http://resolver.tudelft.nl/uuid:ef84ea9b-67aa-4b96-9c13-1bb3d04836da","Back to the Future: Solving Hidden Parameter MDPs with Hindsight","Ponnambalam, C.T. (TU Delft Algorithmics); Kamran, Danial (Karlsruhe Institut für Technologie); Simão, T. D. (Radboud Universiteit Nijmegen); Oliehoek, F.A. (TU Delft Interactive Intelligence); Spaan, M.T.J. (TU Delft Algorithmics)","","2022","","Reinforcement Learning; Partial Observability; Transfer Learning","en","conference paper","","","","","","","","","","","Algorithmics","","",""
"uuid:50940ec2-f316-46d4-88e2-4da9c2125ab0","http://resolver.tudelft.nl/uuid:50940ec2-f316-46d4-88e2-4da9c2125ab0","Energy Savings of Multi-Channel Neurostimulators with Non-Rectangular Current-Mode Stimuli Using Multiple Supply Rails","Kolovou Kouri, K. (TU Delft Bio-Electronics; Fraunhofer Institute for Reliability and Microintegration IZM); Rashidi, A. (TU Delft Bio-Electronics); Varkevisser, F. (TU Delft Bio-Electronics); Serdijn, W.A. (TU Delft Bio-Electronics; Erasmus MC); Giagka, Vasiliki (TU Delft Bio-Electronics; Fraunhofer Institute for Reliability and Microintegration IZM)","","2022","In neuromodulation applications, conventional current mode stimulation is often preferred over its voltage mode equivalent due to its good control of the injected charge. However, it comes at the cost of less energy-efficient output stages. To increase energy efficiency, recent studies have explored non-rectangular stimuli. The current work highlights the importance of an adaptive supply for an output stage with programmable non-rectangular stimuli and accordingly proposes a system-level architecture for multi-channel stimulators. In the proposed architecture, a multi-output DC/DC Converter (DDC) allows each channel to choose among the available supply levels (i.e., DDC outputs) independently and based on its instant voltage/current requirement. A system-level analysis is carried out in Matlab to calculate the possible energy savings of this solution, compared to the conventional approach with a fixed supply. The energy savings have been simulated for a variety of supply levels and waveform amplitudes, suggesting energy savings of up to 83% when employing 6 DDC outputs and the lowest current amplitude explored (250A), and as high as 26% for a full-scale amplitude (4 mA).","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-Electronics","","",""
"uuid:0662053f-6005-428a-9911-cb682e9697e0","http://resolver.tudelft.nl/uuid:0662053f-6005-428a-9911-cb682e9697e0","Transformer Convolutional Neural Networks for Automated Artifact Detection in Scalp EEG","Peh, Wei Yan (Nanyang Technological University); Yao, Yuanyuan (Student TU Delft); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","","2022","It is well known that electroencephalograms (EEGs) often contain artifacts due to muscle activity, eye blinks, and various other causes. Detecting such artifacts is an essential first step toward a correct interpretation of EEGs. Although much effort has been devoted to semi-automated and automated artifact detection in EEG, the problem of artifact detection remains challenging. In this paper, we propose a convolutional neural network (CNN) enhanced by transformers using belief matching (BM) loss for automated detection of five types of artifacts: chewing, electrode pop, eye movement, muscle, and shiver. Specifically, we apply these five detectors at individual EEG channels to distinguish artifacts from background EEG. Next, for each of these five types of artifacts, we combine the output of these channel-wise detectors to detect artifacts in multi-channel EEG segments. These segment-level classifiers can detect specific artifacts with a balanced accuracy (BAC) of 0.947, 0.735, 0.826, 0.857, and 0.655 for chewing, electrode pop, eye movement, muscle, and shiver artifacts, respectively. Finally, we combine the outputs of the five segment-level detectors to perform a combined binary classification (any artifact vs. background). The resulting detector achieves a sensitivity (SEN) of 42.0%, 32.0%, and 13.3%, at a specificity (SPE) of 95%, 97%, and 99%, respectively. This artifact detection module can reject artifact segments while only removing a small fraction of the background EEG, leading to a cleaner EEG for further analysis.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-08","","","Signal Processing Systems","","",""
"uuid:5201ccef-c1fb-4a51-b0b8-225382d83688","http://resolver.tudelft.nl/uuid:5201ccef-c1fb-4a51-b0b8-225382d83688","Stent with Piezoelectric Transducers for High Spatial Resolution Ultrasound Neuromodulation- a Finite Element Analysis","Dilevicius, Ignas (Student TU Delft); Serdijn, W.A. (TU Delft Bio-Electronics); Lopes Marta da Costa, T.M. (TU Delft Bio-Electronics)","","2022","Deep brain stimulation is currently the only technique used in the clinical setting to modulate the neural activity of deep brain nuclei. Recently, low-intensity transcranial focused ultrasound (LIFU) has been shown to reversibly modulate brain activity through a transcranial pathway. Transcranial LIFU requires a low-frequency ultrasound of around 0.5 MHz due to skull attenuation, thus providing poor axial and lateral resolution. This paper proposes a new conceptual device that would use a stent to place a high-frequency ultrasound array within the brain vasculature to achieve high axial and lateral spatial resolution. The first part of this work identified the most commonly treated deep brain nuclei and examined the human brain vasculature for stent placement. Next, a finite element analysis was carried out using a piezoelectric array that follows the blood vessels curvature, and its ability to focus ultrasound waves in clinically relevant brain nuclei was evaluated. The analytical solution provided promising results for deep brain stimulation via a stent with ultrasound transducers for high spatial resolution neuromodulation.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-Electronics","","",""
"uuid:8375af69-7ca4-47d8-b7f6-4f002ee3755d","http://resolver.tudelft.nl/uuid:8375af69-7ca4-47d8-b7f6-4f002ee3755d","Learning-based method for k-space trajectory design in MRI","Sharma, Shubham (Indian Institute of Science); Hari, K.V.S. (Indian Institute of Science); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2022","Variable density sampling of the k-space in MRI is an integral part of trajectory design. It has been observed that data-driven trajectory design methods provide a better image reconstruction as compared to trajectories obtained from a fixed or a parametric density function. In this paper, a data-driven strategy has been proposed to obtain non-Cartesian continuous k-space sampling trajectories for MRI under the compressed sensing framework (greedy non-Cartesian (GNC)). A stochas-tic version of the algorithm (stochastic greedy non-Cartesian (SGNC)) is also proposed that reduces the computation time. We compare the proposed trajectory with a traveling salesman problem (TSP)-based trajectory and an echo planar imaging-like trajectory obtained by a greedy method called stochastic greedy-Cartesian (SGC) algorithm. The training images are taken from knee images of the fastMRI dataset. It is observed that the proposed algorithms outperform the TSP-based and the SGC trajectories for similar read-out times.","MRI; variable-density samplin; data-driven; k-space trajectory design","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-08","","","Signal Processing Systems","","",""
"uuid:ec39b987-ac99-4fa3-92f4-f25006505d50","http://resolver.tudelft.nl/uuid:ec39b987-ac99-4fa3-92f4-f25006505d50","Influence-Augmented Local Simulators: a Scalable Solution for Fast Deep RL in Large Networked Systems","Suau, M. (TU Delft Interactive Intelligence); He, J. (TU Delft Interactive Intelligence); Spaan, M.T.J. (TU Delft Algorithmics); Oliehoek, F.A. (TU Delft Interactive Intelligence)","Chaudhuri, K. (editor); Jegelka, S. (editor); Song, L. (editor); Szepesvari, C. (editor); Niu, G. (editor); Sabato, S. (editor)","2022","Learning effective policies for real-world problems is still an open challenge for the field of reinforcement learning (RL). The main limitation being the amount of data needed and the pace at which that data can be obtained. In this paper, we study how to build lightweight simulators of complicated systems that can run sufficiently fast for deep RL to be applicable. We focus on domains where agents interact with a reduced portion of a larger environment while still being affected by the global dynamics. Our method combines the use of local simulators with learned models that mimic the influence of the global system. The experiments reveal that incorporating this idea into the deep RL workflow can considerably accelerate the training process and presents several opportunities for the future.","reinforcement learning (RL); simulation and control.","en","conference paper","PMLR","","","","","","","","","","Interactive Intelligence","","",""
"uuid:e3dd67a0-c433-4222-ab03-98900e28cc30","http://resolver.tudelft.nl/uuid:e3dd67a0-c433-4222-ab03-98900e28cc30","Showcase of Active Learning and Teaching Practices in Spatial Data Infrastructure (SDI) Education","Welle Donker, F.M. (TU Delft Urban Data Science); van Loenen, B. (TU Delft Urban Data Science); Kessler, Carsten (Bochum University of Applied Sciences); Küppers, Natalie (Bochum University of Applied Sciences); Panek, Mark (Bochum University of Applied Sciences); Mansourian, Ali (Lund University); Zhao, Pengxiang (Lund University); Vancauwenberghe, Glenn (Katholieke Universiteit Leuven); Tomić, Hrvoje (University of Zagreb); Kević, Karlo (University of Zagreb)","Parseliunas, E. (editor); Mansourian, A. (editor); Partsinevelos,, P. (editor); Suziedelyte-Visockiene, J. (editor)","2022","The new concept of Open Spatial Data Infrastructures (Open SDIs) has emerged from an increased interest in open data initiatives together with national and international directives, such as the EU Open Data Directive (Directive (EU) 2019/1024), and the large investment of European public authorities in developing SDIs for sharing spatial data within public authorities. Open SDIs have the potential to boost reaching SDIs’ general aims and goals of facilitating the exchange and sharing of spatial data to support planning and decision-making by including public participation and increased openness in all aspects of SDIs, including Open SDI Education. The open SPatial data Infrastructure eDucation nEtwoRk (SPIDER) project aims to address Open SDI Education by particular emphasis on studying Active Learning and Teaching (ALT) methods for SDI education. This article provides a theoretical basis of ALT for SDI methodologies. We show in which way ALT practices were already implemented in SDI education at the Partner universities before the COVID-19 pandemic. We also describe how the pandemic functioned as a catalyst for implementing ALT practices to an online environment, and how students evaluated these practices. The outcomes of our research can serve as an inspiration for SDI education in other countries.","Spatial Data Infrastructures; open SDI; Education; active learning and teaching technologies; ALT practices","en","conference paper","Association of Geographic Information Laboratories for Europe (AGILE)","","","","","","","","","","Urban Data Science","","",""
"uuid:0c81d801-8d66-4a32-868a-0a2041d37e22","http://resolver.tudelft.nl/uuid:0c81d801-8d66-4a32-868a-0a2041d37e22","Automatic Finite Element Modelling and Parameter Determination for Geotechnical Design","Brinkgreve, R.B.J. (TU Delft Geo-engineering); Brasile, Sandro (Plaxis; Bentley Systems)","Grabe, J. (editor)","2022","This article describes how efficiency in geotechnical engineering involving finite element (FE) modelling can be improved by automation. An important part of geotechnical FE modelling involves the creation of an underground model and the determination of soil and model parameters. It is explained how Automated Parameter Determination (APD) based on CPT data can be used in conjunction with geological modelling to create the necessary input for a FE model. An example demonstrates the entire workflow. The system is transparent and extendable. It supports the geotechnical engineer in the complex task of parameter determination, while retaining the responsibility at the user. Automation can help reducing the spread in results when different geotechnical engineers analyze the same problem, and hence, it can contribute to the confidence in the use of advanced numerical methods for geotechnical design.","","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:b8a5c03e-2d17-4afb-8a88-39e69a0bd5b7","http://resolver.tudelft.nl/uuid:b8a5c03e-2d17-4afb-8a88-39e69a0bd5b7","Performance of the SRON Ti/Autransition edge sensor x-ray calorimeters","de Wit, Martin (NWO-I/SRON Netherlands Institute for Space Research); Gottardi, L (SRON Netherlands Institute for Space Research); Nagayoshi, K. (SRON Netherlands Institute for Space Research); Akamatsu, H. (SRON Netherlands Institute for Space Research); de Bruijn, M.P. (SRON Netherlands Institute for Space Research); Ridder, M.L. (NWO-I/SRON Netherlands Institute for Space Research); Taralli, E. (SRON Netherlands Institute for Space Research); Vaccaro, D. (SRON Netherlands Institute for Space Research); Gao, J.R. (TU Delft ImPhys/Optics; SRON Netherlands Institute for Space Research)","den Herder, Jan-Willem A. (editor); Nikzad, Shouleh (editor); Nakazawa, Kazuhiro (editor)","2022","In the early 2030s, ESAs new X-ray observatory, Athena, is scheduled to be launched. It will carry two main
instruments, one of which is the X-ray Integral Field Unit (X-IFU), an X-ray imaging spectrometer, which will consist of an array of several thousand transition-edge sensors (TESs) with a proposed energy resolution of 2.5 eV for photon energies up to 7 keV. At SRON we develop the backup TES
array based on Ti/Au bilayers with a transition temperature just below 100 mK. In this contribution we will give a broad overview of the properties and capabilities of these state-of-the-art detectors. Over the years we have fabricated and studied a large number of detectors with various
geometries, providing us with a good understanding of how to precisely control the properties of our detectors. We are able to accurately vary the most important detector properties, such as the normal resistance, thermal conductance and critical temperature. This allows us to finely tune our
detectors to meet the demands of various applications. The detectors have demonstrated excellent energy resolutions of below 1.8 eV for 5.9 keV X-rays. By tuning the properties of the devices, they can be optimally matched to various read-out schemes using both AC and DC biasing. The next step is to increase the size of our TES arrays from our current kilo-pixel arrays towards the
full-sized array for X-IFU.
20 K operation temperature based on a previously published paper [Y. Gan et al, Appl. Phys. Lett., 119, 202601 (2021)]. The HEB consists of a 7 nm thick MgB2 submicron-bridge contacted with a spiral antenna. It has a Tc of 38.4 K. By using hot/cold blackbody loads and a Mylar beam splitter all in vacuum, and applying a 5.25 THz FIR gas laser as the LO, we measured a minimal DSB receiver noise temperature of 3960 K. The latter gives a DSB mixer noise temperature of 1470 K. This sensitivity is 28 times better than a room temperature Schottky mixer at 4.7 THz, but about 2.5 times less sensitive than an NbN HEB mixer. The latter must be operated around 4 K. The IF noise bandwidth is about 10 GHz, which is 2.5-3 times larger than an NbN HEB. With further optimization, such MgB2 HEBs are expected to reach a better sensitivity. That the low noise, wide IF bandwidth MgB2 HEB mixers can be operated in a compact, low dissipation 20 K Stirling cooler can significantly reduce the cost and complexity of heterodyne instruments and therefore facilitate new space missions.","Hot electron bolometer; mixer; THz; MgB2; superconductor; high Tc; IF bandwidth; space instrumentation","en","conference paper","SPIE","","","","","","","","","","ImPhys/Optics","","",""
"uuid:03b85045-7afe-4ebd-b3a5-45100ff213d2","http://resolver.tudelft.nl/uuid:03b85045-7afe-4ebd-b3a5-45100ff213d2","Developing compact and innovative dual-band thermal imagers using multi-layer diffractive optical elements","Laborde, Victor (Université de Liège); Loicq, J.J.D. (TU Delft Spaceborne Instrumentation); Habraken, Serge (Université de Liège)","Coyle, Laura E. (editor); Matsuura, Shuji (editor); Perrin, Marshall D. (editor)","2022","Infrared (IR) remote sensing offers a huge range of applications, mostly addressing make-or-break issues of our century (wildfires, irrigation monitoring, etc.). Multispectral spaceborne instruments require bulky optical systems designed for a specific scientific goal and have very low revisit time. Thereby, constellations of small satellites embarking compact dual-band IR imagers are very promising solutions. We study a dual-band IR diffractive element called multilayer diffractive optical elements (MLDOE). It replaces classical diffractive lenses (DOEs) that cannot operate simultaneously in two distinct wavebands. An MLDOE design is studied using the rigorous finite difference time domain (FDTD) method. Its performance at the ”best” focal plane is deduced using free-space Fourier optics wave propagation. The presented MLDOE design has over 80% Strehl ratio in both bands, outperforming classical DOEs. Its chromatic focal shift has a negative variation, in opposition to refractive lenses, allowing efficient and compact dual-band hybrid lenses.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Spaceborne Instrumentation","","",""
"uuid:414a6c8c-c2f3-4218-ade8-b59102ac5e54","http://resolver.tudelft.nl/uuid:414a6c8c-c2f3-4218-ade8-b59102ac5e54","Lessons learned in the alliance for sports engineering education (a4see), an erasmus+ project","Jansen, A.J. (TU Delft Emerging Materials); van Vlaardingen, A. (TU Delft Research Funding National); Choppin, S.B. (Sheffield Hallam University); Hart, J (Sheffield Hallam University); Litzenberger, S. (Technische Universität Wien); Schwanitz, S. (Chemnitz University of Technology); de Zee, M. (Aalborg University); Madeleine, P. (Aalborg University)","","2022","","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Emerging Materials","","",""
"uuid:aaa2a30e-ec12-4951-b4bd-e6217335cfe9","http://resolver.tudelft.nl/uuid:aaa2a30e-ec12-4951-b4bd-e6217335cfe9","A 39 W Fully Digital Wideband Inverted Doherty Transmitter","Bootsman, R.J. (TU Delft Electronics); Shen, Y. (TU Delft Electronics; Broadcom Netherlands B.V.); Mul, D.P.N. (TU Delft Electronics); Rousstia, Mohadig (Ampleon Netherlands B.V.); Heeres, Rob (Ampleon Netherlands B.V.); van Rijs, Fred (Ampleon Netherlands B.V.); Gajadharsing, John (Ampleon Netherlands B.V.); Alavi, S.M. (TU Delft Electronics); de Vreede, L.C.N. (TU Delft Electronics)","","2022","A high-power fully-digital Doherty transmitter (DDTX) is proposed. It features two segmented LDMOS output switch banks implemented in a custom V T -down-shifted LDMOS technology. A 40 nm CMOS controller digitally activates the individual LDMOS gate segments of the output stage at RF speed. An inverted Doherty power combiner is proposed that features non-short circuited 2 nd harmonic conditions for the main and peak switch banks to boost the RF bandwidth. To guarantee smooth output power and efficiency vs. frequency, a 2 nd harmonic trap is introduced in the power combiner, yielding an RF bandwidth of > 400 MHz. The realized demonstrator can achieve over 39 W peak output power. Its highest drain and system efficiencies, respectively 60 % and 57 %, were found at 34.2 W of output power, while in power back-off its peak drain and system efficiencies are 52 % and 48 % respectively. Over a 25 dB output range, the system efficiency is within 4 percent points of the drain efficiency.","digital transmitters; LDMOS; CMOS; inverted Doherty; wideband","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:0b888213-0959-4f7f-9cdd-87bbcfa4159a","http://resolver.tudelft.nl/uuid:0b888213-0959-4f7f-9cdd-87bbcfa4159a","Challenges on the shear behavior of existing continuous precast girder bridges","Ibrahim, M.S. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Roosen, M.A. (TU Delft Concrete Structures); Hendriks, M.A.N. (TU Delft Concrete Structures)","","2022","There are a large number of precast girder bridges in the Netherlands that are made continuous utilizing cast in situ layers and cross beams. When controlled by the Eurocode minimum shear reinforcement requirement, the majority of these bridges that were constructed before the 1970s have insufficient amount of transverse reinforcement, which could make them shear critical. Furthermore, when the continuity is created at intermediate support, the prestressing strands in the precast beams are usually located in the compression zone. This may limit the positive effect of the prestress to the shear capacity of members without transverse reinforcement. The aforementioned concern is not considered by the standard shear design approach of Eurocode. Because of its empirical nature, the shear capacity of these bridges cannot be rationally assessed by the code. Currently, an experimental campaign on full-scale 15m long specimens is underway at Delft University of Technology to investigate the existing challenges. In this paper, the effect of the above-mentioned concerns is illustrated using the predictions of Eurocode and the Dutch Guidelines for the Assessment of Existing Bridges (RBK). Moreover, the difficulties and insights gained from the design and execution of the experiments are discussed.","","en","conference paper","fib. The International Federation for Structural Concrete","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-07","","","Concrete Structures","","",""
"uuid:60d41ba1-b1f8-4e18-a460-29632ca26ead","http://resolver.tudelft.nl/uuid:60d41ba1-b1f8-4e18-a460-29632ca26ead","A Wideband Two-Way Digital Doherty Transmitter in 40nm CMOS","Beikmirza, M.R. (TU Delft Electronics); Shen, Y. (TU Delft Electronics); de Vreede, L.C.N. (TU Delft Electronics); Alavi, S.M. (TU Delft Electronics)","","2022","A 40nm CMOS wideband digital Cartesian push-pull inverted Doherty operating in class-E is presented. Wideband Doherty operation is achieved over a 1.9-to-3GHz frequency band, using an off-chip power combining network. The fully digital transmitter (DTX) provides 25.3dBm peak power with a drain/DTX line-up efficiency (DE/SE) of 58.7%/44.9%, respectively, at 2.4GHz. When operated with a 160MHz 256-QAM OFDM signal, it achieves 46.1%/32.7% average DE/SE, with an ACLR and EVM better than −40.6dBc and −33.9dB, respectively, using a simple memory-less digital pre-distortion (DPD).","Cartesian, class-E; Doherty; efficient; wideband; digital power amplifier; RF-DAC; DPD; DTX; Balun; CMOS","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:996374e6-c652-43be-ab78-8861c5e4fd30","http://resolver.tudelft.nl/uuid:996374e6-c652-43be-ab78-8861c5e4fd30","Gal/Xgal U/LDB Spectroscopic/Stratospheric THz Observatory:: GUSTO","Walker, C (Steward Observatory, Tucson); Kusela, C. (Steward Observatory, Tucson); Young, A (University of Arizona); Verts, W. (Steward Observatory, Tucson); Gao, J.R. (TU Delft ImPhys/Optics; SRON Netherlands Institute for Space Research); Hu, Qing (Massachusetts Institute of Technology); Silva, J. R.G. (SRON Netherlands Institute for Space Research; Rijksuniversiteit Groningen); Mirzaei, B. (TU Delft ImPhys/Optics; SRON Netherlands Institute for Space Research); Laauwen, Wouter (SRON Netherlands Institute for Space Research)","Zmuidzinas, Jonas (editor); Gao, Jian-Rong (editor)","2022","Gal/Xgal U/LDB Spectroscopic/ Stratospheric THz Observatory (GUSTO) is a NASA Explorers Mission of Opportunity that will make large scale maps of the Milky Way and Large Magellanic Cloud in three important interstellar lines: [CII], [OI], and [NII] at 158, 63, and 205 μm, respectively. During its ~75 day stratospheric (~36 km) flight, GUSTO’s 0.9-meter balloon-borne telescope and THz heterodyne array receivers will provide the spectral and spatial resolution needed to untangle the complexities of the interstellar medium by probing all phases of its Life Cycle. The GUSTO payload consists of (1) a telescope; (2) three 8-pixel heterodyne array receivers; (3) autocorrelator spectrometers; (4) instrument control electronics; and (5) a cryostat. The GUSTO gondola is derived from successful APL designs. Much of the GUSTO instrument architecture and hardware is based on the experience gained in developing and flying the Stratospheric Terahertz Observatory (STO). GUSTO is currently undergoing integration and test and will launch from the NASA Long Duration Balloon (LDB) Facility near McMurdo, Antarctica in December 2023.","Terahertz astronomy; Suborbital astronomy; Terahertz telescopes; Terahertz array receivers","en","conference paper","","","","","","","","","","","ImPhys/Optics","","",""
"uuid:7cc4f86a-6ae5-46eb-86ab-e4f909ad11a3","http://resolver.tudelft.nl/uuid:7cc4f86a-6ae5-46eb-86ab-e4f909ad11a3","Cavity-enhanced emission from an ensemble of color centers in silicon","Errando-Herranz, Carlos (Massachusetts Institute of Technology; University of Münster); Gerlach, Connor (Massachusetts Institute of Technology); De Santis, L. (TU Delft QID/Hanson Lab; Massachusetts Institute of Technology); Panuski, Christopher (Massachusetts Institute of Technology); Prabhu, Mihika (Massachusetts Institute of Technology); Raniwala, Hamza (Massachusetts Institute of Technology); Christen, Ian (Massachusetts Institute of Technology); Englund, Dirk (Massachusetts Institute of Technology)","","2022","Optical quantum technologies require strong light-matter interaction. We couple silicon color center ensembles to high-Q/V cavities and show enhanced emission in the telecommunications O-band.","","en","conference paper","Optica Publishing Group (formerly OSA)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","QID/Hanson Lab","","",""
"uuid:b3da7355-0740-4b1a-96b1-484d0b2b308b","http://resolver.tudelft.nl/uuid:b3da7355-0740-4b1a-96b1-484d0b2b308b","Human Centric Design in Smartcity Technologies: Implications for the Governance, Control and Performance Evaluation of Mobility Ecosystems","Christiaanse, R.M.J. (TU Delft Information and Communication Technology)","","2022","Governance can be understood as the system by which actors in society are directed and controlled. Given the trinity ""Institution, Market and Organization""a pressing question is: which governance structure minimizes the transaction costs in governing and controlling in the build and service design for organizations similar to a city? We investigate the notion of the governance of common goods and the problem of organizations - how or when to balance control mechanisms. As Tirole observes there is a need to explicate incentives for all stakeholders on the basis of some measure of aggregate welfare of all stakeholders. In this paper we develop a mathematical model to explicate information needs in a bilateral contract and use these insights in the case study, initialized and inspired by the procurement process of transport services at the care institution in the Netherlands in 2020 and 2021. Our results show that the information problem emerges when the object of what is exchanged between two parties is not considered as the unit of analysis. Once we understand the nature of the bilateral exchange relationship then we are able to consider the consequences of the control loss causing transaction costs due to conflicting objectives, moral hazard, adverse selection, opportunism and so on.","common good; control; governance; graphs; human centricity; networks; performance evaluation","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Information and Communication Technology","","",""
"uuid:fce3c05c-fd1d-436c-8404-09291c52b840","http://resolver.tudelft.nl/uuid:fce3c05c-fd1d-436c-8404-09291c52b840","A scalable SIMD RISC-V based processor with customized vector extensions for CRYSTALS-kyber","Li, H. (TU Delft Cyber Security); Mentens, Nele (Universiteit Leiden; Katholieke Universiteit Leuven); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen)","","2022","This paper uses RISC-V vector extensions to speed up lattice-based operations in architectures based on HW/SW co-design. We analyze the structure of the number-theoretic transform (NTT), inverse NTT (INTT), and coefficient-wise multiplication (CWM) in CRYSTALS-Kyber, a lattice-based key encapsulation mechanism. We propose 12 vector extensions for CRYSTALS-Kyber multiplication and four for finite field operations in combination with two optimizations of the HW/SW interface. This results in a speed-up of 141.7, 168.7, and 245.5 times for NTT, INTT, and CWM, respectively, compared with the baseline implementation, and a speed-up of over four times compared with the state-of-the-art HW/SW co-design using RV32IMC.","ISA extension; lattice-based cryptography; polynomial operation; RISC-V; SIMD processor; vector instruction","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","","","","","","Cyber Security","","",""
"uuid:f12f0cf1-3500-4411-a9cf-f0df94ad468a","http://resolver.tudelft.nl/uuid:f12f0cf1-3500-4411-a9cf-f0df94ad468a","WebAndTheCity'22: 8th International Workshop on The Web and Smart Cities","Anthopoulos, Leonidas (University of Thessaly); Janssen, M.F.W.H.A. (TU Delft Information and Communication Technology); Weerakkody, Vishanth (Bradford University)","","2022","This is the 8th edition of the workshop series labeled ""AW4City - Web Applications and Smart Cities"", which started back in Florence in 2015 and kept on taking place every year in conjunction with the WWW conference series. Last year the workshop was held virtually in Ljubljana, Slovenia. The workshop series aims to investigate the Web and Web applications' role in establishing smart city (SC) promises. The workshop series aim to investigate the role of the Web and of Web applications in SC growth. This year, the workshop focuses on the role of the web in smart environment. In the era of cities and under the UN 2030 Agenda and the European Green deal for sustainable growth, cities appear to play crucial role in securing humanity against environmental threats and generate sustainable and circular cities. In this regard, cities attempt to improve their forms (e.g., more compact, and eco-friendlier) and performance to become friendlier and able to host their increasing populations. Additionally, new types of business appear (e.g., that utilize IoT and data, manage e-waste and recycle), while the co-existence of autonomous things and people generate another challenge that cities have been started phasing. This workshop aims to demonstrate how web applications, Apps and Web Intelligence can serve smart environment in general.","smart citizens; smart city; smart environment; web Apps","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Information and Communication Technology","","",""
"uuid:53fd2281-92a7-43f2-a7f4-4ea138dacb02","http://resolver.tudelft.nl/uuid:53fd2281-92a7-43f2-a7f4-4ea138dacb02","Comprehensive Human Oversight Framework to Ensure Accountability over Autonomous Weapon Systems","Verdiesen, E.P. (TU Delft Information and Communication Technology)","","2022","","accountability; autonomous weapon systems; responsibility; value deliberation process","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Information and Communication Technology","","",""
"uuid:23c8c7c8-29d4-4a6c-ad8b-e1f27f23939a","http://resolver.tudelft.nl/uuid:23c8c7c8-29d4-4a6c-ad8b-e1f27f23939a","Integration of Infrastructure with the Society in the Operation Phase: A Multiple Case Study Approach","Ninan, Dr Johan (TU Delft Integral Design & Management); Hertogh, M.J.C.M. (TU Delft Integral Design & Management); Scheurwater, G.J.L. (TU Delft Strategy Development)","","2022","The later stages of an infrastructure project occupy a significant period of its lifecycle. Even though majority of the expenses for the project are in the construction phase, the value for the society is realized only in the operation phase. Projects are often remembered for how they serve the community in the later stages more than their efficiency during the construction phase due to its long lifecycle. There is a need to understand how projects integrate with the society after its construction, especially considering the inability of the planners to create a design considering all future scenarios. In the proposed research, we employ a multiple case study research considering the Oresund bridge connecting Denmark with Sweden and the Port of Rotterdam in the Netherlands. We observe how during the operation phase the infrastructure acts as an enabler, adapt to changing needs of the society, and diversify its impacts. This study has significant implications to society as it explores how to extract value from already constructed infrastructure assets.","integration of infrastructure; value for society; operation phase; spillover effects","en","conference paper","IPMA","","","","","","","","","Strategy Development","Integral Design & Management","","",""
"uuid:f0133dab-609f-466b-b59b-9d8d1d2c5e9f","http://resolver.tudelft.nl/uuid:f0133dab-609f-466b-b59b-9d8d1d2c5e9f","A critical review on structural behavior of alkali-activated concrete beams","Qian, Z. (TU Delft Concrete Structures); Lantsoght, E.O.L. (TU Delft Concrete Structures); Lukovic, M. (TU Delft Concrete Structures)","Prisco, Marco di (editor); Meda, Alberto (editor); Balazs, Gyorgy L. (editor)","2022","The increasing demand for sustainable development in engineering practice has triggered researchers to explore solutions to reduce the CO2 footprint caused by ordinary Portland cement (OPC) production. Alkali-activated concrete (AAC), made of by-products using alkali activation, is of great potential as a promising alternative to conventional concrete (CC). Despite vast studies on its material properties, there is still insufficient scientific research on the structural performance of AAC, which impedes its widespread application. In this paper, an overview of the fundamental behavior of AAC beams under different loading conditions is presented. The experimental investigations on mechanical performance of AAC beams are reviewed in terms of ultimate capacity, ductility and cracking behavior. Moreover, numerical methods to predict AAC structural response as well as the applicability of existing CC design codes are summarized. It is concluded that AAC beams show comparable short-term behavior with CC counterparts. Besides, the design codes for CC turn out to be applicable but conservative for most steel-reinforced AAC beams. Though short-term flexural behavior has been widely investigated for AAC beams, the challenge remains to clarify shear behavior and long-term behavior. Furthermore, reliable guidelines are needed to be developed, providing recommendations for future structural design.","","en","conference paper","fib. The International Federation for Structural Concrete","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Concrete Structures","","",""
"uuid:eeb13bf4-3bfe-42ab-940b-0fea851e63b4","http://resolver.tudelft.nl/uuid:eeb13bf4-3bfe-42ab-940b-0fea851e63b4","Training and assessing perspective taking through A Hole New Perspective","Band, Ruben (Student TU Delft); Lips, Maarten (Student TU Delft); Prawira, Julivius (Student TU Delft); van Schagen, Jurgen (Student TU Delft); Tulling, Simon (Student TU Delft); Zhang, Ying (Student TU Delft); Benaiss, Aicha A. (Universiteit Leiden); van der Ham, Ineke J.M. (Universiteit Leiden); Bueno Perez, M.R. (TU Delft Computer Graphics and Visualisation); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","","2022","In recent years, the use of Augmented and Virtual Reality (ARNR) has taken a larger role within training and education of various fields, but not every individual experiences the benefits that AR and VR technology are thought to provide. The perspective taking skill of an individual may be a good indicator of the effectiveness that AR and VR training can achieve. However, we found that the tests in other research targeting small scale perspective taking are few and limited, as perspective taking is often hard to distinguish from mental rotation. Therefore, we designed and developed A Hole New Perspective, a serious game created specifically to test and train an individual’s perspective-taking ability. A Hole New Perspective focuses on the relationship between a 3D object floating in the air and a 2D hole on a moving wall. The core game mechanics consists of having the player rotate the object so that it fits in the hole, before the wall hits the object. Because players do not always have an aligned view with the hole, they have to call on their spatial perspective-taking abilities, in addition to mental rotation. We tested the game with a variety of test subjects, and compared these test results with the Perspective Taking/Spatial orientation Test. Early results have shown that performance in the game corresponds to mental rotation ability. Furthermore, there are indications that improvement in spatial ability through the game is selectively present for those with lower spatial abilities. This further substantiates the need for a more individualized approach when offering AR and VR in education. Additional training may be needed for some, but not all students.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-20","","","Computer Graphics and Visualisation","","",""
"uuid:1a7478c1-59ea-476d-bbbf-23b5a9a39f98","http://resolver.tudelft.nl/uuid:1a7478c1-59ea-476d-bbbf-23b5a9a39f98","Benni’s Forest: A serious game on the challenges of reforestation","Bolijn, Hidde (Student TU Delft); Li, Martin (Student TU Delft); Reurink, Andries (Student TU Delft); van Rijn, Cas (Student TU Delft); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","","2022","Many people think of reforestation projects as one-dimensional, simply consisting of planting trees. In reality, a reforestation project takes into account a wide variety of factors, of which the three most important are improving soil quality, reducing fire hazard, and ensuring the prosperity of the community. We posit that a simplistic view on such projects is detrimental for a more committed and serious societal awareness and support of sustainable reforestation. Therefore, it is desirable that more people have a better understanding of the interplay of these factors, as they will likely become more involved in reforestation projects. We present Benni’s Forest, a serious game aimed at increasing awareness of the challenges of reforestation projects. Benni’s Forest is a simulation game, in which the player is responsible for a reforestation project, balancing its various factors over the years, deciding on what to do when and where on the terrain, e.g. fertilizing, planting trees, or digging fire ditches. Meanwhile, adverse events, like wildfires or illegal logging, threaten your progress, creating a tension that gives the player a vivid experience of the complexity of the project. As you progress, several scores indicate the quality of your performance, most notably a biodiversity score, representing the amount and variety of trees in the forest. In this way, players receive clear hints to strategize and face each situation with the appropriate measures to grow a biodiverse forest. We evaluated Benni’s Forest conducting a survey amongst players. The results confirm both an increased understanding of the challenges involved in reforestation efforts, and an increased sense of engagement of players with such projects.","Serious games; Games for change; Sustainable development; Reforestation; Rainforest","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-20","","","Computer Graphics and Visualisation","","",""
"uuid:2b036ac5-ae50-4714-bc28-e64911e652e5","http://resolver.tudelft.nl/uuid:2b036ac5-ae50-4714-bc28-e64911e652e5","All you need to know about the indoor environment, its occupants, interactions and effects","Bluyssen, P.M. (TU Delft Indoor Environment)","Roaf, Susan (editor); Finlayson, Will (editor)","2022","Research has shown that, even though the conditions seem to comply with current standards for indoor environmental quality (IEQ), staying indoors is not good for our health. We are confronted with diseases and disorders related to IEQ such as mental illnesses, obesity and illnesses that take longer to manifest, among which cardiovascular and chronic respiratory diseases and cancer, and very recently, COVID-19, caused by mainly airborne transmission of SARS-CoV-2 indoors. Except for these health effects, the consequences for indoor environment of climate change, the effects of the retrofitting measures we take to reduce energy consumption on health and comfort indoors, is also an emerging concern. IEQ is still described with quantitative dose-related indicators, expressed in number and/or ranges of numbers for each of the factors (indoor air, lighting, acoustics and thermal aspects). Building and occupant-related indicators are overlooked. Interactions of stressors and effects at and between human and environment level are ignored. Individual differences in needs and preferences of occupants (over time) are not accounted for. Resilient new ways of creating and maintaining healthy and comfortable indoor spaces for different occupants in different situations, require better understanding of the indoor environment, its occupants, interactions, and effects.","ibndoor environmental quality; diseases and disorders; interactions; preferences and needs; stressors","en","conference paper","Ecohouse Initiative Ltd.","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-05","","","Indoor Environment","","",""
"uuid:8fc54825-0e94-4c83-a443-15c5d36360aa","http://resolver.tudelft.nl/uuid:8fc54825-0e94-4c83-a443-15c5d36360aa","A New Model for the Planetary Radiation Pressure Acceleration for Solar Sails","Carzana, L. (TU Delft Astrodynamics & Space Missions); Visser, P.N.A.M. (TU Delft Space Engineering); Heiligers, M.J. (TU Delft Astrodynamics & Space Missions)","","2022","Solar sailing is a propellantless propulsion method that takes advantage of solar
radiation pressure to generate thrust. The last decades have seen the launch of
several solar-sail missions to demonstrate the technology’s potential for space
exploration and exploitation. Even more missions are scheduled for launch in
the near future, including NASA’s ACS3 and NEA Scout missions and Gama’s
Alpha sailcraft. Although most of these sailcraft have flown – or will fly – in
LEO, where the planetary radiation pressure is strong (up to approximately 20%
of the solar radiation pressure), studies on the perturbing accelerations produced
by the Earth’s albedo and blackbody radiation have been conducted only to a
very limited first-order extent. This paper therefore provides a novel, detailed
analytical model for these perturbing accelerations, valid for double-sided perfectly
reflecting solar sails. The underlying assumptions of the model are presented
and its full derivation is described. A thorough analysis of the blackbody
and albedo radiation pressure accelerations is conducted for a variety of orbital
conditions and Sun-Earth-sail configurations. In order to quantify the accuracy
of the model, a comparison with the state of the art (the finite-disk radiation
source model) is provided. Ultimately, a variety of analyses to quantify the effect
of Earth’s albedo and blackbody radiation on the maneuvering capabilities
of solar sails are provided, using the orbit of the ACS3 mission as reference scenario.
These analyses show that, for an orbit-raising steering law, losses in the
altitude gain of 19.6% of the total gain are incurred over a 10-day orbit-raising
period. Similarly, losses in the inclination gain of up to 25% of the total gain are
observed when implementing an inclination-changing steering law. These results
highlight the non-negligible effect of uncontrolled planetary radiation pressure
acceleration on the maneuvering capabilities of solar sails in LEO.","","en","conference paper","","","","","","","","","","Space Engineering","Astrodynamics & Space Missions","","",""
"uuid:3c9d3ab4-91a6-4d6d-bb0e-f75a9788a5a0","http://resolver.tudelft.nl/uuid:3c9d3ab4-91a6-4d6d-bb0e-f75a9788a5a0","Quantification of Concrete-Concrete Interface Strength – A Review","Mustafa, S. (TU Delft Concrete Structures); Schlangen, E. (TU Delft Materials and Environment); Lukovic, M. (TU Delft Concrete Structures)","di Prisco, Marco (editor); Meda, Alberto (editor); L. Balazs, Gyorgy (editor)","2022","The construction industry is experiencing a significant increase in hybrid concrete structures due to the need for repairing/strengthening of existing structures and the development of novel hybrid structures. The crack development and the ultimate capacity of hybrid concrete structures may significantly be governed by the properties of interface between the two concretes, making the quantification of interface properties essential. A large number of bond tests have been reported in literature but most of them do not result in a failure directly/entirely at the interface (unless the interface is very weak), resulting in only a lower bound estimate of the interfacial strength. Furthermore, the reported interfacial properties are only determined from small-scale bond tests where structural effects (like shrinkage) are limitedly taken into account. In the current study, the most commonly used bond tests are critically assessed in terms of the stress distribution caused by their inherent boundary conditions. Furthermore a testing procedure is then discussed which can allow for the quantification of the interfacial properties. A possible structural test is also designed which forces the failure to localize at the interface and allows to determine interface properties considering structural effects.","","en","conference paper","fib. The International Federation for Structural Concrete","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-07","","","Concrete Structures","","",""
"uuid:66f64e52-bb52-4cf4-afb0-2040eb7e7d61","http://resolver.tudelft.nl/uuid:66f64e52-bb52-4cf4-afb0-2040eb7e7d61","Geometric characteristic of flexural-shear cracks of members without shear reinforcement","Lu, J. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Hendriks, M.A.N. (TU Delft Concrete Structures)","","2022","For reinforced concrete members without shear reinforcement, the shear failure is characterized by the formation of a critical flexural shear crack. Recently experimental observations making use of Digital Image Correlation (DIC) by many researchers suggested the significance of geometric characteristics and kinematic conditions of critical shear cracks in shear failure. However, limited efforts were reported in literature on the quantification of the geometric characteristics of critical shear cracks. This is mainly due to the lack of understanding of the mechanism of how the flexural shear cracks form. In this paper, the available models in literature for the shear crack trajectory and the underlying theoretical assumptions are reviewed first. Those models include the shear crack model proposed by the authors. Next, the shear crack trajectory models are validated using a collection of shear crack patterns based on the DIC data obtained from the shear failure database from Delft University of Technology. The majority of the crack patterns are from full-scale shear tests of deep beams with an effective depth larger than 1.0 m. The comparison helps us to have a basic understanding of how accurate the available flexural shear crack trajectory models can achieve.","","en","conference paper","fib. The International Federation for Structural Concrete","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-07","","","Concrete Structures","","",""
"uuid:a99eccac-1799-4df1-b04a-b04faaeb011f","http://resolver.tudelft.nl/uuid:a99eccac-1799-4df1-b04a-b04faaeb011f","Effect of freeze-thaw cycles on shear resistance of reinforced concrete beams strengthened with UHPFRC","Huang, Y. (TU Delft Concrete Structures); Lukovic, M. (TU Delft Concrete Structures)","di Prisco, Marco (editor); Meda, Alberto (editor); L. Balazs, Gyorgy (editor)","2022","Ultra-high performance fiber-reinforced concrete (UHPFRC) has emerged as one of the promising materials for strengthening of concrete structures. For the strengthening application of UHPFRC, one of the primary concerns is to evaluate the degradation of bond behavior and structural re-sponse of strengthened elements under harsh environmental conditions. Therefore, an experi-mental program has been carried out to investigate the interfacial behavior between UHPFRC and normal concrete, as well as the shear performance of UHPFRC-concrete hybrid beams subjected to combined freeze-thaw cycles and mechanical load. In this study, two groups of shear-deficient reinforced concrete beams were first strengthened by UHPFRC precast panels using epoxy resin. Then, the specimens subjected to 0 and 30 freeze-thaw cycles were loaded to failure under three-point bending. The results indicate that the utilization of epoxy resin is an effective bonding tech-nique to ensure the integral performance of the composite beams and the shear capacity is greatly enhanced with the application of UHPFRC. In addition, it is observed that the effect of applied freeze-thaw regime on the UHPFRC-concrete interfacial bond strength and shear resistance of unstrengthened and strengthened beams is negligible.","","en","conference paper","fib. The International Federation for Structural Concrete","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Concrete Structures","","",""
"uuid:213f8ba1-6231-48b9-bc07-fa0a018a227c","http://resolver.tudelft.nl/uuid:213f8ba1-6231-48b9-bc07-fa0a018a227c","The impact of COVID-19 Epidemic on Teaching and Learning","Rothkrantz, L.J.M. (TU Delft Interactive Intelligence; Czech Technical University)","Vassilev, Tzvetomir (editor); Trifonov, Roumen (editor)","2022","Recently articles in Newspapers, University News Bulletins and Scientific Literature report about negative aspects of the wellbeing of students caused by COVID-19 epidemic. Half of the students have mental problems and don't participate in the teaching learning process anymore. In the Netherlands, Universities are surveyed by questionnaires, researching the mental health problems of students. In this paper we focus on students of Delft University of Technology. It proved from surveys, that many students complain about loneliness, fear, sleep deprivation and lack of study motivation. In this paper we report about experiments at the Faculty of Electrical Engineering, Mathematics and Computer Science, how students can be activated, motivated and socialized via study activities presented at the website of one of the Study Societies and via study-buddy groups. Students were personally invited to take part in discussions via a Forum, to enroll in group activities and to visit special lectures. A special COVID-19 didactics has been developed to stimulate students to make assignments in Calculus and Programing via Massive Open Online Courses developed in the framework EdX, an online learning destination and MOOC provider.","buddy learning; COVID-19; inquiry-based learning; MOOCs; WHO-5 well-being index","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:7c918897-b994-4ed9-9680-2ddd1e6dabeb","http://resolver.tudelft.nl/uuid:7c918897-b994-4ed9-9680-2ddd1e6dabeb","Fairness in agreement with European values: An interdisciplinary perspective on ai regulation","Colmenarejo, Alejandra Bringas (University of Southampton); Nannini, L.N. (Universidade de Santiago de Compostela); Rieger, A. (TU Delft Web Information Systems); Scott, Kristen M. (Katholieke Universiteit Leuven); Zhao, Xuan (Eberhard Karls Universität Tübingen); Patro, Gourab K. (Indian Institute of Technology Kharagpur); Kasneci, Gjergji (Eberhard Karls Universität Tübingen); Kinder-Kurlanda, Katharina (University of Klagenfurt)","","2022","With increasing digitalization, Artificial Intelligence (AI) is becoming ubiquitous. AI-based systems to identify, optimize, automate, and scale solutions to complex economic and societal problems are being proposed and implemented. This has motivated regulation efforts, including the Proposal of an EU AI Act. This interdisciplinary position paper considers various concerns surrounding fairness and discrimination in AI, and discusses how AI regulations address them, focusing on (but not limited to) the Proposal. We first look at AI and fairness through the lenses of law, (AI) industry, sociotechnology, and (moral) philosophy, and present various perspectives. Then, we map these perspectives along three axes of interests: (i) Standardization vs. Localization, (ii) Utilitarianism vs. Egalitarianism, and (iii) Consequential vs. Deontological ethics which leads us to identify a pattern of common arguments and tensions between these axes. Positioning the discussion within the axes of interest and with a focus on reconciling the key tensions, we identify and propose the roles AI Regulation should take to make the endeavor of the AI Act a success in terms of AI fairness concerns.","ai regulation; consequential ethics; deontological ethics; egalitarian welfare; eu ai proposal; localization; standardization; utilitarian welfare","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-13","","","Web Information Systems","","",""
"uuid:56c2e034-9741-4639-8bfd-04c15d9906e8","http://resolver.tudelft.nl/uuid:56c2e034-9741-4639-8bfd-04c15d9906e8","Respect as a Lens for the Design of AI Systems","Seymour, William (King’s College London; University of Oxford); Van Kleek, Max (University of Oxford); Binns, Reuben (University of Oxford); Murray-Rust, D.S. (TU Delft Human Information Communication Design)","","2022","Critical examinations of AI systems often apply principles such as fairness, justice, accountability, and safety, which is reflected in AI regulations such as the EU AI Act. Are such principles sufficient to promote the design of systems that support human flourishing? Even if a system is in some sense fair, just, or 'safe', it can nonetheless be exploitative, coercive, inconvenient, or otherwise conflict with cultural, individual, or social values. This paper proposes a dimension of interactional ethics thus far overlooked: The ways AI systems should treat human beings. For this purpose, we explore the philosophical concept of respect: if respect is something everyone needs and deserves, shouldn't technology aim to be respectful? Despite its intuitive simplicity, respect in philosophy is a complex concept with many disparate senses. Like fairness or justice, respect can characterise how people deserve to be treated; but rather than relating primarily to the distribution of benefits or punishments, respect relates to how people regard one another, and how this translates to perception, treatment, and behaviour. We explore respect broadly across several literatures, synthesising perspectives on respect from Kantian, post-Kantian, dramaturgical, and agential realist design perspectives with a goal of drawing together a view of what respect could mean for AI. In so doing, we identify ways that respect may guide us towards more sociable artefacts that ethically and inclusively honour and recognise humans using the rich social language that we have evolved to interact with one another every day.","AI systems; ethical design; respect","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Human Information Communication Design","","",""
"uuid:5f12991c-4873-4946-99a7-3116d3b6df36","http://resolver.tudelft.nl/uuid:5f12991c-4873-4946-99a7-3116d3b6df36","Energy citizenship for inclusive decarbonization: A transdisciplinary framework for creating transformation knowledge","Pearce, B.J. (TU Delft Policy Analysis); Lieu, J. (TU Delft Organisation & Governance); Stavrakas, Vassilis (University of Piraeus); Tsopelas, Ilias (University of Piraeus); Ioannou, Anastasia (University of Glasgow); Dunphy, Niall (University College Cork); Xexakis, Georgios (Holistic); Falcone, Gioia (University of Glasgow); Brenner-Fliesser, Michael (Joanneum Research); Schwarzinger, Stephan (Joanneum Research); Matowska, Malgorzata (Th!nke); Protopapdaki, Christina (Th!nke); Flamos, Alexandros (University of Piraeus)","","2022","Achieving the European Union’s vision of climate neutrality by 2050 dictates the need to transform the role that citizens can play in decarbonizing the energy system. Yet, “which citizens to involve in this process,” “when to involve them,” and “how to do so fairly and effectively,” are questions that still remain unclear to both experts and policymakers. Energy citizenship has been discussed as a concept that has the potential to galvanize the public for the energy transition. This potential has yet to be fulfilled, as there is a need to connect theory and concepts to the realities, challenges, and opportunities of the lives of citizens, under diverse circumstances. In this perspective, we argue that the concept of energy citizenship and its potential for contributing to low carbon transitions should be studied within a research framework that aims to produce transformative knowledge. We also introduce such a new transdisciplinary framework for creating transformative knowledge to explore and address questions relevant to the concept of energy citizenship. Our framework aims to produce knowledge that can be used to mobilize decarbonization actions for both individuals and collectives, by: (i). integrating different scales of analysis and action, i.e., at individual, collective, and national/ regional/ global levels, (ii). reconceptualizing the role of research and researchers, and finally, (iii). striving to be inclusive in a meaningful and innovative way.","Energy citizenship; Transdisciplinarity; Energy transition; Social innovations; Decarbonization; Inclusive energy","en","conference paper","","","","","","","","","","","Policy Analysis","","",""
"uuid:5ecc583b-6de6-4f8f-963f-074ef87dbb8b","http://resolver.tudelft.nl/uuid:5ecc583b-6de6-4f8f-963f-074ef87dbb8b","AI Alignment Dialogues: An Interactive Approach to AI Alignment in Support Agents","Chen, P.Y. (TU Delft Interactive Intelligence)","","2022","This project proposes a different way of looking at AI alignment, namely by introducing AI Alignment Dialogues. We argue that alignment dialogues have a number of advantages in comparison to data-driven approaches, especially for behaviour support agents, which aim to support users in achieving their desired future behaviours rather than their current behaviours. The advantages of alignment dialogues include allowing the users to directly convey higher-level concepts to the agent and making the agent more transparent and trusted.","AI alignment; behaviour support agent; conversational agent; human values; responsible AI","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:aab53b12-00eb-4444-ad63-4e9da91e287d","http://resolver.tudelft.nl/uuid:aab53b12-00eb-4444-ad63-4e9da91e287d","Position-Dependent Snap Feedforward: A Gaussian Process Framework","van Haren, Max (Eindhoven University of Technology); Poot, Maurice (Eindhoven University of Technology); Portegies, Jim (Eindhoven University of Technology); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2022","Mechatronic systems have increasingly high performance requirements for motion control. The low-frequency contribution of the flexible dynamics, i.e., the compliance, should be compensated for by means of snap feedforward to achieve high accuracy. Position-dependent compliance, which often occurs in motion systems, requires the snap feedforward parameter to be modeled as a function of position. Position-dependent compliance is compensated for by using a Gaussian process to model the snap feedforward parameter as a continuous function of position. A simulation of a flexible beam shows that a significant performance increase is achieved when using the Gaussian process snap feedforward parameter to compensate for position-dependent compliance.","Training; Mechatronics; Dynamics; Gaussian processes; Feedforward systems; Motion control; MIMO communication","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-05","","","Team Jan-Willem van Wingerden","","",""
"uuid:c7a1d1b7-c4f5-4b84-9763-e044ec7e2e77","http://resolver.tudelft.nl/uuid:c7a1d1b7-c4f5-4b84-9763-e044ec7e2e77","Bode Analysis of Uncertain Multivariable Systems","Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology); Tacx, Paul (Eindhoven University of Technology)","","2022","Bode plots are crucial for frequency domain analysis of SISO systems. The aim of this paper is to develop a complete approach for Bode plots of multivariable uncertain systems for both the magnitude and phase. The magnitude is based on the singular values. The phase is based on the phase spread of the numerical range. An IQC-based approach is pursued to provide both the magnitude and phase. A simulation example shows that the presented approach allows the generation of multivariable Bode plots of multivariable uncertain systems.","Uncertain systems; Frequency-domain analysis; Bandwidth; Numerical models; MIMO communication","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-05","","","Team Jan-Willem van Wingerden","","",""
"uuid:566a107c-eaf3-49e7-9672-e2094905b3e2","http://resolver.tudelft.nl/uuid:566a107c-eaf3-49e7-9672-e2094905b3e2","Gaussian Processes for Advanced Motion Control","Poot, Maurice (Eindhoven University of Technology); Portegies, Jim (Eindhoven University of Technology); Mooren, Noud (Eindhoven University of Technology); van Haren, Max (Eindhoven University of Technology); van Meer, Max (Eindhoven University of Technology); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2022","Machine learning techniques, including Gaussian processes (GPs), are expected to play a significant role in meeting speed, accuracy, and functionality requirements in future data-intensive mechatronic systems. This paper aims to reveal the potential of GPs for motion control applications. Successful applications of GPs for feedforward and learning control, including the identification and learning for noncausal feedforward, position-dependent snap feedforward, nonlinear feedforward, and GP-based spatial repetitive control, are outlined. Experimental results on various systems, including a desktop printer, wirebonder, and substrate carrier, confirmed that data-based learning using GPs can significantly improve the accuracy of mechatronic systems.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-05","","","Team Jan-Willem van Wingerden","","",""
"uuid:968e8e8c-3474-4c91-89e1-b08d7381e7cc","http://resolver.tudelft.nl/uuid:968e8e8c-3474-4c91-89e1-b08d7381e7cc","Stacking Integrators Without Sacrificing the Overshoot in Reset Control Systems","Karbasizadeh, Nima (TU Delft Mechatronic Systems Design); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2022","According to the well-known loop-shaping control design approach, the steady-state precision of control systems can be improved by stacking integrators. However, due to the waterbed effect in linear control systems, such an action will worsen the transient response by increasing overshoot and creating wind-up problems. This paper presents a new architecture for rest control systems that can significantly decrease the overshoot and create a no-overshoot performance even in the presence of stacked integrators. The steady-state analysis of the proposed system will also show that improved precision expected due to stacked integrators can be achieved as well. A numerical simulation study is presented to verify the results, and the tuning guide is presented.","Transient response; Sensitivity; Stacking; Lead; Control systems; Numerical simulation; Steady-state","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mechatronic Systems Design","","",""
"uuid:e19a2321-d675-499e-b9ad-c890c76b2840","http://resolver.tudelft.nl/uuid:e19a2321-d675-499e-b9ad-c890c76b2840","Giving Social Robots a Conversational Memory for Motivational Experience Sharing","Saravanan, Avinash (Student TU Delft); Tsfasman, M. (TU Delft Interactive Intelligence); Neerincx, M.A. (TU Delft Interactive Intelligence; TNO); Oertel, Catharine (TU Delft Interactive Intelligence)","","2022","In ongoing and consecutive conversations with persons, a social robot has to determine which aspects to remember and how to address them in the conversation. In the health domain, important aspects concern the health-related goals, the experienced progress (expressed sentiment) and the ongoing motivation to pursue them. Despite the progress in speech technology and conversational agents, most social robots lack a memory for such experience sharing. This paper presents the design and evaluation of a conversational memory for personalized behavior change support conversations on healthy nutrition via memory-based motivational rephrasing. The main hypothesis is that referring to previous sessions improves motivation and goal attainment, particularly when references vary. In addition, the paper explores how far motivational rephrasing affects user's perception of the conversational agent (the virtual Furhat). An experiment with 79 participants was conducted via Zoom, consisting of three conversation sessions. The results showed a significant increase in participants' change in motivation when multiple references to previous sessions were provided.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Interactive Intelligence","","",""
"uuid:c9c7654a-8a2b-44d0-9222-144fabe676af","http://resolver.tudelft.nl/uuid:c9c7654a-8a2b-44d0-9222-144fabe676af","Exploratory Study on the Load-Bearing Behaviour of Laminated Glass Beams Exposed to Fire","Möckel, Maximilian (Technische Universität Dresden); Lohr, Katharina (Technische Universität Dresden); Louter, P.C. (TU Delft Applied Mechanics)","Belis, Jan (editor); Bos, Freek (editor); Louter, Christian (editor)","2022","All-glass structures have become increasingly popular with architects and builders in recent years. Glass surfaces are becoming larger and more impressive, while connections are being decreased to obtain maximum transparency. The supporting structure of glass facades, glass roofs or walk-on glazing is mostly made of metal. One of the reasons for this are the fire protection requirements. To increase the overall transparency load-bearing glass structures have recently been given more attention. However, their use is currently still limited due to the concerns about glass performance in case of fire. Within a research study at TU Dresden load-bearing tests in a furnace were carried out to examine the load-bearing behaviour of glass beams exposed to fire. Different glass types and interlayer materials were tested with varying loads. This study provides a closer look at fire performance of glass beams and proposes further examinations to increase the load-bearing capacity in case of fire.","Load-bearing; Laminated glass; Glass beams; Fire protection","en","conference paper","Challenging Glass","","","","","","","","","","Applied Mechanics","","",""
"uuid:175d60a8-1318-4fd4-8a23-73c14014b39b","http://resolver.tudelft.nl/uuid:175d60a8-1318-4fd4-8a23-73c14014b39b","Numerical modelling of spatial variability and geotechnical uncertainty","Hicks, M.A. (TU Delft Geo-engineering)","Grabe, J. (editor)","2022","The spatial variability of soil properties influences material behaviour and the performance of geotechnical structures. It also leads to uncertainty in design, because one can never be certain about what the ground conditions are at every location across a site. This article introduces the concept and implications of spatial variability, and illustrates some of the opportunities afforded by utilising numerical methods within a probabilistic framework.","","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:212f640a-cc9a-457d-b45a-9b5f21bfd7d4","http://resolver.tudelft.nl/uuid:212f640a-cc9a-457d-b45a-9b5f21bfd7d4","Dynamic mechanical analysis of (Ca,Sr)AlSiN3: Eu2+ phosphor/silicone composites aged under the temperature–humidity–sulfur coupled condition","Feng, Shuo (Fudan University); Jiang, Tao (Hohai University); Chen, Wei (Fudan University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, Jiajie (Fudan University; Chinese Academy of Sciences; Fudan Zhangjiang Institute,)","","2022","As a core packaging material of light color conversion, phosphor/silicone composite plays an indispensable role in light emitting diode (LED) packaging. At present, commercial LED packages mainly use blue LED chips to stimulate Yttrium Aluminum Garnet (YAG) yellow phosphor to reach a white color. However, (Ca,Sr)AlSiN 3 :Eu 2+ (CSASN) red phosphor is often added to improve the color-rendering performance given the absence of red light emission spectrum. However, inevitably harsh working conditions can induce the degradation of CSASN red phosphor, which will directly influence the mechanical properties of its silicone composites and challenge the reliability of its LED packaging. In this study, the coupling effects of temperature–humidity–sulfur on the mechanical degradation of CSASN phosphor/silicone composites were considered. The prepared CSASN phosphor/silicone test samples were first aged under high-temperature, high-humidity, and high-sulfur conditions. A series of dynamic mechanical analysis tests were then conducted to qualitatively evaluate their mechanical properties. Finally, the dynamic tension process and interfacial cracking of CSASN phosphor/silicone composites were simulated by using finite element analysis with cohesive modeling. The results showed that: (1) under coupled aging conditions, the mechanical properties of the phosphor/silicone composite decreased due to the reaction of phosphor with sulfur, water, and oxygen; (2) crack initiation and propagation were most likely to occur at the edge of the crack perpendicular to the tensile direction. The debonding of particles with silicone rather than the fracture of phosphors was one of the main aspects resulting in failure mechanisms; (3) the highly concentrated and localized phosphor in the silicone matrix and the irregular shape and arrangement of phosphor particles generated cracks in the phosphor/silicone composite.","LED packaging; phosphor/silicone composites; (Ca,Sr)AlSiN3; Eu2+; dynamic mechanical analysis; temperature– humidity–sulfur coupled effect","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:ff72feb9-25f1-4809-94c7-ed75d90cb2eb","http://resolver.tudelft.nl/uuid:ff72feb9-25f1-4809-94c7-ed75d90cb2eb","Performance Bounds for Cooperative Localisation in Starlink","Turner, C.J. (ISAE-SUPAERO); Rajan, R.T. (TU Delft Signal Processing Systems)","","2022","Large satellite constellations in Low Earth Orbit (LEO) have the potential to revolutionise worldwide internet access. The concomitant potential of these large constellations to impact space sustainability, however, has prompted concern from space actors as well as provoking concern in the ground-based astronomy community. Increasing the positional accuracy of the orbital state of satellites in mega-constellations improves space situational awareness, reducing the need for collision avoidance manoeuvres and allowing astronomers to prepare better observational mitigation strategies. Current state-of-the-art solutions rely on Earth-based ground segments or onboard Global Navigation Satellite Systems hardware to precisely localise satellites. These methods can be augmented by cooperative navigation within the satellite network using existing intersatellite links. In this paper, we present a model of Phase 1 of Starlink, one of the more well-studied large constellations in LEO and investigate the potential of cooperative localisation using time-of-arrival measurements from the optical intersatellite links in the constellation. We establish the achievable performance of cooperative localisation between 1584 Starlink satellites and 87 ground stations by calculating the theoretical lower bounds on the accuracy of the position estimation e.g., Cramer-Rao Bound over the course of one orbit at 573 simulated time steps. Our results show that the standard deviation for localising the Starlink satellites has a value of 10.15 m and varies between a maximum of 36.5 m and a minimum of approximately 2m. This result is determined primarily by the geometry of the constellation and the characteristics of the intersatellite links. We discuss our results and lay out options for more sophisticated modelling and investigations for improved position accuracy of large satellite constellations.","Starlink; Cooperative Navigation; Space Situational Awareness; Megaconstellation","en","conference paper","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:8c61d5ce-0e09-48a0-8ba1-5b9ec2e14b50","http://resolver.tudelft.nl/uuid:8c61d5ce-0e09-48a0-8ba1-5b9ec2e14b50","Antenna-coupled MKIDs for an Integral Field Unit at 7.8 THz","Pascual Laguna, A. (TU Delft Tera-Hertz Sensing; SRON Netherlands Institute for Space Research); Bueno Lopez, J. (TU Delft Electronics); Yates, S.J.C. (SRON Netherlands Institute for Space Research); Ferrari, Lorenza (SRON Netherlands Institute for Space Research); Murugesan, Vignesh (SRON Netherlands Institute for Space Research); Thoen, David (TU Delft Tera-Hertz Sensing); Dabironezare, Shahab Oddin (TU Delft Tera-Hertz Sensing); Zhang, H. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Baselmans, J.J.A. (TU Delft Tera-Hertz Sensing)","","2022","A focal plane array of extended-hemispherical silicon lenses coupled to aluminum coplanar-waveguide (CPW) Microwave Kinetic Inductance Detectors (MKIDs) has been designed to operate at 7.8 THz. Low-dispersive leaky-wave radiation has been used to efficiently illuminate the antireflection-coated lenses. To minimize the radiation loss from the antenna feeding lines at these high frequencies, the CPWs have been miniaturized and placed on a dielectric membrane. A test device has been fabricated and its experimental characterization in terms of sensitivity, optical coupling, and beam patterns is ongoing.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Tera-Hertz Sensing","","",""
"uuid:32bbd42d-b2ab-46bc-8f6e-1036bed68d70","http://resolver.tudelft.nl/uuid:32bbd42d-b2ab-46bc-8f6e-1036bed68d70","Demonstration of a Broadband Quasi-Optical Power Distribution and Beam-Steering with Transmit Lens Arrays at 550 GHz","Alonso Del Pino, M. (TU Delft Tera-Hertz Sensing); Bosma, S. (TU Delft Tera-Hertz Sensing); Jung-Kubiak, C. (California Institute of Technology); Bueno Lopez, J. (TU Delft Electronics); Chattopadhyay, G. (California Institute of Technology); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2022","A novel transmit lens array is proposed to provide broadband quasi-optical power distribution and beam-steering capabilities for array architectures in future submillimeter-wave heterodyne instruments. The transmit array is composed of a double array layer of lens antenna elements with high aperture efficiency. To enable broadband and low loss quasi-optical (QO) power distribution, the transmit lens array is coupled with a high aperture efficiency single lens antenna. The high aperture efficiency is achieved by using a recently introduced multi-mode leaky wave feed. The top lens array can be used to achieve beam-steering capabilities when fed coherently and mechanically translated using a piezo-motor. In this contribution, we present the development of a prototype based on a transmit lens array of 7 elements at 450-650 GHz with measurements showing a good agreement with simulations. This prototype demonstrates a quasi-optical power coupling efficiency of nearly 60%. Moreover it also shows beam-steering of a 36dBi directivity beam to few discrete angles up to +/-25 degrees with less than 2dB scan loss","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-27","","","Tera-Hertz Sensing","","",""
"uuid:34fa2b8c-6fac-4758-9c7a-4f6af1b5e137","http://resolver.tudelft.nl/uuid:34fa2b8c-6fac-4758-9c7a-4f6af1b5e137","Evaluation of Mutual Coupling in Integrated Lens Array Antennas","Nair, A. (TU Delft Tera-Hertz Sensing); Dabironezare, Shahab Oddin (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2022","Future applications in sensing and communications at (sub)-millimetre wavelengths will benefit from having large integrated coherent arrays. The use of lens arrays will enable the fabrication of integrated antenna front-ends with many potential independent beams as well as dynamic scanning capabilities. For applications such as MIMO communications, interferometric arrays and Tx/Rx duplexing capabilities, a key design parameter can be the mutual coupling between the integrated antenna front-ends. In this contribution we model such mutual coupling for lens antenna arrays using Geometrical Optics technique combined with a bidirectional forward ray-tracing. The validation of the methodology against full wave simulations is also presented here.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Tera-Hertz Sensing","","",""
"uuid:bb0d256a-94fe-4bcf-8184-ce56503fce72","http://resolver.tudelft.nl/uuid:bb0d256a-94fe-4bcf-8184-ce56503fce72","Far infrared imaging spectrometers for the next generation astronomical instruments","Baselmans, J.J.A. (TU Delft Tera-Hertz Sensing; SRON Netherlands Institute for Space Research); Endo, A. (TU Delft Tera-Hertz Sensing); Karatsu, K. (SRON Netherlands Institute for Space Research); Hähnle, S. (SRON Netherlands Institute for Space Research); Pascual Laguna, A. (SRON Netherlands Institute for Space Research); Yates, S. (SRON Netherlands Institute for Space Research); Ferrari, L. (SRON Netherlands Institute for Space Research); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Bueno Lopez, J. (TU Delft Electronics); Facchi, F. (Student TU Delft); Thoen, David (TU Delft Tera-Hertz Sensing); Murugesan, V. (SRON Netherlands Institute for Space Research); van der werf, P. (Universiteit Leiden); de Visser, P.J. (TU Delft Tera-Hertz Sensing; SRON Netherlands Institute for Space Research)","","2022","Advances in far infrared astronomy have been, and will be, defined by instrument capabilities. Especially relevant is the development of imaging spectrometers for the wavelength range of 0.03-3 mm, which are not available at all at this moment. We will discuss recent advances in this field: First, we discuss the development of miniature on-chip spectrometers, that can operate in a 0.09-1 THz by using lossless superconducting circuits to create miniature spectrometers. For higher frequencies this is not possible due to material limitations, moreover instruments have to be operated in space due to the opacity of the atmosphere. Recent proposals for new missions focus on space-based observatories with optics cooled down to 4K, which offer unprecedented spectral imaging speeds, but require large arrays of extremely sensitive detectors. In the second part of this paper we will discuss the development of microwave Kinetic Inductance detectors with a sensitivity of NEP. 3.1.10-20 W/ãHz, sufficient for these applications.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-26","","","Tera-Hertz Sensing","","",""
"uuid:c6c9f2e8-ba72-4595-a795-27ea3aeb47a7","http://resolver.tudelft.nl/uuid:c6c9f2e8-ba72-4595-a795-27ea3aeb47a7","Broadband Lossless Matching Layer for Lens Arrays at THz Frequencies","Bueno Lopez, J. (TU Delft Electronics); Bosma, S. (TU Delft Tera-Hertz Sensing); Bußkamp-Alda, T. (Veld Laser Innovations B.V.); Alonso Del Pino, M. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2022","We present the design, fabrication and characterization of a broadband lossless matching layer for shallow lens arrays. The matching layer we propose is based on silicon pyramids fabricated on top of the lens array by means of laser ablation. This matching layer has the advantage that it covers over an octave of bandwidth. We have compared the performance of this matching layer with the commonly used parylene-C matching layer at the center of the targetted band, 500 GHz. The matching layer based on the silicon pyramids has 1.6 dB higher transmission.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-27","","","Electronics","","",""
"uuid:2295318c-402b-45b0-88a4-b455e7fb6e94","http://resolver.tudelft.nl/uuid:2295318c-402b-45b0-88a4-b455e7fb6e94","Efficient Waveguide Feeds for Low-Profile Submm-wave Lens Antennas","van Berkel, Sven (California Institute of Technology); Alonso Del Pino, M. (TU Delft Tera-Hertz Sensing); Jung-Kubiak, Cecile (California Institute of Technology); Chattopadhyay, Goutam (California Institute of Technology)","","2022","A small volume and low-mass THz spectroscopy instrument, integrated in a CubeSat platform, enables an accessible and low-cost pathway to earth science. Low-profile lens antennas emerge as a suitable candidate to realize a high gain, 50 dB, over a wide bandwidth, from 450 GHz to 550 GHz. To achieve a high aperture efficiency with a large numerical aperture lens, an advanced waveguide feed is required. In this work a concentric corrugated waveguide feed and multi-layer leaky-wave feed are presented and benchmarked against an open-ended circular waveguide feed. All three feeds are fabricated and will be evaluated in combination with a 7.4 cm diameter silicon lens. It is shown that the two feeds result in a 65% aperture efficiency, i.e. a 13% improvement with respect to the open-ended waveguide.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Tera-Hertz Sensing","","",""
"uuid:2209625b-881f-472a-ad3c-b734b3a09f0c","http://resolver.tudelft.nl/uuid:2209625b-881f-472a-ad3c-b734b3a09f0c","MIMO Antennas for Radiative Near-Field Links: A Comparative Study at 300 GHz","Beschoor Plug, N.E. (TU Delft Tera-Hertz Sensing); Dabironezare, Shahab Oddin (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2022","This paper presents a comparative study of different Multiple Input Multiple Output (MIMO) array architectures to realize high-capacity Point-to-Point (PtP) wireless links for potential use in future 6G backhauling applications. This study focuses on the 220-320 GHz band, defined by the new IEEE 802.15.3d standard. Both phased array and focal plane array architectures are studied, considering the link to operate in the radiative near-field. The theoretically achievable capacities are presented based on the channel matrix estimated in the radiative near-field and the application of Shannon’s capacity formula. It is found that capacities well above Tbps rates can theoretically be realized, even without the use of interference cancellation techniques.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Tera-Hertz Sensing","","",""
"uuid:45305361-23d6-4bf3-9244-579287ccc8e8","http://resolver.tudelft.nl/uuid:45305361-23d6-4bf3-9244-579287ccc8e8","Full-Wave Solver for Radiation from Thermal Sources","Ozzola, R. (TU Delft Tera-Hertz Sensing); Geng, J. (Student TU Delft); Neto, A. (TU Delft Tera-Hertz Sensing); Freni, A. (University of Florence)","","2022","The thermal emission from finite-size bodies is directly investigated without resorting to reciprocity. Specifically, an integral equation representing the electromagnetic field distribution on a conductive body under investigation is proposed. The source of the electromagnetic field is classic as it is an extension of Johnson noise sources to volumetric problems. The solution of the integral equation allows one to study the radiometric properties for geometries that are smaller than the investigated wavelengths, and in observation points, both in the near and in the far-field. The limits of validity of the formulation are clarified.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Tera-Hertz Sensing","","",""
"uuid:fdd38e57-ea11-4505-bc29-f291fe129a4f","http://resolver.tudelft.nl/uuid:fdd38e57-ea11-4505-bc29-f291fe129a4f","Core-Shell Leaky-Wave Lens Antenna for 150GHz Fly’s Eye Communication Systems","van Rooijen, N. (TU Delft Tera-Hertz Sensing); Alonso Del Pino, M. (TU Delft Tera-Hertz Sensing); Spirito, M. (TU Delft Electronics); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2022","This work presents a novel lens antenna architecture based on a core-shell lens design with a leaky-wave in-packaged antenna at 150GHz. An electrically small core lens made of dense dielectric material is used to enhance the radiation of the in-packaged antenna. A low-loss dielectric shell lens with electrically large dimensions is then added to provide high directivity. A microstrip feeding network for connection to a 150GHz chipset is then also discussed. The proposed lens antenna provides good quality patterns with aperture efficiencies above 80% over a bandwidth of 20%.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Tera-Hertz Sensing","","",""
"uuid:be224676-f151-4617-a408-bae467cf2a03","http://resolver.tudelft.nl/uuid:be224676-f151-4617-a408-bae467cf2a03","8-beam local oscillators multiplexer for GUSTO at 4.7 THz","Mirzaei, B. (TU Delft ImPhys/Optics); Gan, Y. (SRON Netherlands Institute for Space Research; Rijksuniversiteit Groningen); Silva, J. (SRON Netherlands Institute for Space Research; Rijksuniversiteit Groningen); Gao, J.R. (TU Delft ImPhys/Optics; SRON Netherlands Institute for Space Research)","","2022","We will report a full demonstration of the Fourier phase grating used as 4.7 THz local oscillator (LO) multiplexer for Galactic/Extragalactic ULDB Spectroscopic Terahertz Observatory (GUSTO). The design, modeling, tolerance analysis, and experimental characterization of the angular and intensity distributions among 2 × 4 output beams and the power efficiency will be presented. This is the first detailed study of a THz beam multiplexer, which will be actually integrated in an observatory.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Optics","","",""
"uuid:c7a6f3c4-1b75-4128-b27c-3265287d2c94","http://resolver.tudelft.nl/uuid:c7a6f3c4-1b75-4128-b27c-3265287d2c94","Employing M1 direct calibration/de-embedding approaches for large signal model validation at mm-wave frequencies","De Martino, C. (TU Delft Electronics; Vertigo Technologies); Esposito, C. (Technische Universität Dresden); Schroter, M. (Technische Universität Dresden); Spirito, M. (TU Delft Electronics)","","2022","In this contribution, we employ direct calibration/de-embedding approaches to validate the large signal device model of state-of-the-art HBTs and CMOS technologies operating in the mm-wave frequency band WR6. The capability of placing the first tier calibration reference plane in close proximity to the DUT allows the large signal metric to be directly compared with foundry models.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:6db37426-f8c7-45c8-9b7d-c9eb77ec6dd8","http://resolver.tudelft.nl/uuid:6db37426-f8c7-45c8-9b7d-c9eb77ec6dd8","Let's Gamify Open Government Data Portals! the GamOGD prototype","Simonofski, Anthony (University of Namur); Clarinval, Antoine (University of Namur); Zuiderwijk-van Eijk, A.M.G. (TU Delft Information and Communication Technology); Hammedi, Wafa (University of Namur)","Hagen, Loni (editor); Solvak, Mihkel (editor); Hwang, Sungsoo (editor)","2022","Government policies focused on Open Government Data (OGD) often aim to stimulate the provision of public, interoperable data towards any user, including lay citizens, through online portals. However, these OGD portals are primarily developed for expert users. This hinders the realization of transparency, empowerment, and equality of access. This system demonstration paper presents GamOGD, an OGD portal prototype tailored to lay citizens that implements fifteen gamification design propositions.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Information and Communication Technology","","",""
"uuid:dc30e31f-0ef2-424d-879e-57182b2e40bd","http://resolver.tudelft.nl/uuid:dc30e31f-0ef2-424d-879e-57182b2e40bd","Designing Real-time, Continuous QoE Score Acquisition Techniques for HMD-based 360°VR Video Watching","Xue, Tong (Beijing Institute of Technology; Centrum Wiskunde & Informatica (CWI)); El Ali, Abdallah (Centrum Wiskunde & Informatica (CWI)); Viola, Irene (Centrum Wiskunde & Informatica (CWI)); Ding, Gangyi (Beijing Institute of Technology); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI))","","2022","Watching HMD-based 360° video has become in-creasing popular as a medium for immersive viewing of photo-realistic content. To evaluate subjective video quality, researchers typically prompt users to provide an overall Quality of Experience (QoE) score after viewing a stimulus. However, since users can adjust their viewport throughout a 360° video, a higher level of spatiotemporal granularity is needed for adaptive 360° video streaming. To address this, we design several real-time, continuous QoE annotation input and peripheral visualization techniques, with the goal of minimizing mental workload and distraction during score acquisition. Drawing on two parallel co-design sessions with seven experts, we find that touchpad and joystick are most suitable for continuous input, with DotMorph (circle with tick label that varies in filling) for peripheral state feedback. We contribute design findings for testing QoE score acquisition techniques during HMD-based 360° video watching, which enable more precise optimization of adaptive video streaming quality.","Quality of Experience; 360° video; real-time; continuous; acquisition; peripheral visualization","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Multimedia Computing","","",""
"uuid:f57baddc-15d1-4557-9c9c-899bbc74f345","http://resolver.tudelft.nl/uuid:f57baddc-15d1-4557-9c9c-899bbc74f345","Integral Channel Nozzles and Heat Exchangers using Additive Manufacturing Directed Energy Deposition NASA HR-1 Alloy","Gradl, P.R. (NASA Marshall Space Flight Center); Cervone, A. (TU Delft Space Systems Egineering); Colonna, Piero (TU Delft Flight Performance and Propulsion)","","2022","Heat exchangers for use in propulsion applications are very critical components because they must be efficient, compact and light and often operate with working fluids at extreme temperatures or pressures or both. Various components and systems use heat exchangers such as combustion chambers of gas turbines and internal combustion engines, fuel cells (air supply and thermal management), electric batteries (thermal management), evaporators and recuperators of waste-heat-to-power systems, and rocket engines. Even if the results are more generally applicable, the heat exchangers applications to which this study is more closely related are regeneratively cooled rocket nozzles and chambers, and repressurization systems for the launch vehicles. These components are often thin-walled and contain pressurized fluids, like propellants at cryogenic or elevated temperatures. Given that the environments that these propulsion components must endure are challenging, the manufacturing to meet these specifications often require long lead times due to specialty processes and unique tooling associated with the combined thin-wall integral channel and large-scale structures. Additive manufacturing (AM) offers programmatic advantages for reduction in processing time and cost in addition to various technical advantages, including the possibility to achieve enhanced hardware complexity targeted to superior performance, part consolidation, and the capability of processing of novel alloys. While AM is already being utilized for heat exchanger components in propulsion applications, almost all these AM components are made by means of Laser Powder Bed Fusion (L-PBF). L-PBF allows for fine features but is rather limited with respect to the overall size of the components that can be manufactured. Recent developments are maturing the Laser Powder Directed Energy Deposition (LP-DED) process which may be used, for example, to make integral channel thin-wall regeneratively-cooled rocket nozzles with diameters greater than 1 m. This paper highlights some integral channel heat exchanger demonstrator hardware applications of LP-DED, as well as the characterization of this process in combination with the use of the NASA HR-1 alloy. To properly utilize LP-DED for heat exchanger manufacturing, various aspects are being characterized such as geometry limitations, measurement of surface texture and geometric angled surfaces, surface enhancements for internal channels, and material evaluation. NASA HR-1 (Fe-Ni-Cr) is a high strength hydrogen resistant superalloy developed for use in aerospace applications, such as heat exchangers. Some aspects and considerations about the design of heat exchangers are summarized together with data relevant to LP-DED manufacturing in combination with the NASA HR-1 alloy. Microchannels were successful deposited down to 2.54 mm and 1 mm wall thickness, wall angles of 30°, both with high reproducibility. It was also found that the areal surface roughness is highly dependent on the size of the powder feedstock used for deposition. The characterization of these LP-DED features is critical for fluid flow and heat transfer predictions as it can be exploited to enhance heat transfer at the cost of increased pressure drop.","Additive Manufacturing; Heat Exchangers; Directed Energy Deposition; Nozzles; Laser Powder Directed Energy Deposition; DED; LP-DED; Channel Wall Nozzles","en","conference paper","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:dc20f897-9a4d-45c2-843e-256ec17849d0","http://resolver.tudelft.nl/uuid:dc20f897-9a4d-45c2-843e-256ec17849d0","Design of Multi-Mode Linear Electric Machine for Charging and Propulsion of Vacuum Tube Train","Dong, J. (TU Delft DC systems, Energy conversion & Storage); Becetti, Belkassem (Philips); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","This paper investigates a high speed vacuum tube train system relying on wheels for suspension and a multi-mode linear electric machine for charging and propulsion: the machine will be configured as a doubly fed induction machine for charging at stationary, and as a permanent magnet synchronous machine for acceleration and deceleration. The system configuration is first presented, then a linear doubly fed induction machine which can be used for charging at stations is designed and optimized based on genetic algorithm. The design is also validated by finite element modelling. Afterwards, a 1275 km long journey between Damman and Jeddah through Riyadh in Saudi Arabia is selected to demonstrate the application of the presented system.","Linear doubly fed induction machine; high speed railway system; vacuum tube train","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-13","","","DC systems, Energy conversion & Storage","","",""
"uuid:b6e24e45-8a91-4121-b360-2daea668add4","http://resolver.tudelft.nl/uuid:b6e24e45-8a91-4121-b360-2daea668add4","Performance Analysis of the Wind Field Estimation for a Very Fast Scanning Weather Radar","Dash, T.K. (TU Delft Microwave Sensing, Signals & Systems); Krasnov, O.A. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","The performance and limitations of the Doppler processing of the scattered signals from extended meteorological objects (precipitation) are analysed in the case of radar with fast azimuthal scanning. The classical method of the Discrete Fourier Transform (DFT) has been applied to simulated weather radar signals to estimate the Doppler velocity spectrum and characterise it with the mean Doppler velocity and the Doppler spectrum width. The accuracy and resolution of these estimations have been analysed as a function of the scanning radar rotation speed. Finally, the performances of the 2D wind field retrieval are analysed in relation to the accuracy and resolution of Doppler spectra estimations. The wind field retrieval has been done using the classical velocity azimuthal display (VAD) retrieval technique that gives an overall/average estimate of the wind field over an observation region. A few possible approaches for improving the accuracy and resolution of a fast scanning weather radar Doppler signal processing are proposed and analysed based on simulated scanning radar data.","Weather radars; Doppler processing; DFT; VAD","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-04","","","Microwave Sensing, Signals & Systems","","",""
"uuid:3009a4f9-60b5-4f20-b33d-61b23a724c61","http://resolver.tudelft.nl/uuid:3009a4f9-60b5-4f20-b33d-61b23a724c61","Hybrid InSAR Processing for Rapidly Deforming Peatlands Aided by Contextual Information","Conroy, Philip (TU Delft Mathematical Geodesy and Positioning); van Diepen, S.A.N. (TU Delft Mathematical Geodesy and Positioning); van Leijen, F.J. (TU Delft Mathematical Geodesy and Positioning); Hanssen, R.F. (TU Delft Mathematical Geodesy and Positioning)","","2022","We present a novel InSAR processing scheme which combines point scatterer (PS) and distributed scatter (DS) approaches in a hybrid framework along with contextual information about the environment under study. Data such as land parcel divisions, precipitation and temperature are integrated into the processing pipeline in order to produce accurate deformation time series estimates of the Dutch peatlands. In addition to these steps, a segmented processing scheme is introduced to manage irreversible losses of coherence in the interferogram stack. Initial results show a promising agreement with in-situ ground truth measurements gathered by extensometer readings of shallow surface deformation.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mathematical Geodesy and Positioning","","",""
"uuid:ffecf406-ae31-4716-975f-548f89ec794b","http://resolver.tudelft.nl/uuid:ffecf406-ae31-4716-975f-548f89ec794b","Multi-Frequency Radar Signal Processing for Moving Target Detection","Gong, Huaiyang (Student TU Delft); Petrov, N. (TU Delft Microwave Sensing, Signals & Systems); Krasnov, O.A. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","This paper presents algorithms for joint signal processing of data from two radars located on the rooftop of TU Delft: PARSAX, operating in S-band, and MESEWI, operating in X-band [1]. In particular, the problem of data alignment in space (2D map) and time is addressed by observing moving targets of opportunity in the high-resolution mode. After the data alignment procedure, the detection algorithms for optimal fusing of dual-polarization and multi-frequency data are proposed. The detection results are considered the input for moving target (an auto) tracking and its signature extraction. The developed techniques were tested on the data records in experimental scenarios.","Multi-frequency radar; data alignment; correlation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-04","","","Microwave Sensing, Signals & Systems","","",""
"uuid:8e71bae3-246a-4620-a479-3dad9c25a6ea","http://resolver.tudelft.nl/uuid:8e71bae3-246a-4620-a479-3dad9c25a6ea","Crop-Growth Driven Forward-Modeling of Sentinel-1 Observables Using Machine-Learning","Nikaein, T. (TU Delft Mathematical Geodesy and Positioning); Kummer, Vineet; Steele-Dunne, S.C. (TU Delft Mathematical Geodesy and Positioning); Lopez Dekker, F.J. (TU Delft Mathematical Geodesy and Positioning)","","2022","This paper presents an approach to implement a forward model for Sentinel-1 copol and crosspol backscatter and coherence using crop bio-geophysical parameters namely leaf area index, biomass, canopy height, soil moisture and root zone moisture as inputs for the maize. These required input parameters are generated using Decision Support System for Agrotechnology Transfer (DSSAT), one of the state-of-the-art crop growth models. The predicted SAR signal is generated using Support Vector Regression (SVR) over all the maize fields in an agricultural region, Flevoland, Netherlands. The correlation between simulated signal and observed signal is evaluated.","Crop; DSSAT; Sentinel-1; SAR; simulation; forward-model","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mathematical Geodesy and Positioning","","",""
"uuid:9ff6c0fc-a512-42fe-b93d-b06f324f08c2","http://resolver.tudelft.nl/uuid:9ff6c0fc-a512-42fe-b93d-b06f324f08c2","How do Non-profit Open data Intermediaries enhance Open data Usability?: A Systematic Literature Review","Pilshchikova, L. (TU Delft Information and Communication Technology); Zuiderwijk-van Eijk, A.M.G. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","","2022","Non-profit organisations (NPOs) are one type of open data intermediaries connecting different actors in the open data ecosystem. They perform a number of activities, from requesting the government to open up the data to application development. Such activities can have an effect on open data usability barriers that other actors in the open data ecosystem encounter. The objective of this study is to systematically review the literature on the influence of NPOs' activities on the usability barriers for open data users in the open data ecosystem. The authors identified and analysed fourteen relevant papers. This study shows that NPOs conduct various activities that relate to different intermediary roles in the open data ecosystem, which in turn can affect certain usability barriers. Moreover, NPOs may perform different activities depending on the type of open data they work with. However, the connection between the activities and open data usability barriers for open data users cannot be clearly established from the selected articles, as most of them do not focus on establishing such a link. This review highlights a literature gap in relation to NPOs' activities and their effects on open data usability.","intermediaries; non-profit organisations; open data; usability","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-14","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:e21b07c3-df73-4469-a057-7f58270d3acb","http://resolver.tudelft.nl/uuid:e21b07c3-df73-4469-a057-7f58270d3acb","Challenges in the Transition towards a Quantum-safe Government","Kong, I. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Bharosa, Nitesh (TU Delft Information and Communication Technology)","Hagen, Loni (editor); Solvak, Mihkel (editor); Hwang, Sungsoo (editor)","2022","The computation power of quantum computers introduces new security threats in Public Key Infrastructure (PKI), a system used by many governments to secure their digital public services and communication. This calls for an inevitable need for governments to be quantum-safe (QS) by modifying their PKI systems to be resistant to the attacks of quantum computers. However, there is limited academic literature on a QS PKI system, and in this limited literature, the transition challenges are perceived as exclusively technological. This paper aims to create a structured overview of challenges when transitioning to a QS PKI system. We do this by reviewing literature and classifying the challenges using Technology-Organization-Environment (TOE) framework and using an expert workshop to explore the challenges in the context of the PKI system in the Dutch government. The main challenges in the technological context include no universal QS solution, legacy system, complex PKI interoperability, and vulnerable Root CA. The main challenges in the organizational context include knowledge gap, unclear governance, lack of urgency, and in-house management support. Furthermore, the main challenges in the environmental context include institutional void, stakeholder collaboration, lack of awareness, and policy guidance. The results indicate that the QS transition from the current PKI system is complex, and the challenges are socio-Technical. For policy-makers, this implies that they should start early to prepare, whereas organizations are hardly aware of the process of QS transition and the topic of quantum computing is yet to develop the urgency in organizations.","Quantum-Safe Governmen; Post Quantum Cryptography; Pub- lic organization; Public Key Infrastructure transition challenges; Systematic","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:ba1e7d9c-98ab-48a1-9a30-bbcf3d93096f","http://resolver.tudelft.nl/uuid:ba1e7d9c-98ab-48a1-9a30-bbcf3d93096f","Creating Trust in Citizen Participation through Decentralized Autonomous Citizen Participation Organizations (DACPOs)","Rikken, O.K. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Roosenboom-Kwee, Z. (TU Delft Economics of Technology and Innovation)","Hagen, Loni (editor); Solvak, Mihkel (editor); Hwang, Sungsoo (editor)","2022","Blockchain-based Decentralized Autonomous Organizations (DAOs) are systems for transacting and storing value by automatically executing a function without the need for trusted, centralized authorities. Participative budgeting requires voting about budget allocation by communities and is often confronted with issues in trust and transparency. Yet, DAOs are hardly used for participative budgeting. In this research, we introduce Decentralized Autonomous Citizen Participation Organizations (DACPOs). In a DACPO, data and actions are recorded and autonomously executed in a decentralized way. DACPOs can be used for enabling participative budgeting and thereby provide transparency, decrease the risks of fraud and corruption, and increase citizens' trust. The viability of DACPOs depends on a number of factors, including a minimum number of citizens who participate. In further research, factors influencing the use of DACPOs for participative budgeting can be further analyzed and tested.","Blockchain; Decentralized autonomous citizen participation organization (DACPO); Decentralized autonomous organization (DAO); Participative budgeting; Token smart contract","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-14","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:043a221e-6e49-4cd1-b282-851d268ad2df","http://resolver.tudelft.nl/uuid:043a221e-6e49-4cd1-b282-851d268ad2df","Public Values of Trustworthy Peer-To-peer (P2P) Lending System","Sulastri, R. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","Hagen, Loni (editor); Solvak, Mihkel (editor); Hwang, Sungsoo (editor)","2022","Governments want to improve the economy by extending the market of financial credit. Recently, Peer-To-peer (P2P) lending credit scoring systems have gained more attention for advancing society; however, the role of governments in ensuring fair access to such a system is not known. This work aims to understand better the public values for trustworthy P2P lending systems. Public values should provide the basis to which a P2P lending system should adhere. Credit scoring in P2P lending is not merely about the technology in creating a profitable system for all participants. Several public values are of relevance. Understanding credit scoring in P2P lending is crucial to ensure inclusiveness, trustworthiness, fairness, equal treatment, and accountability.","Credit scoring; P2P lending; Public values; Trustworthy AI","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-14","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:ec25adfe-42a9-4ce3-8ecd-54be351f0434","http://resolver.tudelft.nl/uuid:ec25adfe-42a9-4ce3-8ecd-54be351f0434","Outer Length Scales in Nocturnal Stable Boundary Layers","Basu, S. (TU Delft Atmospheric Remote Sensing)","","2022","Recently, Basu and Holstlag (2021) proposed a unified framework for describing outer length scales (OLS). By utilizing this framework, we document various characteristics of OLS in nocturnal boundary layers over the US Great Plains.","","en","conference paper","Optica Publishing Group (formerly OSA)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Atmospheric Remote Sensing","","",""
"uuid:23b166d1-d0df-451c-bcc8-af140a955405","http://resolver.tudelft.nl/uuid:23b166d1-d0df-451c-bcc8-af140a955405","Integrated Cryo-CMOS Temperature Sensors for Quantum Control ICs","'t Hart, P.A. (TU Delft QCD/Sebastiano Lab); Huizinga, T. (Student TU Delft); Babaie, M. (TU Delft Electronics); Vladimirescu, A. (TU Delft QCD/Sebastiano Lab; University of California; ISEP); Sebastiano, F. (TU Delft Quantum Circuit Architectures and Technology)","","2022","This work presents an experimental study of different components (resistors, diodes, transistors) in a standard 40-nm bulk CMOS process for their suitability as integrated cryogenic temperature sensors down to a temperature of 4.2K. It was found that most devices can be employed as sensors down to temperatures of approximately 50K, below which non-ideal effects such as non-linear behaviour and decreased sensitivity start to dominate. The Dynamic-Threshold MOS (DTMOS) was found to be a very promising candidate for its linearity, low forward-voltage-drop and sensitivity down to 8K. Moreover, as previous research indicated that cryogenic self-heating raises the local chip temperature to tens of Kelvins already at moderate power levels, the aforementioned sensing limitations at very low temperatures are expected to be of less importance in realistic applications. The results presented in this work contribute to the further integration of classical cryo-CMOS control electronics and qubits, towards a fully scalable quantum computer.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","QCD/Sebastiano Lab","","",""
"uuid:5dde0ae2-c00c-4999-9387-e0679212d411","http://resolver.tudelft.nl/uuid:5dde0ae2-c00c-4999-9387-e0679212d411","Near-field focusing using phased arrays with dynamic polarization control","Myers, N.J. (TU Delft Team Nitin Myers); Aslan, Y. (TU Delft Microwave Sensing, Signals & Systems); Joseph, G. (TU Delft Signal Processing Systems)","","2022","Phased arrays in near-field communication allow the transmitter to focus wireless signals in a small region around the receiver. Proper focusing is achieved by carefully tuning the phase shifts and the polarization of the signals transmitted from the phased array. In this paper, we study the impact of polarization on near-field focusing and investigate the use of dynamic polar-ization control (DPC) phased arrays in this context. Our studies indicate that the optimal polarization configuration for near-field focusing varies spatially across the antenna array. Such a spatial variation motivates the need for DPC phased arrays which allow independent polarization control across different antennas. We show using simulations that DPC phased arrays in the near-field achieve a higher received signal-to-noise ratio than conventional switched- or dual-polarization phased arrays.","Near-field; focusing; dynamic polarization control; beamforming","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-18","","","Team Nitin Myers","","",""
"uuid:1c461789-e377-4e10-80d5-e7eceecbf585","http://resolver.tudelft.nl/uuid:1c461789-e377-4e10-80d5-e7eceecbf585","Improving Safety of Vertical Manoeuvres in a Layered Airspace with Deep Reinforcement Learning","Groot, D.J. (TU Delft Control & Simulation); Ribeiro, M.J. (TU Delft Control & Simulation); Ellerbroek, Joost (TU Delft Control & Simulation); Hoekstra, J.M. (TU Delft Control & Simulation)","","2022","Current estimates show that the presence of unmanned aviation is likely to grow exponentially over the course of the next decades. Even with the more conservative estimates, these expected high traffic densities require a re-evaluation of the airspace structure to ensure safe and efficient operations. One structure that scored high on both the safety and efficiency metrics, as defined by the Metropolis project, is a layered airspace, where aircraft with an intended heading are assigned to a specific altitude layer. However, a problem arises once aircraft start to vertically traverse between these layers, leading to a large number of conflicts and intrusions. One way to potentially reduce the number of intrusions during these operations is by using conventional conflict resolution algorithms. These algorithms however have also been shown to lead to instabilities at higher traffic densities. As recent years have shown tremendous growth in the capabilities of Deep Reinforcement Learning, it is interesting to see how well these methods perform in the field of conflict resolution. This research investigates and compares the performance of multiple Soft Actor Critic models with the Modified Voltage Potential algorithm during vertical manoeuvres in a layered airspace. The final obtained performance of the trained models is comparable to that of the Modified Voltage Potential algorithm and in certain scenarios, the trained models even outperform the MVP algorithm. Overall, the results show that DRL can improve upon the current state of conflict resolution algorithms and provide new insight into the development of safe operations.","Keywords—Conflict Detection and Resolution (CD&R); Deep Reinforcement Learning (DRL),; Modified Voltage Potential (MVP); Unmanned Traffic Management (UTM); Self-Separation; BlueSky ATC Simulator","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:d5c87651-7c2d-4ef0-abbc-c933cb4fed96","http://resolver.tudelft.nl/uuid:d5c87651-7c2d-4ef0-abbc-c933cb4fed96","Designing for Responsibility","Sattlegger, A.S. (TU Delft Information and Communication Technology); van den Hoven, M.J. (TU Delft Ethics & Philosophy of Technology); Bharosa, Nitesh (TU Delft Information and Communication Technology)","Hagen, Loni (editor); Solvak, Mihkel (editor); Hwang, Sungsoo (editor)","2022","Governments are increasingly using sophisticated self-learning algorithms to automate and standardize decision-making on a large scale. However, despite aspirations for predictive data and more efficient decision-making, the introduction of artificial intelligence (AI) also gives rise to risks and creates a potential for harm. The attribution of responsibility to individuals for the harm caused by these novel socio-Technical decision-making systems is epistemically and normatively challenging. The conditions necessary for individuals to be adequately held responsible-moral agency, freedom, control, and knowledge, can be undermined by the introduction of algorithmic decision-making. Thereby responsibility gaps are created where seemingly no one is sufficiently responsible for the system's outcome. We turn this challenge to adequately attribute responsibility into a design challenge to design for these responsibility conditions. Drawing on philosophical responsibility literature, we develop a conceptual framework to scrutinize the task responsibilities of actors involved in the (re-)design and application of algorithmic decision-making systems. This framework is applied to an empirical case study involving AI in automated governmental decision-making. We find that the framework enables the critical assessment of a socio-Technical system's design for responsibility and provides valuable insights to prevent future harm. The article addresses the current academic and empirical lack of philosophical insights to understand and design for responsibilities in novel algorithmic ICT systems.","AI; Algorithmic Decision-Making; Digital Government; Task Responsibility","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Information and Communication Technology","","",""
"uuid:bd3dabd8-07a3-48c8-99ba-145373ae47ec","http://resolver.tudelft.nl/uuid:bd3dabd8-07a3-48c8-99ba-145373ae47ec","MeritRank: Sybil Tolerant Reputation for Merit-based Tokenomics","Nasrulin, B. (TU Delft Dataintensive Systems); Ishmaev, G. (TU Delft Dataintensive Systems); Pouwelse, J.A. (TU Delft Dataintensive Systems)","","2022","Decentralized reputation schemes present a promising area of experimentation in blockchain applications. These solutions aim to overcome the shortcomings of simple monetary incentive mechanisms of naive tokenomics. However, there is a significant research gap regarding the limitations and benefits of such solutions. We formulate these trade-offs as a conjecture on the irreconcilability of three desirable properties of the reputation system in this context. Such a system can not be simultaneously generalizable, trustless, and Sybil resistant. To handle the limitations of this trilemma, we propose MeritRank: Sybil tolerant feedback aggregation mechanism for reputation. Instead of preventing Sybil attacks, our approach successfully bounds the benefits of these attacks. Using a dataset of participants’ interactions in MakerDAO, we run experiments to demonstrate Sybil tolerance of MeritRank. Decay parameters of reputation in MeritRank: transitivity decay and connectivity decay, allow for a fine-tuning of desirable levels of reputation utility and Sybil tolerance in different use contexts.","Reputation; Sybil attack; Tokenomics; Feedback Aggregation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Dataintensive Systems","","",""
"uuid:beed1cdb-5fe7-4656-880b-ede9a6f8ce6a","http://resolver.tudelft.nl/uuid:beed1cdb-5fe7-4656-880b-ede9a6f8ce6a","Optimising First-Class Pattern Matching","Smits, J. (TU Delft Programming Languages); Hartman, Toine (External organisation); Cockx, J.G.H. (TU Delft Programming Languages)","","2022","Pattern matching is a high-level notation for programs to analyse the shape of data, and can be optimised to efficient low-level instructions. The Stratego language uses first-class pattern matching, a powerful form of pattern matching that traditional optimisation techniques do not apply to directly.
In this paper, we investigate how to optimise programs that use first-class pattern matching. Concretely, we show how to map first-class pattern matching to a form close to traditional pattern matching, on which standard optimisations can be applied.
Through benchmarks, we demonstrate the positive effect of these optimisations on the run-time performance of Stratego programs. We conclude that the expressive power of first-class pattern matching does not hamper the optimisation potential of a language that features it.","pattern matching; optimisation; strategic programming","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Programming Languages","","",""
"uuid:9389b16d-2915-49b3-a1bb-9f6993673aa4","http://resolver.tudelft.nl/uuid:9389b16d-2915-49b3-a1bb-9f6993673aa4","The More You Know: Improving Laser Fault Injection with Prior Knowledge","Krcek, M. (TU Delft Cyber Security); Ordas, Thomas (STMicroelectronics); Fronte, Daniele (STMicroelectronics); Picek, S. (Radboud Universiteit Nijmegen)","Trinh, L. (editor)","2022","We consider finding as many faults as possible on the target device in the laser fault injection security evaluation. Since the search space is large, we require efficient search methods. Recently, an evolutionary approach using a memetic algorithm was proposed and shown to find more interesting parameter combinations than random search, which is commonly used. Unfortunately, once a variation on the bench or target is introduced, the process must be repeated to find suitable parameter combinations anew.To negate the effect of variation, we propose a novel method combining a memetic algorithm with a machine learning approach called a decision tree. Our approach improves the memetic algorithm by using prior knowledge of the target introduced in the initial phase of the memetic algorithm. In our experiments, the decision tree rules enhance the performance of the memetic algorithm by finding more interesting faults in different samples of the same target. Our approach shows more than two orders of magnitude better performance than random search and up to 60% better performance than previous state-of-the-art results with a memetic algorithm. Another advantage of our approach is human-readable rules, allowing the first insights into the explainability of target characterization for laser fault injection.","Laser Fault Injection; Decision Tree; Transferability","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:1790c9a9-7a73-4aea-9829-c2364a316899","http://resolver.tudelft.nl/uuid:1790c9a9-7a73-4aea-9829-c2364a316899","Spatial-temporal analysis of road raveling and its correlation with traffic flow characteristics","Wang, Z. (TU Delft Transport and Planning); Krishnakumari, P.K. (TU Delft Transport and Planning); Anupam, K. (TU Delft Pavement Engineering); van Lint, J.W.C. (TU Delft Transport and Planning); Erkens, S. (TU Delft Pavement Engineering)","","2022","Understanding the relationship between pavement raveling and traffic characteristics is important to pavement management and maintenance planning. In this work, we propose a framework to empirically quantify this relationship. It consists of an alignment method to tackle the inconsistent spatial-temporal scales of the raveling and traffic measurements and we propose spatial-temporal maps to qualitatively analyze and compare the data. A non-parametric correlation is done on the aligned raveling and traffic flow data. This framework is applied to five study areas in the Dutch highway network. The correlation analysis of the study areas provides empirical evidence to a commonly held theory that traffic flow has effects on raveling. Categorizing the correlation by lanes indicates that the raveling is homogeneous in the through or auxiliary lanes, and the severe raveled sections are parallel to the road discontinuity, suggesting the potential effect of mandatory lane changing on raveling development. The proposed framework can be employed in empirical raveling models that predict raveling based on traffic and other factors.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-01","","","Transport and Planning","","",""
"uuid:18978103-1c44-4b19-a78f-abd2f6b8072d","http://resolver.tudelft.nl/uuid:18978103-1c44-4b19-a78f-abd2f6b8072d","Train motion model calibration: Research agenda and practical recommendations","Cunillera, A. (TU Delft Transport and Planning); Bešinović, Nikola (TU Delft Transport and Planning); Lentink, Ramon (Nederlandse Spoorwegen); van Oort, N. (TU Delft Transport and Planning); Goverde, R.M.P. (TU Delft Transport and Planning)","","2022","An accurate train motion model is a key component of a wide spectrum of railway applications, from timetabling algorithms to Automatic Train Operation systems. Therefore, model calibration has become crucial in the railway industry, although this topic has not received the attention and recognition in academia that its practical relevance deserves. Several data-driven techniques have been devised to calibrate train dynamics models, although an overview that describes the current state of the art in the field and highlights the following steps to be researched is still missing in the literature. Thus, this article has four main goals. First, giving a brief insight into the broad variety of techniques used for train motion model calibration, focusing on those techniques that use on-board measurements and are applicable in railway operation. Second, highlighting the main research steps to be tackled, considering the current main challenges in railway research. Third, outlining practical recommendations to practitioners who need to calibrate their algorithms and applications. And fourth, contributing to giving train motion model calibration its due recognition.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:86b6baee-c5af-4e70-a3c8-8f2bf5fab46f","http://resolver.tudelft.nl/uuid:86b6baee-c5af-4e70-a3c8-8f2bf5fab46f","Train scheduling with flexible coupling and decoupling at stations for an urban rail transit line","Zhao, Kangqi (Beijing Jiaotong University); Wang, Yihui (Beijing Jiaotong University); Ding, Miaomiao (Beijing Jiaotong University); Li, Shukai (Beijing Jiaotong University); Quaglietta, E. (TU Delft Transport and Planning); Meng, Lingyun (Beijing Jiaotong University)","","2022","More and more people in big cities choose urban rail transit as the main means of public transportation. With the increasing unbalanced passenger flow in time and space, the traditional operation mode with fixed train formation (or composition) is difficult to satisfy the varying passenger demands. This paper distinguishes different train formations in urban rail transit, and specifies the definition and the operation process for flexible composition of trains. An integrated train scheduling problem with flexible train composition is proposed, where the key constraints for practical train operation and the utilization of rolling stocks are considered. These constraints involve turnaround constraints, flexible train formation constraints, headway constraints and passenger flow constraints. The resulting problem is a mixed integer nonlinear programming problem, which can be transformed into a mixed integer linear programming problem and then be solved using existing optimization solvers, e.g., CPLEX. Based on the practical infrastructure and passenger demand data of the Beijing Daxing International Airport Express, a set of case studies is carried out to demonstrate the effectiveness of the presented model and solution approach. The computational results show that the train schedule with flexible train compositions can largely reduce the number of waiting passenger when compared with the train schedules with fixed train compositions and with multiple train compositions.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:03567474-815f-4139-8e92-7de9f3018704","http://resolver.tudelft.nl/uuid:03567474-815f-4139-8e92-7de9f3018704","Graph Convolution-Based Deep Reinforcement Learning for Multi-Agent Decision-Making in Interactive Traffic Scenarios","Liu, Qi (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning); Li, Xueyuan (Beijing Institute of Technology); Wu, Jingda (Nanyang Technological University); Yuan, Shihua (Beijing Institute of Technology)","","2022","A reliable multi-agent decision-making system is highly demanded for safe and efficient operations of connected and autonomous vehicles (CAVs). In order to represent the mutual effects between vehicles and model the dynamic traffic environments, this research proposes an integrated and open-source framework to realize different Graph Reinforcement Learning (GRL) methods for better decision-making in interactive driving scenarios. Firstly, an interactive driving scenario on the highway with two ramps is constructed. The vehicles in this scenario are modeled by graph representation, and features are extracted via Graph Neural Network (GNN). Secondly, several GRL approaches are implemented and compared in detail. Finally, The simulation in the SUMO platform is carried out to evaluate the performance of different G RL approaches. Results are analyzed from multiple perspectives to compare the performance of different G RL methods in intelligent transportation scenarios. Experiments show that the implementation of GNN can well model the interactions between vehicles, and the proposed framework can improve the overall performance of multi-agent decision-making. The source code of our work can be found at https://github.com/Jacklinkk/TorchGRL.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:e9e50518-0c6e-4488-bc93-dfbc6583846b","http://resolver.tudelft.nl/uuid:e9e50518-0c6e-4488-bc93-dfbc6583846b","Comparative Study on Supervised versus Semi-supervised Machine Learning for Anomaly Detection of In-vehicle CAN Network","Dong, Y. (TU Delft Transport and Planning); Chen, Kejia (East China Normal University); Peng, Yinxuan (Cardiff University); Ma, Zhiyuan (Shanghai Normal University)","","2022","As the central nerve of the intelligent vehicle control system, the in-vehicle network bus is crucial to the security of vehicle driving. One of the best standards for the in-vehicle network is the Controller Area Network (CAN bus) protocol. However, the CAN bus is designed to be vulnerable to various attacks due to its lack of security mechanisms. To enhance the security of in-vehicle networks and promote the research in this area, based upon a large scale of CAN network traffic data with the extracted valuable features, this study comprehensively compared fully-supervised machine learning with semi-supervised machine learning methods for CAN message anomaly detection. Both traditional machine learning models (including single classifier and ensemble models) and neural network based deep learning models are evaluated. Furthermore, this study proposed a deep autoencoder based semi-supervised learning method applied for CAN message anomaly detection and verified its superiority over other semi-supervised methods. Extensive experiments show that the fully-supervised methods generally outperform semi-supervised ones as they are using more information as inputs. Typically the developed XGBoost based model obtained state-of-the-art performance with the best accuracy (98.65%), precision (0.9853), and ROC AUC (0.9585) beating other methods reported in the literature.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:faa36bcd-1cfe-4064-8df0-0b4350c56fd1","http://resolver.tudelft.nl/uuid:faa36bcd-1cfe-4064-8df0-0b4350c56fd1","Drift Reduction for Monocular Visual Odometry of Intelligent Vehicles Using Feedforward Neural Networks","Wagih, Hassan (Ain Shams University); Osman, M.E.A. (TU Delft Team Manon Kok); Awad, Mohammed I. (Ain Shams University); Hammad, Sherif (Ain Shams University)","","2022","In this paper, an approach for reducing the drift in monocular visual odometry algorithms is proposed based on a feedforward neural network. A visual odometry algorithm computes the incremental motion of the vehicle between the successive camera frames, then integrates these increments to determine the pose of the vehicle. The proposed neural network reduces the errors in the pose estimation of the vehicle which results from the inaccuracies in features detection and matching, camera intrinsic parameters, and so on. These inaccuracies are propagated to the motion estimation of the vehicle causing larger amounts of estimation errors. The drift reducing neural network identifies such errors based on the motion of features in the successive camera frames leading to more accurate incremental motion estimates. The proposed drift reducing neural network is trained and validated using the KITTI dataset and the results show the efficacy of the proposed approach in reducing the errors in the incremental orientation estimation, thus reducing the overall error in the pose estimation.","Estimation error; Intelligent vehicles; Motion estimation; Feature detection; Pose estimation; Cameras; Feedforward neural networks","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Manon Kok","","",""
"uuid:e16d0868-8a06-45da-903b-24c54924d3af","http://resolver.tudelft.nl/uuid:e16d0868-8a06-45da-903b-24c54924d3af","CoPEM: Cooperative Perception Error Models for Autonomous Driving","Piazzoni, Andrea (ERI@N; Nanyang Technological University); Cherian, Jim (Nanyang Technological University); Vijay, Roshan (Nanyang Technological University); Chau, Lap-Pui (Nanyang Technological University); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","","2022","In this paper, we introduce the notion of Cooperative Perception Error Models (coPEMs) towards achieving an effective and efficient integration of V2X solutions within a virtual test environment. We focus our analysis on the occlusion problem in the (onboard) perception of Autonomous Vehicles (AV), which can manifest as misdetection errors on the occluded objects. Cooperative perception (CP) solutions based on Vehicle-to-Everything (V2X) communications aim to avoid such issues by cooperatively leveraging additional points of view for the world around the AV. This approach usually requires many sensors, mainly cameras and LiDARs, to be deployed simultaneously in the environment either as part of the road infrastructure or on other traffic vehicles. However, implementing a large number of sensor models in a virtual simulation pipeline is often prohibitively computationally expensive. Therefore, in this paper, we rely on extending Perception Error Models (PEMs) to efficiently implement such cooperative perception solutions along with the errors and uncertainties associated with them. We demonstrate the approach by comparing the safety achievable by an AV challenged with a traffic scenario where occlusion is the primary cause of a potential collision.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-01","","","Signal Processing Systems","","",""
"uuid:3b56e736-efbd-4dd1-8788-533d33217389","http://resolver.tudelft.nl/uuid:3b56e736-efbd-4dd1-8788-533d33217389","Adaptive Decision Making at the Intersection for Autonomous Vehicles Based on Skill Discovery","He, Xianqi (Beijing Institute of Technology); Yang, Lin (Beijing Institute of Technology); Lu, Chao (Beijing Institute of Technology); Gong, Jianwei (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology)","","2022","In urban environments, the complex and uncertain intersection scenarios are challenging for autonomous driving. To ensure safety, it is crucial to develop an adaptive decision making system that can handle the interaction with other vehicles. Manually designed model-based methods are reliable in common scenarios. But in uncertain environments, they are not reliable, so learning-based methods are proposed, especially reinforcement learning (RL) methods. However, current RL methods need retraining when the scenarios change. In other words, current RL methods cannot reuse accumulated knowledge. They forget learned knowledge when new scenarios are given. To solve this problem, we propose a hierarchical framework that can autonomously accumulate and reuse knowledge. The proposed method combines the idea of motion primitives (MPs) with hierarchical reinforcement learning (HRL). It decomposes complex problems into multiple basic subtasks to reduce the difficulty. The proposed method and other baseline methods are tested in a challenging intersection scenario based on the CARLA simulator. The intersection scenario contains three different subtasks that can reflect the complexity and uncertainty of real traffic flow. After offline learning and testing, the proposed method is proved to have the best performance among all methods.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:f679a158-5ec9-4af3-a168-123a57cc1e6a","http://resolver.tudelft.nl/uuid:f679a158-5ec9-4af3-a168-123a57cc1e6a","The propagator and transfer matrix for a 3D inhomogeneous dissipative acoustic medium, expressed in Marchenko focusing functions","Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics); de Ridder, Sjoerd (University of Leeds); Dukalski, Marcin (Aramco Global Research Center Delft); Reinicke, Christian (Aramco Global Research Center Delft)","Abubakar, Aria (editor); Hakami, Ahmed (editor)","2022","Standard Marchenko redatuming and imaging schemes neglect evanescent waves and are based on the assumption that decomposition into downgoing and upgoing waves is possible in the subsurface. Recently we have shown that propagator matrices, which circumvent these assumptions, can be expressed in terms of Marchenko focusing functions. In this paper we generalize the relation between the propagator matrix and the Marchenko focusing functions for a 3D inhomogeneous dissipative medium. Moreover, for the same type of medium we discuss a relation between the transfer matrix and the Marchenko focusing functions.","Marchenko; propagator; multiple","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:0c58385a-8a87-4927-b4e4-0cdf150d69b4","http://resolver.tudelft.nl/uuid:0c58385a-8a87-4927-b4e4-0cdf150d69b4","Towards understanding the impact of the evanescent elastodynamic mode coupling in Marchenko equation-based demultiple methods","Dukalski, Marcin (Aramco Global Research Center Delft); Reinicke, Christian (Aramco Global Research Center Delft); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","Abubakar, Aria (editor); Hakami, Ahmed (editor)","2022","Marchenko equation-based methods promise data-driven, true-amplitude internal multiple elimination. The method is exact in 1-D acoustic media, however it needs to be expanded to account for the presence of 2- and 3-D elastodynamic wave-field phenomena, such as compressional (P) to shear (S) mode conversions, total reflections or evanescent waves. Mastering high waveform-fidelity methods such as this, could further advance amplitude vs offset analysis and lead to improved reservoir characterization. This method-expansion may comprise of re-evaluating the underlying assumptions and/or appending the scheme with additional constraints (e.g. minimum phase). To do that, one may need to better understand the construction of the Marchenko equation solutions, the so-called focusing functions, in a mathematically simple and numerically stable fashion. The latter could be a challenge at large angles of incidence where the elastodynamic effects and evanescent waves start playing a dominant role. We demonstrate that the elastodynamic focusing functions are the bridge between the Marchenko equation theory and the transfer matrix formalism. Using the latter, we show how we can try to gain further insights into how time-reversal (correlations) behaves when either of the elastic modes becomes evanescent. We also show how this construction allows us to shed light on into the mathematical properties of elastodynamic inverse transmissions, which takes us a step closer towards understanding the elastodynamic minimum phase reconstruction.","Internal multiples; modeling; Marchenko method","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:4be810a3-fd62-48a3-8a84-5918a482b8b4","http://resolver.tudelft.nl/uuid:4be810a3-fd62-48a3-8a84-5918a482b8b4","Acoustic plane-wave Marchenko multiple elimination applied on complex marine data","Meles, G.A. (University of Lausanne); Reinicke, Christian (Aramco Global Research Center Delft); Dukalski, M (Aramco Global Research Center Delft); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","","2022","Marchenko redatuming retrieves Green’s functions inside an unknown medium, by solving a set of coupled Marchenko equations, which are derived from an under-determined system of equation and two temporal truncations. To constrain the problem, two assumptions are made, which hold reasonably well for acoustic, but not for elastodynamic waves. First, an early part of the inverse transmission field is needed which can be estimated for sufficiently-simple acoustic cases, but remains hard to predict for elastic media without detailed overburden knowledge. Secondly, the scheme assumes temporal separability of up-going focusing and Green’s functions, which holds for many acoustic media but easily fails in presence of elastic effects. The impact of the failure to meet these assumptions is a somewhat controllable problem in 1.5D media. Independently, in acoustic media one can use a time-only focusing to retrieve focusing functions which collapse to a single plane wave below the overburden. We apply this approach to elastic data from a very complex almost 1.5D medium. The numerical example shows that the plane-wave approach can also be combined with mitigation of failure to satisfy the aforementioned assumptions and the result could lead to a high-fidelity internal multiple-free image.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:00c904d8-0a85-4380-bbce-8ac945ed91c8","http://resolver.tudelft.nl/uuid:00c904d8-0a85-4380-bbce-8ac945ed91c8","Elastodynamic Marchenko Green's function retrieval from two-sided reflection and transmission data","van der Neut, J.R. (TU Delft ImPhys/Medical Imaging); Brackenhoff, J. (ETH Zürich); Meles, Giovanni Angelo (University of Lausanne); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","","2022","Green’s functions in an unknown elastic layered medium can be retrieved from single-sided reflection data by solving a Marchenko equation. This methodology requires a priori knowledge of all forward-scattered (non-converted and converted) waveforms. Moreover, the medium should satisfy stringent monotonicity conditions, which are often not met in realistic scenarios. In this contribution, we show that the situation is significantly less cumbersome if two-sided reflection and transmission data are recorded (for instance in laboratory settings). A novel methodology is presented to retrieve elastodynamic Green’s functions from such data. Apart from the two-sided reflection and transmission responses, our methodology requires knowledge of the direct non-converted PP- and SS-transmissions (a priori knowledge of forward-scattered converted waveforms is not needed). We demonstrate the success of our methodology by conducting a numerical experiment in an elastic layered medium that violates the monotonicity conditions of the Marchenko equation for single-sided reflection data. The limitations of the methodology and the sensitivity to errors in our initial estimates require further investigation.","","en","conference paper","","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:2539cac7-6f69-45e6-badf-1ef8baf8da48","http://resolver.tudelft.nl/uuid:2539cac7-6f69-45e6-badf-1ef8baf8da48","Implications of evanescent waves for the Marchenko method through the lens of the transferscattering matrix relation","Dukalski, M (Aramco Global Research Center Delft); Reinicke, Christian (Aramco Global Research Center Delft); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","","2022","The presence of evanescent modes and their impact on the Marchenko method has been until very recently a topic that received little attention. In this contribution we link the concept of the transfer matrix to the fields usually associated with the Marchenko method. Using that formalism, we introduce the concept of a path reversal - a generalization of time reversal for travelling waves, which also encompasses the evanescent modes. We take a first look at the implications the latter may have for the “standard” Marchenko method. It appears that the scheme should perform well, as long as one does not attempt to reconstruct evanescent modes inside the medium (at the target-overburden boundary).","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:685c0126-a90c-411d-946d-4b3e2e2a78c2","http://resolver.tudelft.nl/uuid:685c0126-a90c-411d-946d-4b3e2e2a78c2","The Openness of Data Platforms: A Research Agenda","de Reuver, Mark (TU Delft Information and Communication Technology); Ofe, H.A. (TU Delft Information and Communication Technology); Agahari, W. (TU Delft Information and Communication Technology); Abbas, A.E. (TU Delft Information and Communication Technology); Zuiderwijk-van Eijk, A.M.G. (TU Delft Information and Communication Technology)","","2022","Data platforms are the keystone of the data economy. When opened up, data platforms allow data owners, data consumers and third parties to interact. Yet, openness may also harm business and societal interests. Literature on platform openness does not cover data platforms, and data economy scholars rarely study platform openness. Therefore, this paper develops a research agenda on the openness of data platforms. We explore how data platforms differ from conventional digital platforms (e.g., software platforms). From those differentiating characteristics, we identify areas for future work: (1) The specific characteristics of data require reconceptualizing the object of platform openness; (2) New ways in which data platforms can be opened should be conceptualized; (3) As data platforms are tailored to specific industries, platform-to-platform openness should be a novel unit of analysis; (4) Because opening up data platforms create novel risks, new reasons to (not) open up data platforms should be studied.","Data platform; Data marketplace; Platform openness; Data ecosystem","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Information and Communication Technology","","",""
"uuid:8320ed45-dc9d-4c4e-a858-def5480a54fe","http://resolver.tudelft.nl/uuid:8320ed45-dc9d-4c4e-a858-def5480a54fe","Preparing Future Business Data Sharing via a Meta-Platform for Data Marketplaces: Exploring Antecedents and Consequences of Data Sovereignty","Abbas, A.E. (TU Delft Information and Communication Technology); Ofe, H.A. (TU Delft Information and Communication Technology); Zuiderwijk-van Eijk, A.M.G. (TU Delft Information and Communication Technology); de Reuver, Mark (TU Delft Information and Communication Technology)","","2022","Meta-platforms have received considerable Information Systems scholarly attention in recent years. Meta-platforms enable platform-to-platform openness and are especially beneficial to amplifying network effects in highly-specialized markets. A promising emerging context for applying meta-platforms is data marketplaces—a special type of digital platform designed for business data sharing that is vastly fragmented. However, data providers have sovereignty concerns: the risk of losing control over the data that they share through meta-platforms. This research aims to explore antecedents and consequences of data sovereignty concerns in meta-platforms for data marketplaces. Based on interviews with fifteen potential data providers and five data marketplace experts, we identify data sovereignty antecedents, such as (potentially) less trustworthy data marketplace participants, unclear use cases, and data provenance difficulties. Data sovereignty concerns have many consequences, including knowledge spillovers to competitors and reputational damage. This study is among the first that empirically develops a pre-conceptualization for data sovereignty in this novel context, thus laying the groundwork for designing future data marketplace meta-platform solutions.","Data economy; Data Sharing; Data sovereignty; Data markets; Platform ecosystems; Platform openness; Meta-platforms","en","conference paper","University of Maribor","","","","","","","","","","Information and Communication Technology","","",""
"uuid:89996655-162d-4430-983a-bd8ab7435013","http://resolver.tudelft.nl/uuid:89996655-162d-4430-983a-bd8ab7435013","Remaining-Useful-Life prognostics for opportunistic grouping of maintenance of landing gear brakes for a fleet of aircraft","Lee, J. (TU Delft Air Transport & Operations); de Pater, I.I. (TU Delft Air Transport & Operations); Boekweit, S.A. (Student TU Delft); Mitici, M.A. (TU Delft Air Transport & Operations)","Do, Phuc (editor); Michau, Gabriel (editor); Ezhilarasu, Cordelia (editor)","2022","Several studies have proposed Remaining-Useful-Life (RUL) prognostics for aircraft components in the last years. However, few studies focus on integrating these RUL prognostics into maintenance planning frameworks. This paper proposes an optimization model for opportunistic maintenance scheduling of aircraft components that integrates RUL prognostics and that groups the maintenance of these components to reduce costs. We illustrate our approach for the maintenance of a fleet of aircraft, each equipped with multiple landing
gear brakes. RUL prognostics for the landing gear brakes are obtained using a Bayesian regression model. Based on these RUL prognostics, we group the replacement of brakes using an integer linear program. As a result, we obtain a cost-optimal RUL-driven opportunistic-maintenance schedule for the brakes of a fleet of aircraft. Compared with traditional maintenance strategies, our approach leads to a reduction of up to 20% of the total maintenance costs.","","en","conference paper","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:67fb9b7c-ccdf-4dc5-a31d-959d6590cb90","http://resolver.tudelft.nl/uuid:67fb9b7c-ccdf-4dc5-a31d-959d6590cb90","Inclusive HRI: Equity and Diversity in Design, Application, Methods, and Community","De Graaf, Maartje M.A. (Universiteit Utrecht); Perugia, Giulia (Eindhoven University of Technology); Fosch-Villaronga, Eduard (Universiteit Leiden); Lim, Angelica (Simon Fraser University); Broz, F. (TU Delft Interactive Intelligence); Short, Elaine Schaertl (Tufts University); Neerincx, M.A. (TU Delft Interactive Intelligence)","","2022","Discrimination and bias are pressing issues of many AI and robotics applications. These outcomes may derive from limited datasets that do not fully represent society as a whole or from the AI scientific community's western-male configuration bias. Although being a pressing issue, understanding how robotic systems can replicate and amplify inequalities and injustice among underrepresented communities is still in its infancy among social science and technical communities. This workshop contributes to filling this gap by exploring the research question: What do diversity and inclusion mean in the context of Human-Robot Interaction (HRI)? Here, attention is directed to three different levels of HRI: the technical, the community, and the target user level. Overall, this workshop will focus on the idea that AI systems can be created to be more attuned to inclusive societal needs, respect fundamental rights, and represent contemporary values in modern societies by integrating diversity and inclusion considerations.","Accessibility; Diversity; Equity; Gender; Global South; Human-Robot In-teraction; Inclusion; LGBTQAI+","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Interactive Intelligence","","",""
"uuid:06a286c4-f46f-400d-9185-e6b8412a95b6","http://resolver.tudelft.nl/uuid:06a286c4-f46f-400d-9185-e6b8412a95b6","Day Ahead Market price scenario generation using a Combined Quantile Regression Deep Neural Network and a Non-parametric Bayesian Network: A framework for risk-based Demand Response","van der Heijden, T.J.T. (TU Delft Water Resources); Palensky, P. (TU Delft Intelligent Electrical Power Grids); van de Giesen, N.C. (TU Delft Water Resources); Abraham, E. (TU Delft Water Resources)","","2022","In this manuscript we propose a methodology to generate electricity price scenarios from probabilistic forecasts. Using a Combined Quantile Regression Deep Neural Network, we forecast hourly marginal price distribution quantiles for the DAM on which we fit parametric distributions. A Non-parametric Bayesian Network (BN) is applied to sample from these distributions while using the observed rank-correlation in the data to condition the samples. This results in a methodology that can create an unbounded amount of price-scenarios that obey both the forecast hourly marginal price distributions and the observed dependencies between the hourly prices in the data. The BN makes no assumptions on the marginal distribution, allowing us to flexibly change the marginal distributions of hourly forecasts while maintaining the dependency structure.","Probabilistic electricity price forecasting; scenario generation; deep neural network; non-parametric bayesian networks; quantile regression; probabilistic forecasting; day ahead market; demand response","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-04","","","Water Resources","","",""
"uuid:867e7f25-6b2c-4769-8018-8f5ad2d2ac38","http://resolver.tudelft.nl/uuid:867e7f25-6b2c-4769-8018-8f5ad2d2ac38","Communication-Efficient Cluster Scalable Genomics Data Processing Using Apache Arrow Flight","Ahmad, T. (TU Delft Computer Engineering); Ma, Chengxin (Student TU Delft); Al-Ars, Z. (TU Delft Computer Engineering); Hofstee, H.P. (TU Delft Computer Engineering)","Gurrola, Javier (editor)","2022","Current cluster scaled genomics data processing solutions rely on big data frameworks like Apache Spark, Hadoop and HDFS for data scheduling, processing and storage. These frameworks come with additional computation and memory overheads by default. It has been observed that scaling genomics dataset processing beyond 32 nodes is not efficient on such frameworks.To overcome the inefficiencies of big data frameworks for processing genomics data on clusters, we introduce a low-overhead and highly scalable solution on a SLURM based HPC batch system. This solution uses Apache Arrow as in-memory columnar data format to store genomics data efficiently and Arrow Flight as a network protocol to move and schedule this data across the HPC nodes with low communication overhead.As a use case, we use NGS short reads DNA sequencing data for pre-processing and variant calling applications. This solution outperforms existing Apache Spark based big data solutions in term of both computation time (2x) and lower communication overhead (more than 20-60% depending on cluster size). Our solution has similar performance to MPI-based HPC solutions, with the added advantage of easy programmability and transparent big data scalability. The whole solution is Python and shell script based, which makes it flexible to update and integrate alternative variant callers. Our solution is publicly available on GitHub at https://github.com/abs-tudelft/time-to-fly-high/tree/main/genomics","Genomics; Whole Genome/Exome Sequencing; Big Data; Apache Arrow; In-Memory; Plasma Object Store; Parallel Processing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Computer Engineering","","",""
"uuid:1c0f79ef-4e35-464b-a711-288e87a475d6","http://resolver.tudelft.nl/uuid:1c0f79ef-4e35-464b-a711-288e87a475d6","Two-echelon Multi-trip Vehicle Routing Problem with Synchronization for An Integrated Water- and Land-based Transportation System","Karademir, C. (TU Delft Transport Engineering and Logistics); Alves Beirigo, B. (TU Delft Transport Engineering and Logistics); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Atasoy, B. (TU Delft Transport Engineering and Logistics)","","2022","This study considers an integrated water- and land-based transportation (IWLT) system for waste collection. Research on the issue is motivated by increased heavy street movements that damage quay walls as well as congestion. We present a novel two-echelon vehicle routing problem with satellite synchronization based on a two-index formulation and evaluate it on small-sized instances for 10 waste points and 4 hubs. We compare the proposed synchronized IWLT approach with three benchmarks that can reduce issues associated with heavy loads. It is shown that the proposed system can provide better solutions with less collection cost, reduced street movements and lightweight garbage vehicles.","City logistics; Integrated water- and land-based transportation; Multi-trip; Satellite synchronization; Two-echelon vehicle routing problem","en","conference paper","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:7dba51ff-c16b-4ae4-a252-6a975ceec23c","http://resolver.tudelft.nl/uuid:7dba51ff-c16b-4ae4-a252-6a975ceec23c","Fixing Continuous Integration Tests From Within the IDE With Contextual Information","Boone, Casper (Student TU Delft); Brandt, C.E. (TU Delft Software Engineering); Zaidman, A.E. (TU Delft Software Engineering)","","2022","The most common reason for Continuous Integration (CI) builds to break is failing tests. When a build breaks, a developer often has to scroll through hundreds to thousands of log lines to find which test is failing and why. Finding the issue is a tedious process that relies on a developer's experience and increases the cost of software testing. We investigate how presenting different kinds of contextual information about CI builds in the Integrated Development Environment (IDE) impacts the time developers take to fix a broken build. Our IntelliJ plugin TESTAXIS surfaces additional information such as a unique view of the code under test that was changed leading up to the build failure. We conduct a user experiment and show that TESTAXIS helps developers fix failing tests 13.4% to 48.6% faster. The participants found the features of TESTAXIS useful and would incorporate it in their development workflow to save time. With TESTAXIS we set an important step towards removing the need to manually inspect build logs and bringing CI build results to the IDE, ultimately saving developers time.","Software Testing; Continuous Integration; Developer Assistance; IDE Plugin; User Experiment","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-02","","","Software Engineering","","",""
"uuid:5298f7da-8d87-46de-8b81-8ca9acd2e43f","http://resolver.tudelft.nl/uuid:5298f7da-8d87-46de-8b81-8ca9acd2e43f","Mixed-Block Neural Architecture Search for Medical Image Segmentation","Bosma, Martijn M.A. (Centrum Wiskunde & Informatica (CWI)); Dushatskiy, A. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI)); Grewal, M. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI)); Alderliesten, T. (TU Delft Algorithmics; Leiden University Medical Center); Bosman, P.A.N. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","Colliot, Olivier (editor); Isgum, Ivana (editor); Landman, Bennett A. (editor); Loew, Murray H. (editor)","2022","Deep Neural Networks (DNNs) have the potential for making various clinical procedures more time-efficient by automating medical image segmentation. Due to their strong, in some cases human-level, performance, they have become the standard approach in this field. The design of the best possible medical image segmentation DNNs, however, is task-specific. Neural Architecture Search (NAS), i.e., the automation of neural network design, has been shown to have the capability to outperform manually designed networks for various tasks. However, the existing NAS methods for medical image segmentation have explored a quite limited range of types of DNN architectures that can be discovered. In this work, we propose a novel NAS search space for medical image segmentation networks. This search space combines the strength of a generalised encoder-decoder structure, well known from U-Net, with network blocks that have proven to have a strong performance in image classification tasks. The search is performed by looking for the best topology of multiple cells simultaneously with the configuration of each cell within, allowing for interactions between topology and cell-level attributes. From experiments on two publicly available datasets, we find that the networks discovered by our proposed NAS method have better performance than well-known handcrafted segmentation networks, and outperform networks found with other NAS approaches that perform only topology search, and topology-level search followed by cell-level search.","","en","conference paper","SPIE","","","","","","","","","","Algorithmics","","",""
"uuid:1f18e7df-fb3d-40b3-b1fe-5559113c86d5","http://resolver.tudelft.nl/uuid:1f18e7df-fb3d-40b3-b1fe-5559113c86d5","Contrast source inversion on experimental data: Initial results","Taskin, U. (TU Delft ImPhys/Medical Imaging; Openwater); Suzuki, Atsuro (FUJIFILM Healthcare Corporation); Terada, Takahide (FUJIFILM Healthcare Corporation); Tsubota, Yushi (FUJIFILM Healthcare Corporation); van Dongen, K.W.A. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Computational Imaging)","Bottenus, Nick (editor); Ruiter, Nicole V. (editor)","2022","Quantitative images showing the speed of sound proffle of the breast may be obtained by employing full-waveform inversion (FWI) methods on the measured data. These reconstruction methods work well for both dense and normal breasts. Contrast source inversion (CSI) is a frequency domain FWI method. In literature, many examples of successful application of CSI for breast imaging can be found. However, all these works are based on simulated data. In this work, we will present our first results obtained with employing CSI on experimental data. CSI was developed by Delft University of Technology and the experimental data was provided by FUJIFILM Healthcare Corporation. The experimental data is obtained using a ring-shaped transducer which scans a breast-mimicking gelatine phantom. Our initial results obtained with CSI look promising; all inclusions within the phantom are accurately reconstructed.","breast ultrasound; contrast source inversion; full-wave inversion","en","conference paper","SPIE","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:1ebddd1f-1e6f-430d-9557-4981f70101aa","http://resolver.tudelft.nl/uuid:1ebddd1f-1e6f-430d-9557-4981f70101aa","Under Pandemic: Assessment of Ventilation in Secondary Schools in The Netherlands","Ding, Er (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2022","To investigate the sufficiency of ventilation during the COVID-19 pandemic for school children, a field study was conducted in 37 classrooms of 11 Dutch secondary schools between October 2020 and June 2021. All the classrooms were visited twice, before and after a three-month national lockdown, when different measures against COVID-19 were taken by the schools. For each visit, both CO2 concentrations and air temperature were measured during school hours, and detailed information on building/classroom characteristics, occupancy, and COVID-19 measures was collected. Results show that before the lockdown, CO2 concentrations in most classrooms exceeded the threshold levels of the Dutch Fresh Schools guidelines. The significantly lower CO2 concentrations measured after the lockdown, however, were mainly due to the decreased occupancy. Moreover, with windows and doors always being opened on purpose, the performance of different ventilation regimes could not be compared, while such behaviour may also lead to thermal discomfort for school children.","Classrooms; CO2 concentration; Children; COVID-19","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Indoor Environment","","",""
"uuid:123137a6-11ca-4a84-ba36-4d60e8aa307d","http://resolver.tudelft.nl/uuid:123137a6-11ca-4a84-ba36-4d60e8aa307d","Challenges in Applying Continuous Experimentation: A Practitioners' Perspective","Anderson, K.S. (TU Delft Software Engineering; Vista); Visser, Denise (Bol.com); Mannen, Jan-Willem (ING); Jiang, Yuxiang (Student TU Delft); van Deursen, A. (TU Delft Software Technology)","","2022","Background: Applying Continuous Experimentation on a large scale is not easily achieved. Although the evolution within large tech organisations is well understood, we still lack a good understanding of how to transition a company towards applying more experiments. Objective: This study investigates how practitioners define, value and apply experimentation, the blockers they experience and what to do to solve these. Method: We interviewed and surveyed over one hundred practitioners with regards to experimentation perspectives, from a large financial services and e-commerce organization, based in the Netherlands. Results: Many practitioners have different perspectives on experimentation. The value is well understood. We have learned that the practitioners are blocked by a lack of priority, experience and well functioning tooling. Challenges also arise around dependencies between teams and evaluating experiments with the correct metrics. Conclusions: Organisation leaders need to start asking for experiment results and investing in infrastructure and processes to actually enable teams to execute experiments and show the value of their work in terms of value for customers and business.","Continuous experimentation; Online controlled experiments; A/B testing; Empirical software engineering; ING; bol.com","en","conference paper","IEEE","","","","","","","","","Software Technology","Software Engineering","","",""
"uuid:31d2806f-2bf3-43c3-9e71-3985fd7368a6","http://resolver.tudelft.nl/uuid:31d2806f-2bf3-43c3-9e71-3985fd7368a6","Automated Sample Ratio Mismatch (SRM) Detection and Analysis","Vermeer, Lukas (Vista); Anderson, K.S. (TU Delft Software Engineering; Vista); Acebal, Mauricio (Vista)","Staron, M. (editor); Berger, C. (editor); Simmonds, J. (editor); Prikladnicki, R. (editor)","2022","Background: Sample Ratio Mismatch (SRM) checks can help detect data quality issues in online experimentation [3]. Not all experimentation platforms provide these checks as part of their solution. Users of these platforms must therefore manually check for SRM, or rely on additional processes—such as checklists [2]—or automation. Objective: To ensure reliable and early detection of SRM, we wanted to automate the detection and analysis of SRM in experiments running on third-party experimentation platforms. Method: A set of Looker dashboards were built to facilitate self-serve SRM detection and root cause analysis. In addition, we added email and chat based alerting to pro-actively inform experimenters of SRM and guide them towards these dashboards when needed. Results: Several cases of SRM have been detected and experimenters have been warned. Bad decisions based on flawed data were avoided. We provide one such example as an illustration. Conclusions: SRM checks are relatively straightforward to automate and can be useful for data quality monitoring even for companies who rely on third-party experimentation platforms. Pro-active alerting—rather than passive reporting—can reduce time to detection and help non-experts avoid making decisions based on biased data.","Infrastructure; Data Quality; Trustworthiness; A/B Testing; Sample Ratio Mismatch; SRM; Online Controlled Experimentation","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Software Engineering","","",""
"uuid:7b774bd0-9777-4a64-9eab-c9699ea99c06","http://resolver.tudelft.nl/uuid:7b774bd0-9777-4a64-9eab-c9699ea99c06","Semantics for two-dimensional type theory","Ahrens, B.P. (TU Delft Programming Languages; University of Birmingham); North, Paige Randall (University of Pennsylvania); van der Weide, Niels (Radboud Universiteit Nijmegen)","","2022","We propose a general notion of model for two-dimensional type theory, in the form of comprehension bicategories. Examples of comprehension bicategories are plentiful; they include interpretations of directed type theory previously studied in the literature. From comprehension bicategories, we extract a core syntax, that is, judgment forms and structural inference rules, for a two-dimensional type theory. We prove soundness of the rules by giving an interpretation in any comprehension bicategory. The semantic aspects of our work are fully checked in the Coq proof assistant, based on the UniMath library. This work is the first step towards a theory of syntax and semantics for higher-dimensional directed type theory.","directed type theory; dependent types; comprehension bicategory,; computer-checked proof","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Programming Languages","","",""
"uuid:a91b9abf-1e64-4bf4-859f-e8d236985374","http://resolver.tudelft.nl/uuid:a91b9abf-1e64-4bf4-859f-e8d236985374","EDGETUNE: Inference-Aware Multi-Parameter Tuning","Rocha, Isabelly (University of Neuchâtel); Felber, Pascal (University of Neuchâtel); Schiavoni, Valerio (University of Neuchâtel); Chen, Lydia Y. (TU Delft Dataintensive Systems)","","2022","Deep Neural Networks (DNNs) have demonstrated impressive performance on many machine-learning tasks such as image recognition and language modeling, and are becoming prevalent even on mobile platforms. Despite so, designing neural architectures still remains a manual, time-consuming process that requires profound domain knowledge. Recently, Parameter Tuning Servers have gathered the attention o industry and academia. Those systems allow users from all domains to automatically achieve the desired model accuracy for their applications. However, although the entire process of tuning and training models is performed solely to be deployed for inference, state-of-the-art approaches typically ignore system-oriented and inference-related objectives such as runtime, memory usage, and power consumption. This is a challenging problem: besides adding one more dimension to an already complex problem, the information about edge devices available to the user is rarely known or complete. To accommodate all these objectives together, it is crucial for tuning system to take a holistic approach to parameter tuning and consider all levels of parameters simultaneously into account. We present EdgeTune, a novel inference-aware parameter tuning server. It considers the tuning of parameters in all levels backed by an optimization function capturing multiple objectives. Our approach relies on inference estimated metrics collected from our emulation server running asynchronously from the main tuning process. The latter can then leverage the inference performance while still tuning the model. We propose a novel one-fold tuning algorithm that employs the principle of multi-fidelity and simultaneously explores multiple tuning budgets, which the prior art can only handle as suboptimal case of single type of budget. EdgeTune outputs inference recommendations to the user while improving tuning time and energy by at least 18\% and 53\% when compared to the baseline.","deep neural networks; inference; training; tuning","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Dataintensive Systems","","",""
"uuid:c109ff91-05e3-4328-90b9-8ad3dfcf0311","http://resolver.tudelft.nl/uuid:c109ff91-05e3-4328-90b9-8ad3dfcf0311","TestKnight: An Interactive Assistant to Stimulate Test Engineering","Botocan, Cristian Alexandru (Student TU Delft); Deshmukh, Piyush (Student TU Delft); Makridis, Pavlos (Student TU Delft); Huidobro, Jorge Romeu (Student TU Delft); Sundarrajan, Mathanrajan (Student TU Delft); Aniche, Maurício (TU Delft Software Engineering); Zaidman, A.E. (TU Delft Software Engineering)","","2022","Software testing is one of the most important aspects of modern software development. To ensure the quality of the software, developers should ideally write and execute automated tests regularly as their code-base evolves. TestKnight, a plugin for the IntelliJ IDEA integrated development environment (IDE), aims to help Java developers improve the testing process through support for creating and maintaining high-quality test suites.Github repo: https://github.com/SERG-Delft/testknightJetbrains Marketplace: https://plugins.jetbrains.com/plugin/17072-testknightYouTube video: https://www.youtube.com/watch?v=BSaL-K7ug6M","Developer Assistance; IDE plug-in; Software Testing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-05","","","Software Engineering","","",""
"uuid:495aa0ca-34c9-43c3-bb5e-29cbe4c9d2da","http://resolver.tudelft.nl/uuid:495aa0ca-34c9-43c3-bb5e-29cbe4c9d2da","Three–dimensional acoustic imaging using asynchronous microphone array measurements","Merino Martinez, R. (TU Delft Aircraft Noise and Climate Effects); von den Hoff, B. (TU Delft Aircraft Noise and Climate Effects); Morata, David; Snellen, M. (TU Delft Control & Operations; TU Delft Aircraft Noise and Climate Effects)","Döbler, D (editor)","2022","Complex test models in aeroacoustic experiments often present an arrangement of noise sources within a three–dimensional space. Planar microphone array normally have difficulties in separating sound sources in the direction normal to the array plane due to their poorer spatial resolution in this direction. This paper evaluates the benefits of combining asynchronous microphone array measurements for three–dimensional acoustic source. An experimental setup consisting of three out–of–plane speakers was considered. A planar microphone array was employed for the acoustic measurements in a baseline position and then displaced around the speakers to provide different points of view. The acoustic source maps obtained from each array position were combined using the geometric mean of their source autopowers. The performance of this approach in combination with the following acoustic imaging methods was investigated: conventional frequency domain beamforming (as baseline), functional beamforming, orthogonal beamforming, robust adaptive beamforming, CLEAN–SC, Richardson–Lucy deconvolution, and global optimization methods. For each case, the performance is evaluated in terms of accuracy in source position localization and spectral quantification in sound pressure level. In general, it was determined that combining additional views considerably improved the accuracy in terms of position localization (especially in the depth direction).","","en","conference paper","","","","","","","","","","Control & Operations","Aircraft Noise and Climate Effects","","",""
"uuid:922a5514-83bf-472e-8948-dcb84a97a31f","http://resolver.tudelft.nl/uuid:922a5514-83bf-472e-8948-dcb84a97a31f","Breakdown of propeller aircraft noise by applying conventional beamforming jointly with imaging using the rotating source identifier","von den Hoff, B. (TU Delft Aircraft Noise and Climate Effects); Simons, D.G. (TU Delft Aircraft Noise and Climate Effects); Snellen, M. (TU Delft Control & Operations)","Döbler, D (editor)","2022","Full-scale propeller measurements are useful to study the total noise contribution of a propeller-driven aircraft, including installation effects. Full-scale measurements under operational conditions also provide an accurate validation opportunity for propeller noise prediction models. These studies are, therefore, necessary to quantify and reduce the noise annoyance of propeller-driven aircraft. For propeller aircraft, rotating sources need to be considered. In this research, propeller noise is studied for a full-scale propeller using an acoustic microphone array. The acoustic imaging techniques used are Conventional Frequency-Domain or Time-Domain Beamforming for the stationary noise sources and the ROtating Source Identifier for the rotating noise sources. By applying these two acoustic imaging methods simultaneously, in addition to filtering in the spatial and frequency domain, also filtering in the source velocity domain can be exploited. These methods were applied to an engine run-up of a Pipistrel Velis Electro, the first fully-electric certified aircraft.
This electric aircraft, placed on the ground, allows for an initial study on the present noise sources and their relative contributions. Ultimately, this information can be used to separate the measured spectrum into spectra of different noise components which in turn can be used for full-scale validation and improvement of propeller noise prediction models.","Propeller niose; Microphone array; Acoustic imaging","en","conference paper","","","","","","","","","","Control & Operations","Aircraft Noise and Climate Effects","","",""
"uuid:4c6afd7c-17dd-4e18-987d-7ac1f54214fa","http://resolver.tudelft.nl/uuid:4c6afd7c-17dd-4e18-987d-7ac1f54214fa","Special Session: STT-MRAMs: Technology, Design and Test","Gebregiorgis, A.B. (TU Delft Computer Engineering); Wu, L. (TU Delft Computer Engineering); Münch, Christopher (Karlsruhe Institut für Technologie); Rao, Siddharth (IMEC); Tahoori, Mehdi B. (Karlsruhe Institut für Technologie); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","","2022","STT-MRAM has long been a promising non-volatile memory solution for the embedded application space owing to its attractive characteristics such as non-volatility, low leakage, high endurance, and scalability. However, the operating requirements for high-performance computing (HPC) and low power (LP) applications involve different challenges. This paper addresses different aspects of STT-MRAM; it will cover state-of-the-art, some new results and future challenges related to technology, design and test. While STT-MRAM devices have shown encouraging performance metrics at device-level, a key challenge has been achieving backend-of-line (BEOL) CMOS compatibility, while retaining the benefits of low power operation. Scaling demands to improve data densities have placed additional challenges in terms of addressing the impact of process-induced damage on device performance at CD < 100 nm. In addition, the paper discusses the design of reliable read mechanism considering the variability effects. Moreover, the failure of traditional fault modeling and test approaches in model STT-MRAM unique defects for appropriate test solutions is demonstrated in this paper based on silicon data.","Device-aware test; Reliability; STT-MRAM","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:c6eec939-fd06-4fbe-8b1a-23ab4647d21b","http://resolver.tudelft.nl/uuid:c6eec939-fd06-4fbe-8b1a-23ab4647d21b","A High-Level Framework for Green Customs and Research Agenda","Rukanova, B.D. (TU Delft Information and Communication Technology); Männistö, Toni (Cross-Border Research Association); Hintsa, Juha (Cross-Border Research Association); Tan, Y. (TU Delft Information and Communication Technology); Slegt, Micha (Customs Administration of the Netherlands); Heijmann, Frank","","2022","In this paper we propose a high-level framework for Green Customs which links the policy developments related to circular economy (CE), to customs and related stakeholder groups, customs activities, and customs innovative capabilities. The framework allows customs to better understand these links and identify capability gaps for circular economy monitoring and steer the developments in order to be better prepared for the future.","","en","conference paper","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:8fe7b7e0-2322-4cee-9535-03f4964a55b6","http://resolver.tudelft.nl/uuid:8fe7b7e0-2322-4cee-9535-03f4964a55b6","Robustness Assessment Method for Future Climate Uncertainties","Wahi, P. (TU Delft Building Services); van den Ham, E.R. (TU Delft Building Physics); Bilow, M. (TU Delft Building Product Innovation)","Hashim, Aliya Al (editor); Saadi, Saleh Al (editor); Khatri, Hanan Al (editor)","2022","Energy-efficient buildings tend to cause thermal discomfort due to overheating during summers. With the advent of climate change and increasing outdoor temperatures, the risk of overheating will be exacerbated. Henceforth, the building design must be future proof or robust for climate change. Passive design strategies applied to the building envelope are crucial in reducing the energy demand and provide thermal comfort. However, it is essential to determine their performance in the presence of climate uncertainties, especially in the early design stage. Therefore, the paper illustrates an assessment method for investigating the robustness of the building envelope in curbing the risk of overheating in future climate change scenarios of 2050 and 2085. The study focused on educational buildings as thermal discomfort due to overheating affects students' productivity. The study analysed the performance of different passive design strategies applicable at building envelope in reducing overheating risk and evaluated the robustness using the statistical method of “best-case and worst-case scenario”. The robustness assessment method found fixed or dynamic shading, reduced window to wall ratios, albedo effect of the building envelope, and mixed-mode ventilation strategy with P.C.M. panels as the most robust design solutions. However, ventilative cooling would have limited application towards the latter part of the century","Passive design strategies; Building envelope; Educational buildings; Thermal comfort; Overheating","en","conference paper","Sultan Qaboos University Printing Press","","","","","","","","","","Building Services","","",""
"uuid:361ceb9f-3765-4f9d-a474-ae89ba1aa70e","http://resolver.tudelft.nl/uuid:361ceb9f-3765-4f9d-a474-ae89ba1aa70e","On the Evaluation of NLP-based Models for Software Engineering","Izadi, M. (TU Delft Software Engineering); Ahmadabadi, Martin Nili (University of Tehran)","","2022","NLP-based models have been increasingly incorporated to address SE problems. These models are either employed in the SE domain with little to no change, or they are greatly tailored to source code and its unique characteristics. Many of these approaches are considered to be outperforming or complementing existing solutions. However, an important question arises here: Are these models evaluated fairly and consistently in the SE community?. To answer this question, we reviewed how NLP-based models for SE problems are being evaluated by researchers. The findings indicate that currently there is no consistent and widely-accepted protocol for the evaluation of these models. While different aspects of the same task are being assessed in different studies, metrics are defined based on custom choices, rather than a system, and finally, answers are collected and interpreted case by case. Consequently, there is a dire need to provide a methodological way of evaluating NLP-based models to have a consistent assessment and preserve the possibility of fair and efficient comparison.","Evaluation; Natural Language Processing; Software Engineering","en","conference paper","IEEE","","","","","","","","","","Software Engineering","","",""
"uuid:a2d52aca-e1c5-4fd2-beee-10a2fd7656e9","http://resolver.tudelft.nl/uuid:a2d52aca-e1c5-4fd2-beee-10a2fd7656e9","CatIss: An Intelligent Tool for Categorizing Issues Reports using Transformers","Izadi, M. (TU Delft Software Engineering)","","2022","Users use Issue Tracking Systems to keep track and manage issue reports in their repositories. An issue is a rich source of software information that contains different reports including a problem, a request for new features, or merely a question about the software product. As the number of these issues increases, it becomes harder to manage them manually. Thus, automatic approaches are proposed to help facilitate the management of issue reports. This paper describes CatIss, an automatic Categorizer of Issue reports which is built upon the Transformer-based pre-trained RoBERTa model. CatIss classifies issue reports into three main categories of Bug report, Enhancement/feature request, and Question. First, the datasets provided for the NLBSE tool competition are cleaned and preprocessed. Then, the pre-trained RoBERTa model is fine-tuned on the preprocessed dataset. Evaluating CatIss on about 80 thousand issue reports from GitHub, indicates that it performs very well surpassing the competition baseline, TicketTagger, and achieving 87.2% F1-score (micro average). Additionally, as CatIss is trained on a wide set of repositories, it is a generic prediction model, hence applicable for any unseen software project or projects with little historical data. Scripts for cleaning the datasets, training CatIss and evaluating the model are publicly available.","Issue report Management; Classification, Repositories; Transformers; Machine Learning; Natural Language Processing","en","conference paper","IEEE","","","","","","","","","","Software Engineering","","",""
"uuid:43fa7310-332d-4d16-93da-5c0344a42a95","http://resolver.tudelft.nl/uuid:43fa7310-332d-4d16-93da-5c0344a42a95","Non-Homogeneous Sampling Rate Wide Area Backup Protection using Synchrophasors and IED Data","de Jesus Chavez, Jose (TU Delft Intelligent Electrical Power Grids); Veerakumar, Nidarshan (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Intelligent Electrical Power Grids); Azizi, Sadegh (University of Leeds); Melgoza, Enrique (The National Technological Institute of Mexico); Terzija, Vladimir (Shandong University)","Nordstrom, Lars (editor); Holjevac, Ninoslav (editor); Kuzle, Igor (editor); Ivankovic, Igor (editor); Kezunovic, Mladen (editor); Paulone, Mario (editor); Mohsenian-Rad, Hamed (editor); Muscas, Carlo (editor); Basakarad, Tomislav (editor)","2022","Fault currents may result in cascading failures and even system collapse if not detected and cleared on time. To account for the possibility of failure of primary protection under stressed system conditions, an extra layer of protection is commonly employed, referred to as backup protection. This paper introduces an effective formulation for realizing remote backup protection using available data from PMUs and Intelligent Electronic Devices (IEDs). The proposed method is split into three main stages. The first stage deals with the zoning detection of the fault. The second stage is aimed at faulted line detection, and finally, the third stage determines the fault distance on the faulted line. The method is designed to take full advantage of measurements provided by PMUs and IEDs. The challenges associated with different reporting rates are resolved thanks to the dynamic decimator employed to this end. The proposed method has been implemented in real-time by applying co-simulation with MATLAB and validated using the New England IEEE 39 bus system with several fault events.","Fault detection; Real-time simulation; Synchrophasor data; Transmission system; Wide-area backup protection","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-29","","","Intelligent Electrical Power Grids","","",""
"uuid:6465b75c-9b09-4cc9-8cf3-996308bb9860","http://resolver.tudelft.nl/uuid:6465b75c-9b09-4cc9-8cf3-996308bb9860","Strategic partnering motives of clients, contractors, and subcontractors in the Dutch retrofit market","Koolwijk, J.S.J. (TU Delft Design & Construction Management); van Oel, C.J. (TU Delft Design & Construction Management)","","2022","This study investigates the motives of clients, contractors and
subcontractors and underlying conditions favoring strategic partnering formation in the Dutch retrofit market. In-depth interviews were conducted with six clients, six contractors, and two subcontractors concerning four cases in the Netherlands. To identify motives, the data from the 14 interviews were coded with Atlas TI based on a theoretical framework. The thematic analysis revealed the main motives. The main finding of this study was that clients and contractors form strategic partnerships for different reasons: clients unite to exploit the knowledge and capabilities of their supplying partners, while contractors and subcontractors unite to improve their market position. Both motives may be exchanged when clients offer contractors and subcontractors a long-term perspective. This finding shows managers in the construction industry that, to maintain a strategic partnership, it is important to understand and discuss the motives of each partner and how they can be exchanged.","Strategic partnering; Construction industry; Motives; Retrofit","en","conference paper","","","","","","","","","","","Design & Construction Management","","",""
"uuid:9e66d674-d290-424b-8479-1e85a0ffbf37","http://resolver.tudelft.nl/uuid:9e66d674-d290-424b-8479-1e85a0ffbf37","Ice Basin Tests For Ice-Induced Vibrations Of Offshore Structures In The Shiver Project","Hendrikse, H. (TU Delft Offshore Engineering); Hammer, T.C. (TU Delft Offshore Engineering); Owen, C.C. (TU Delft Offshore Engineering); van den Berg, M.A. (TU Delft Offshore Engineering); van Beek, C. (TU Delft EMSD CE&G); Polojärvi, Arttu (Aalto University); Puolakka, Otto (Aalto University); Willems, Tom (Siemens Gamesa Renewable Energy)","","2022","With the recent surge in development of offshore wind in the Baltic Sea, Bohai Sea and other ice-prone regions, a need has arisen for new basin tests to qualify the interaction between offshore wind turbines and sea ice. To this end, a series of model tests was performed at the Aalto ice basin as part of the SHIVER project. The tests were aimed at modeling the dynamic interaction between flexible, vertically-sided structures and ice failing in crushing. A real-time hybrid test setup was used which combines numerical and physical components to model the structure. This novel test setup enabled the testing of a wide range of structure types, including existing full-scale structures for which ice-induced vibrations have been documented, and a series of single-degree-of-freedom oscillators to obtain a better understanding of the fundamental processes during dynamic ice-structure interaction. The tests were primarily focused on the dynamic behavior of support structures for offshore wind turbines under ice crushing loads. First results of the campaign show that the combination of the use of cold model ice and not scaling time and deflection of the structure can yield representative ice-structure interaction in the basin. This is demonstrated with experiments during which a scaled model of the Norströmsgrund lighthouse and Molikpaq caisson were used. The offshore wind turbine tests resulted in multi-modal interaction which can be shown to be relevant for the design of the support structure. The dataset has been made publicly available for further analysis.","Offshore wind; ice-structure interaction; scaling; frequency lock-in; intermittent crushing","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-13","","","Offshore Engineering","","",""
"uuid:6db3e365-280e-4bd9-88e4-60217d4e5c5a","http://resolver.tudelft.nl/uuid:6db3e365-280e-4bd9-88e4-60217d4e5c5a","Fatigue Strength Of Fixed Offshore Structures Under Variable Amplitude Loading Due To Wind, Wave, And Ice Action","Braun, Moritz (Hamburg University of Technology); Dörner, Alfons (Hamburg University of Technology); Willems, Tom (Siemens Gamesa Renewable Energy); Seidel, Marc (Siemens Gamesa Renewable Energy); Hendrikse, H. (TU Delft Offshore Engineering); Høyland, Knut V. (Norwegian University of Science and Technology (NTNU)); Fischer, Claas (TÜV NORD EnSys Hannover GmbH & Co. KG); Ehlers, Sören (DLR Institute for Maritime Energy Systems)","","2022","Fixed offshore wind turbines are increasingly developed for high latitude areas where not only wind and wave loads need to be considered, but also moving sea ice. Current structural design rules do not adequately consider the effect of ice loading on fatigue life, due to missing studies on fatigue strength of welded joints under combined wind, wave, and ice action. Thus, a methodology to determine combined variable-amplitude loading (VAL) spectra was developed in a previous study. The stress state time-history at an exemplarily selected point in the support structure of an offshore wind energy monopile was translated into a VAL sequence. This sequence is used as an input for fatigue tests of butt-welded joints in the current study. The current study presents the VAL spectrum and the corresponding VAL time series, the results of the fatigue tests and compares them to typical fatigue damage sums for other stress spectra.","Arctic technology; ice loads; stress analysis; offshore renewable energies; wind turbine fatigue damage and life extension; structural integrity","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-13","","","Offshore Engineering","","",""
"uuid:169e1f83-2bd1-4dce-9856-e5ad67d9f5fe","http://resolver.tudelft.nl/uuid:169e1f83-2bd1-4dce-9856-e5ad67d9f5fe","On the use of drift ice thickness statistics from a Copernicus reanalysis product for fatigue damage calculation","Hornnes, Vegard (Norwegian University of Science and Technology (NTNU)); Hammer, T.C. (TU Delft Offshore Engineering; Siemens Gamesa Renewable Energy); Høyland, Knut V. (Norwegian University of Science and Technology (NTNU)); Hendrikse, H. (TU Delft Offshore Engineering); Turner, Joshua (Carleton University)","","2022","In bodies of water where ice is not an annual occurrence, such as in the Southern Baltic Sea, the design of offshore wind turbines is complicated by the difficulty involved in estimating the relevant ice parameters (thickness, velocity, and strength) and their corresponding probabilities of occurrence (return periods). In this paper, the use of a Copernicus reanalysis product is evaluated for its applicability in preparing drift ice thickness distributions in the design phase of offshore wind turbines. An area surrounding the Kriegers Flak wind farm site in the Southern Baltic Sea is used as a case study. The drift ice thickness statistics of ice within the region which could potentially drift into the site were weighted according to drift directions, based on the wind direction frequency in the area. We found that between 1993-2017, drift ice at Kriegers Flak mainly occurred in 1996 with 0.1 m maximum ice thickness, in good agreement with estimations reported in the literature. Ice thickness probabilities have been created from the 1996 winter data and used as input for a fatigue damage analysis of an offshore wind turbine. The additional steps required to improve the suitability of Copernicus reanalysis data for use as input into design calculations are discussed.","","en","conference paper","","","","","","","","","","","Offshore Engineering","","",""
"uuid:b814f9ca-21bb-4cbd-ba3b-764ee8a7df07","http://resolver.tudelft.nl/uuid:b814f9ca-21bb-4cbd-ba3b-764ee8a7df07","Test setup for compressive loading of confined ice thick sections viewed with in-situ cross-polarization imaging","Owen, C.C. (TU Delft Offshore Engineering); Hammer, T.C. (TU Delft Offshore Engineering); Hendrikse, H. (TU Delft Offshore Engineering)","","2022","For the topic of predicting ice-induced vibrations of vertically sided offshore structures, the rate-dependent ductile-to-brittle transitional deformation and failure behavior of ice is critical but remains superficially understood. To investigate this knowledge gap, a test setup has been designed which allows for in-situ crossed-polarization imaging of passively confined ice thick sections subjected to compressive loading. The test setup is designed to recreate the scenario of a cross-section at the leading edge of an ice sheet which is laterally confined by surrounding ice and fails in crushing against a structure. The setup comprises a linear actuator which drives a flat plate into a confinement box containing the ice thick section, which is passively confined orthogonal to the plane of loading by thick fused silica glass plates. The ice is illuminated through the glass plates with crossed-polarized light, which highlights the microstructure of the ice. Freshwater ice of columnar grain structure is prepared in the ice laboratory at Delft University of Technology, and quantified in terms of its microstructure. The ice thick sections in the test setup are subjected to a range of deformation rates at different temperatures. While similar experiments have been performed, this setup provides novelty by accentuating the dynamic microstructural deformation in-situ with crossed-polarized light. Moreover, this microstructural deformation is observed for global deformation rates relevant for ice-induced vibrations of offshore structures. A description of the test setup is presented along with preliminary experimental results.","","en","conference paper","","","","","","","","","","","Offshore Engineering","","",""
"uuid:7a44f359-60d5-4375-9025-dbbf0fe0704a","http://resolver.tudelft.nl/uuid:7a44f359-60d5-4375-9025-dbbf0fe0704a","Classification Of Ice-Induced Vibration Regimes Of Offshore Wind Turbines","Hammer, T.C. (TU Delft Offshore Engineering); Owen, C.C. (TU Delft Offshore Engineering); van den Berg, M.A. (TU Delft Offshore Engineering); Hendrikse, H. (TU Delft Offshore Engineering)","","2022","Ice-induced vibrations of offshore wind turbines on monopile foundations were investigated experimentally at the Aalto Ice Tank. A real-time hybrid test setup was developed allowing to accurately simulate the motion of a wind turbine in interaction with ice, incorporating the multi-modal aspects of the interaction and the effect of simultaneous ice and wind loading. Different vibration patterns were observed where some could be described based on the common terminology of intermittent crushing or continuous brittle crushing. However, not all resulting vibrations could be described accordingly. A combination of several global bending modes interacting with the ice resulted in high global ice loads and structural response. Such response is likely typical for an offshore wind turbine, owing to the dynamic characteristics of the structure. The type of interaction observed during the tests would be most critical for design as the largest bending moments in critical cross-sections of the foundations occur for this regime. A classification of ice-induced vibrations is proposed which encompasses the experimental observations for offshore wind turbines on the basis of the periodicity in the structural response at the ice action point.","ice tank tests; ice-structure interaction; multi-modal interaction; dynamic ice loading","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-13","","","Offshore Engineering","","",""
"uuid:b3f526c0-6453-4222-8a96-7280e9dde55a","http://resolver.tudelft.nl/uuid:b3f526c0-6453-4222-8a96-7280e9dde55a","RRAM Crossbar-Based Fault-Tolerant Binary Neural Networks (BNNs)","Gebregiorgis, A.B. (TU Delft Computer Engineering); Zografou, Artemis (Student TU Delft); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","","2022","Computation-In Memory (CIM) using RRAM crossbar array is a promising solution to realize energy-efficient neuromorphic hardware, such as Binary Neural Networks (BNNs). However, RRAM faults restrict the applicability of CIM for BNN implementation. To address this issue, we propose a fault tolerance framework to mitigate the impact of RRAM faults on the accuracy of CIM-based BNN hardware. Evaluation results using MNIST, Fashion-MNIST and CIFAR-10 datasets demonstrate that the proposed framework outperforms the related works as it restores more than 99% of the RRAM fault induced accuracy reduction with relatively less overhead.","CIM; fault tolerance; RRAM; BNN","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:20549d20-628c-45e6-a781-61a876b955b4","http://resolver.tudelft.nl/uuid:20549d20-628c-45e6-a781-61a876b955b4","PVT Analysis for RRAM and STT-MRAM-based Logic Computation-in-Memory","Fieback, M. (TU Delft Computer Engineering); Münch, Christopher (Karlsruhe Institut für Technologie); Gebregiorgis, A.B. (TU Delft Computer Engineering); Cardoso Medeiros, G. (TU Delft Quantum & Computer Engineering); Taouil, M. (TU Delft Computer Engineering); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Tahoori, Mehdi (Karlsruhe Institut für Technologie)","","2022","Emerging non-volatile resistive memories like Spin-Transfer Torque Magnetic Random Access Memory (STT-MRAM) and Resistive RAM (RRAM) are in the focus of today’s research. They offer promising alternative computing architectures such as computation-in-memory (CiM) to reduce the transfer overhead between CPU and memory, usually referred to as the memory wall, which is present in all von Neumann architectures. A multitude of architectures with CiM capabilities are based on these devices, due to their inherent resistive behavior and thus their ability to perform calculation directly within the memory, and thus without invoking the CPU at all. However, emerging memories are sensitive to Process, Voltage and Temperature (PVT) variations. This sensitivity has an even larger impact on CiM architectures. In this paper, we analyze and compare the impact of PVT variations on STT-MRAM and RRAM-based CiM architectures. We perform a sensitivity analysis to identify which parts of the CiM structure are most susceptible to PVT variations, for each technology. Based on these analyses, we recommend that STT-MRAM is used in high-performance CiM, while RRAM is used for edge CiM.","Computation-in-Memory (CiM); PVT; emerging memories; STT-MRAM; RRAM; reliability","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:0d66fe27-a235-4775-857a-c49e9c59976a","http://resolver.tudelft.nl/uuid:0d66fe27-a235-4775-857a-c49e9c59976a","Smart Redundancy Schemes for ANNs against Fault Attacks","Köylü, T.C. (TU Delft Computer Engineering); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Taouil, M. (TU Delft Computer Engineering)","","2022","Artificial neural networks (ANNs) are used to accomplish a variety of tasks, including safety critical ones. Hence, it is important to protect them against faults that can influence decisions during operation. In this paper, we propose smart and low-cost redundancy schemes that protect the most vulnerable ANN parts against fault attacks. Experimental results show that the two proposed smart schemes perform similarly to dual modular redundancy (DMR) at a much lower cost, generally improve on the state of the art, and reach protection levels in the range of 93% to 99%.","artificial neural network; redundancy; fault injection; countermeasure; machine learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:c5ec5929-635d-4560-922c-8ab267ef43bc","http://resolver.tudelft.nl/uuid:c5ec5929-635d-4560-922c-8ab267ef43bc","Promoting Children's Critical Thinking Towards Robotics through Robot Deception","Lupetti, M.L. (TU Delft Design Aesthetics); Van Mechelen, Maarten (Aarhus University)","","2022","The need for critically reflecting on the deceptive nature of advanced technologies, such as social robots, is urging academia and civil society to rethink education and the skills needed by future generations. The promotion of critical thinking, however, remains largely unaddressed within the field of educational robotics. To address this gap and question if and how robots can be used to promote critical thinking in young children's education, we conducted an explorative design study named Bringing Shybo Home. Through this study, in which a robot was used as a springboard for debate with twenty 8- to 9-year-old children at school, we exemplify how the deceptive nature of robots, if embraced and magnified in order for it to become explicitly controversial, can be used to nurture children's critical mindset.","critical thinking; deception; design exploration; educational robotics; societal impact","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Aesthetics","","",""
"uuid:526ab32e-2104-4549-99c6-3af8bd2e9577","http://resolver.tudelft.nl/uuid:526ab32e-2104-4549-99c6-3af8bd2e9577","Reinforced glass: Structural potential of cast glass beams with embedded metal reinforcement","Bristogianni, T. (TU Delft Structural Design & Mechanics; TU Delft Applied Mechanics); Oikonomopoulou, F. (TU Delft Structural Design & Mechanics)","Zingoni, Alphose (editor)","2022","The shaping freedom of cast glass in combination with the robustness of the resulting voluminous components opens up new, exciting directions in the field of structural glass. Yet, cast glass components remain brittle, limiting their structural applications in hyper-static compressive structures designed with conservative safety factors. Stretching these limits, this work investigates the reinforcement of cast glass by incorporating metal bars during the casting process, in a similar principle to reinforced concrete. Aim is to increase the ductility of the composite glass component, provide a warning mechanism prior to ultimate fracture and secure a postfailure load-bearing capacity. The development of hybrid glass components involves kiln-casting experiments using different metal-glass combinations, of similar thermal expansion coefficients. The method of introducing the metal bar in the glass during casting, and the effect of the selected forming temperature are investigated. The resulting metal-glass interfaces are examined for micro-cracks using a digital microscope, and for internal stresses using cross-polarized light. Two material combinations are found successful; soda lime silica with titanium and alkali borosilicate with Kovar. A hybrid borosilicate-Kovar 30*30*240mm beam is further tested in 4-point bending until failure, while its displacement is measured by Digital Image Correlation. The flexural response of the composite component is compared to the performance of unreinforced cast glass beams of similar composition. Although reinforced and unreinforced specimens show a comparable flexural strength, the reinforced specimen exhibits a warning mechanism well before failure, a gradual fracture and a post-failure load-bearing capacity. These attributes encourage the further exploration of cast glass reinforcement.","structural glass; cast glass; glass reinforcement; Glass beams","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Structural Design & Mechanics","","",""
"uuid:e3203cd1-45ac-43e2-a180-225c25702a90","http://resolver.tudelft.nl/uuid:e3203cd1-45ac-43e2-a180-225c25702a90","Managing offshore wind turbines through Markov decision processes and dynamic Bayesian networks","Morato, P. G. (Université de Liège); Papakonstantinou, K. G. (The Pennsylvania State University); Andriotis, C. (TU Delft Structural Design & Mechanics; TU Delft Delft University of Technology); Rigo, Philippe (Université de Liège)","","2022","Efficient planning of inspection and maintenance (I&M) actions in civil and maritime environments is of paramount importance to balance management costs against failure risk caused by deteriorating mechanisms. Determining I&M policies for such cases constitutes a complex sequential decision-making optimization problem under uncertainty. Addressing this complexity, Partially Observable Markov Decision Processes (POMDPs) provide a principled mathematical methodology for stochastic optimal control, in which the optimal actions are prescribed as a function of the entire, dynamically updated, state probability distribution. As shown in this paper, by integrating Dynamic Bayesian Networks (DBNs) with POMDPs, advanced algorithmic schemes of probabilistic inference and decision optimization under uncertainty can be uniquely combined into an efficient planning platform. To demonstrate the capabilities of the proposed approach, POMDP and heuristic-based I&M policies are compared, with emphasis on an offshore wind substructure subject to fatigue deterioration. Results verify that POMDP solutions offer substantially reduced costs compared to their counterparts, even in traditional problem settings.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Structural Design & Mechanics","","",""
"uuid:53c800ff-07ee-498d-8217-00f2359314a2","http://resolver.tudelft.nl/uuid:53c800ff-07ee-498d-8217-00f2359314a2","New Trends in HCI and Sports","Mencarini, Eleonora (Fondazione Bruno Kessler); Rapp, Amon (University of Turin); Colley, Ashley (University of Lapland); Daiber, Florian (DFKI GmbH); Jones, Michael D. (Brigham Young University); Kosmalla, Felix (DFKI GmbH); Lukosch, Stephan (University of Canterbury); Niess, Jasmin (University of St. Gallen); Niforatos, E. (TU Delft Internet of Things)","","2022","Over the last 15 years, we have witnessed a digitalization of the sports experience, i.e., many sports have been enhanced by digital and wearable devices. The centrality of the human body and the different contexts where sports can be practiced have led HCI research to explore how mobile and wearable devices could support the physical, social, and environmental aspects of sports disciplines. Yet, the field of HCI & sports continues to evolve under the push of new technological developments and events affecting people worldwide, such as the Covid-19 pandemic and climate change. Technological advancements like the metaverse, inbodied technologies, and AI have paved the way for augmented humans, esports, new forms of sociality, and new ways to engage the audience. Likewise, contextual factors push sports trends in two opposite directions simultaneously: on the one hand, they foster the indoorisation and individualization of sports; on the other hand, they encourage practicing sports outdoors and taking advantage of the ""restorative environment""of nature. With this workshop, we would like to invite the MobileHCI community to discuss the current trends in portable technologies for sports and trace future directions for HCI research in this field.","E-sports; Exergames; Sports; Superhuman sports","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Internet of Things","","",""
"uuid:a8996b1b-ed6e-4f52-a393-e9be4ed30d19","http://resolver.tudelft.nl/uuid:a8996b1b-ed6e-4f52-a393-e9be4ed30d19","Distributed Adaptive Consensus Disturbance Rejection: a Directed-spanning-tree Perspective","Yue, Dongdong (Southeast University); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Cao, Jinde (Southeast University)","Li, Zhijun (editor); Sun, Jian (editor)","2022","In this paper, we revisit the problem of consensus disturbance rejection for multiagent systems over a digraph, but from a different perspective, i.e., the perspective of a directed spanning tree (DST). When the minimum nonzero real part of the Laplacian eigenvalues is available, we reproduce the sufficient lower bound for a static homogeneous coupling gain in the literature, by exploring a DST structure of the digraph. The major novelty arises when it is shown that by adaptively tuning the coupling gains along a DST, consensus disturbance rejection can be achieved when the above eigenvalue information is not available. Numerical examples on networks of second-order oscillators and UAVs are included to validate the theoretical results.","Consensus; Directed spanning tree; Distributed adaptive control; Disturbance rejection","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:31edb3d1-f849-41d2-b1c3-a865434680e2","http://resolver.tudelft.nl/uuid:31edb3d1-f849-41d2-b1c3-a865434680e2","On a hypergraph structuring semantic information for robots navigating and conducting their task in real-world, indoor environments","Sijs, J. (TU Delft Learning & Autonomous Control); Fletcher, James (Vaticle, London)","","2022","Robotic systems operating in the real world would benefit from a clear semantic model to understand their interactions with the real world. Such semantics are typically captured in an ontology. Unfortunately, existing world models in robotics focus on its navigation task. They adopt a hierarchical structure decomposing the environment from large spaces into small objects having a position, thereby limiting the robot's interactions as a 'go-to-object' task. To allow a richer understanding of the real world this hierarchical structure should be replaced with an ontology, yet one that does not limit the real-time requirements of the robot when it is queried or updated with new observations extracted from sensors. Such an ontology is presented in this article. For now the ontology also focusses on the navigation aspect of robots, yet it is open to model other aspects of the real world as well. Experiments show that multiple environments are successfully modelled supporting the robot to go from one room to another to search for humans.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Learning & Autonomous Control","","",""
"uuid:4e17a527-131d-4fa9-88e2-9880c52b3003","http://resolver.tudelft.nl/uuid:4e17a527-131d-4fa9-88e2-9880c52b3003","Quantifying the Severity of Short-term Instability Voltage Deviations","Boricic, Aleksandar (TU Delft Intelligent Electrical Power Grids); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2022","As power systems evolve from synchronous to inverter-based generation, voltage stability plays an increasingly important role. Voltage perturbations become faster and highly variable, and as such attract the research interest in the field of short-term instability monitoring and evaluation. The digitalization of the power systems provides a higher degree of observability by making use of synchrophasor measurements. The next step of utilizing such measurements by tailoring and applying innovative analytical and data-driven solutions is, however, still at the early development stage. In this paper, a novel approach that utilizes rapid post-fault voltage deviations for short-term instability quantification is investigated. The findings indicate that the approach is intuitive and effective. Finally, the paper discusses future research directions, enabled by the presented methodology, that deal with grid resilience challenges. Particularly, those related to post-disturbance system strength evaluation, as well as the real-time short-term instability evaluation and prediction, are addressed.","power system stability evaluation; short-term voltage stability; inverter-based resources; system strength; synchrophasors","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-28","","","Intelligent Electrical Power Grids","","",""
"uuid:9e997568-7912-4a07-a334-ddf3c1fe59b9","http://resolver.tudelft.nl/uuid:9e997568-7912-4a07-a334-ddf3c1fe59b9","Investigating the Usability of a Socially Assistive Robotic Cognitive Training Task with Augmented Sensory Feedback Modalities for Older Adults","Nault, Emilyann (Heriot-Watt University); Baillie, Lynne (Heriot-Watt University); Broz, F. (TU Delft Interactive Intelligence)","","2022","Cognitive training is effective at retaining cognitive function and delaying decline for typically ageing older adults, individuals with mild cognitive impairment, and persons with dementia. Technological resources can address limiting factors that inhibit engagement and access to this treatment. We investigated how a socially assistive robot-facilitated memory task with sensory feedback was received by older adults. The impact of unimodal and multimodal administration of auditory and haptic feedback using two robot embodiments (Pepper and Nao) was evaluated in terms of user performance, usability, and workload. In contrast to sensory feedback research, auditory feedback resulted in significantly higher task accuracy. This was, however, supported by previous work from neurological literature. Auditory feedback also received significantly higher usability, and this preference was validated by qualitative feedback from participants. Regardless of robotic embodiment, this study demonstrates an advantage for auditory feedback (over haptic and multimodal) in cognitive training activities for older adults.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-30","","","Interactive Intelligence","","",""
"uuid:4d108a1a-fe66-410a-b086-5c2c674854a8","http://resolver.tudelft.nl/uuid:4d108a1a-fe66-410a-b086-5c2c674854a8","Estimating PV Curtailed Power as a Voltage Support Service using Data-Driven Approaches","Verhoeven, Gijs (Eindhoven University of Technology); Vergara Barrios, P.P. (TU Delft Intelligent Electrical Power Grids); Salazar Duque, Edgar Mauricio (Eindhoven University of Technology); Kok, Koen (Eindhoven University of Technology)","","2022","To guarantee a successful deployment of a droop-based control strategy to mitigate overvoltage problems caused by solar photovoltaic (PV) generation, Distribution System Operators (DSOs) will need to estimate the amount of active power curtailed by the PV inverters for billing purposes. This paper provides a structural elaboration on the development of data-driven approaches in Python to estimate the PV curtailed power as a provision of voltage support services by residential users using droop-based voltage control strategies. The use of the total input data, available for a DSO, would be impractical for an all-regression approach for the estimation of the PV curtailed power. Since in the majority of the data no active power is curtailed, the data-driven models would in this case partly be trained and fitted for situations where there is no active power curtailment. The regression models for the curtailed power prediction are therefore preceded by a classification model. The developed combined classification-regression model was able to estimate the PV curtailed power with an error of less than 4%, for test data from the network on which the model was trained.","Low voltage distribution systems; overvoltage; droop control; PV curtailment; machine learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Intelligent Electrical Power Grids","","",""
"uuid:9aded3ee-6a27-4185-8a29-fc97e65ffd7e","http://resolver.tudelft.nl/uuid:9aded3ee-6a27-4185-8a29-fc97e65ffd7e","Polarimetric Signatures of Moving Automotive Vehicles Based on H/A/α-decomposition: Preliminary Results with PARSAX Radar Data","Bosma, Detmer (TU Delft Microwave Sensing, Signals & Systems); Krasnov, O.A. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","Polarimetric radar responses from moving automotive targets are studied aiming at target classification using the polarimetric H/A/α-decomposition technique. A signal- and data processing chain has been proposed for the detection and tracking of targets in a multi-target environment in the range-Doppler domain. Polarimetric information of the vehicles is collected during tracking and is analyzed by the H/A/α-decomposition technique. Employing both time averaging and spatial averaging of the statistical coherency matrix, the polarimetric signatures of both vehicles and static clutter have been presented in the two-dimensional H/α-plane. It has been found that the spatial averaging approach results in a polarimetric signature that can be very helpful to distinguish automotive vehicles from static clutter.","polarimetric radar; polarimetric signature; H/A/α-decomposition; polarimetric fusion; target detection; multi-target tracking","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-04","","","Microwave Sensing, Signals & Systems","","",""
"uuid:70fb6067-345e-4d10-8ed1-2d97d9f88d26","http://resolver.tudelft.nl/uuid:70fb6067-345e-4d10-8ed1-2d97d9f88d26","Generalized Computer Model of Sea, Land and Atmospheric Clutter","Ianovskyi, F. (TU Delft Atmospheric Remote Sensing; University Kyiv); Prokopenko, Igor (National Aviation University); Rudiakova, Anna (National Aviation University); Rhee, Huinam (Sunchon National University)","","2022","The generalized mathematical and computer model of clutter is developed. It is based on K-distribution and can be used for simulation of sea, atmospheric, and land clutter with different characteristics. Examples of model application for testing rank algorithm for clutter suppression are considered and analyzed.","radar clutter; K-distribution; clutter suppression; rank algorithm","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Atmospheric Remote Sensing","","",""
"uuid:7e7bdccd-aa12-4b6a-a997-a19c4d1ad453","http://resolver.tudelft.nl/uuid:7e7bdccd-aa12-4b6a-a997-a19c4d1ad453","Self-Disclosure to a Robot ""In-the-Wild"": Category, Human Personality and Robot Identity","Neerincx, Anouk (Universiteit Utrecht); Edens, Chantal (Universiteit Utrecht); Broz, F. (TU Delft Interactive Intelligence); Li, Yanzhe (Student TU Delft); Neerincx, M.A. (TU Delft Interactive Intelligence)","","2022","Self-disclosures can be valuable and sensitive parts of the human-robot interaction. This paper investigates how far human's tendency to self-disclose depends on the topic of interaction, individual's personality and perceived robot identity (i.e., human-, robot- or animal-like). Robot's (Pepper) identity was shown in its self-disclosure, interaction behaviors (gestures, sound and voice), and ’’clothing"". In an""in-the- wild"" study at a science festival, 80 visitors interacted with one of these robot identities. When questioned by the robot, they disclosed more about their attitudes and opinions than about other categories. Significant correlations appeared between personality characteristics and the degree of self-disclosure, as well as differences in self-disclosure categories. The different robot identities showed no effects on disclosures.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-30","","","Interactive Intelligence","","",""
"uuid:edb9746d-64e0-4a11-bf80-4d4ea0fd8005","http://resolver.tudelft.nl/uuid:edb9746d-64e0-4a11-bf80-4d4ea0fd8005","Mitigating the Impacts of EVs Charging Infrastructure on Dutch Residential Grids","Nasr, Waleed S. (Student TU Delft; DNV Energy Advisory); Vergara Barrios, P.P. (TU Delft Intelligent Electrical Power Grids); Kruimer, Bas (DNV Energy Advisory)","","2022","With the enforcement of governmental regulations and incentives, the share of electric vehicles (EVs) in the mobility sector is on the rise, impacting significantly the grid and its operation. This paper aims to investigate and find solutions to mitigate the impacts of EV charging on Dutch residential grids, namely the impacts of voltage magnitude regulation and distribution transformer loading. This paper proposes a decentralized coordinated charging strategy with local voltage control at its essence. The proposed charging strategy effectively allocates the charging power by prioritizing users based on their current State-of-Charge (SOC) and/or Time of Departure (ToD), or the current loading on the distribution transformer. These parameters are communicated to the charge controller through an Internet-of-Things (IoT) platform. The proposed charging strategy was simulated on a real Dutch residential grid that serves 86 household users, assuming every household has its own controllable EV charger. Based on the obtained results, the proposed charging strategy has eliminated all voltage magnitude violations, reduced the loading on the distribution transformer, while also achieving a SOC that is 2.5% less than that of the uncontrolled charging strategy.","Voltage magnitude regulation; distribution transformer loading; decentralized control","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Intelligent Electrical Power Grids","","",""
"uuid:8c399d54-9bef-4f08-afb4-cd0d3dded54c","http://resolver.tudelft.nl/uuid:8c399d54-9bef-4f08-afb4-cd0d3dded54c","Investigations of the Virtual Impedance Control Mode of Synchronverter in the Power Swing","Gonzalez-Longatt, Francisco M. (University of South-Eastern Norway); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Intelligent Electrical Power Grids); Chamorro, Harold R. (KTH Royal Institute of Technology)","","2022","Power electronic converter (PEC) is a key element for the successful integration of novel technologies, PEC working as inverter at novel generation technologies are the decisive components to zero-net carbon emissions in the electricity systems. The colossal penetration of IBG tends to produce several issues in the power networks. There is a tendency to agree that the voltage source converters (VSCs) empowered with the so-called grid forming (GFR) control may provide a long-term solution for the inverter-based generation-dominated power systems. This scientific paper presents an investigation (based on numerical simulations) of the effect of the virtual impedance control mode of one grid forming control technique in the power swing of power systems. Numerical time-domain simulations on test systems are used to assess the effect of the virtual impedance (VI) control mode of the synchronverter (SynC) during a power swing. In both cases, the simulation-based investigation has shown evidence of using high and low virtual impedance in both cases, considering constant impedance and proportional over-current limitation. However, this paper concludes that further assessments are required.","converters; control; power swing; stability; virtual impedance","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-28","","","Intelligent Electrical Power Grids","","",""
"uuid:388fc820-0b78-46db-ab87-9da31956670e","http://resolver.tudelft.nl/uuid:388fc820-0b78-46db-ab87-9da31956670e","Requirements for renovating residential buildings in the Netherlands towards lower temperature supply from district heating.","Wahi, P. (TU Delft Building Services); Konstantinou, T. (TU Delft Building Product Innovation); Tenpierik, M.J. (TU Delft Building Physics); Visscher, H.J. (TU Delft Design & Construction Management)","","2022","In the Netherlands, district heating with a lower temperature supply (<70°C) will play a crucial part in accomplishing the energy transition goals of delivering natural gas-free sustainable heating to dwellings. The existing dwellings often require energy renovations to make them suitable for lower temperature heating. However, choosing renovation strategies that promote the transition to lower temperature district heating while improving energy efficiency and thermal comfort is challenging. This study aims to identify minimum renovation requirements for comfortably heating homes using lower temperature heat from district heating. Identifying minimum renovation strategies to prepare existing dwellings for lower temperature district heating would be vital in addressing the European Renovation Wave's target of improving worst-performing buildings. For the same, the study uses a typical intermediate terraced house built before 1945 as a case study to investigate renovation strategies based on four levels of renovation intervention (no renovation, basic, moderate and deep). The impact of renovations on space heating demand and thermal comfort was tested with medium (70/50°C) and low supply (55/35°C) temperatures against key performance indicators (KPIs) using dynamic simulation. The study found that for the case study dwelling, moderate renovation strategy of upgrading the building envelope insulation by 0.40 W/m2K for opaque parts and 1.5 W/m2K for glazing, improving the airtightness by 0.3h-1 and replacing existing radiators with LT radiators can be considered as a no-regret solution for comfortably heating homes with both medium and lower temperature supply from district heating.","Lower temperature heating; Renovation; Residential buildings; district heating","en","conference paper","IOPscience","","","","","","","","","","Building Services","","",""
"uuid:8e8af21f-6ea9-40a4-b621-ca1a71f82a8f","http://resolver.tudelft.nl/uuid:8e8af21f-6ea9-40a4-b621-ca1a71f82a8f","Comparison of Pulse Current Capability of Different Switches for Modular Multilevel Converter-based Arbitrary Wave shape Generator used for Dielectric Testing of High Voltage Grid Assets","Ganeshpure, D.A. (TU Delft DC systems, Energy conversion & Storage); Soundararajan, Ajeeth Phrassanna (Student TU Delft); Soeiro, Thiago B. (European Space Agency (ESA)); Ghaffarian Niasar, M. (TU Delft DC systems, Energy conversion & Storage); Vaessen, P.T.M. (TU Delft DC systems, Energy conversion & Storage; KEMA Laboratories); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","This article compares the pulse current capability of various Semiconductor (SM) device technologies for Modular Multilevel Converter (MMC)-based High Voltage (HV) Arbitrary Waveform Generator (AWG) for dielectric testing of grid assets to find the most suitable SM device technology which can perform well in generating lightning impulse that demands a high peak current for a relatively short time. For the typical HV loads of the AWG, Lightning Impulse (LI) test may require a pulse current to rise to 1.7 kA in 0.2 µs. It is essential to highlight that most other dielectric tests performed with an HV AWG demand a relatively low current such as less than 10 A. Therefore, TO-packaged semiconductors would be well-suited for a large number of tests other than short impulses. To optimize the size and cost of the HV AWG, this paper evaluates the pulse current capabilities of TO-packaged semiconductors for the above-mentioned current requirement to generate LI waveform. The first comparison is made among Non-Punch Through (NPT) Si IGBT, Field Stop (FS) Si IGBT, Si MOSFET, and SiC MOSFETs with roughly the same current rating of 40 A. It is found that the Si MOSFET gives the fastest rise time of 0.42 µs and the NPT IGBT gives the highest current amplification factor of almost 12 times greater than its own rated current. However, 3rd Generation SiC MOSFET combines Si MOSFET and NPT IGBT capabilities to generate a fast rise time and high peak pulse current. Additionally, the FS IGBT is compared with the SiC MOSFET. The SiC MOSFET performs better in peak current capability and the obtained rise time. All in all, the research results and the stringent HV AWG requirements for LI show that the application requires a relatively complex switch implementation with far superior current capability than in normal operation. Therefore, a parallel connection of several TO-packaged devices is necessary to generate LI from MMC-based HV AWG.","Pulse Current Capability; Si and SiC Device Technologies; Modular Multilevel Converter; Dielectric Testing of Grid Assets","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:2bd55523-2133-475c-9304-56ccabcace4d","http://resolver.tudelft.nl/uuid:2bd55523-2133-475c-9304-56ccabcace4d","Comparison of Two and Three-Level AC-DC Rectifier Semiconductor Losses with SiC MOSFETs Considering Reverse Conduction","Yu, G. (TU Delft DC systems, Energy conversion & Storage); Soeiro, Thiago B. (TU Delft DC systems, Energy conversion & Storage); Dong, J. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","This paper presents the semiconductor losses analytical equations in closed form for two-level voltage source converter, three-level neutral point clamped (NPC) and three-level T-Type PFC topologies in high power applications. The reverse parallel current conduction between the SiC MOSFETs channel and body diode is considered. A circuit simulation model is built in PLECS to estimate the semiconductor losses and to verify the accuracy of the developed analytical model. A calculation example of the semiconductor losses of a 200 kW three-phase rectifier is shown.","Silicon carbide; Computational modeling; «AC-DC converter»; «Analytical losses computation»; «Conduction losses»; «Silicon Carbide (SiC)>>; «Shunt current»","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-17","","","DC systems, Energy conversion & Storage","","",""
"uuid:70ba17dd-4780-432c-88a6-83cc1077c793","http://resolver.tudelft.nl/uuid:70ba17dd-4780-432c-88a6-83cc1077c793","A Series Resonant Balancing Converter for Bipolar DC Grids on Ships","Yadav, S. (TU Delft DC systems, Energy conversion & Storage); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","Balancing converters are an integral part of a bipolar dc grid. In this paper, we propose a balancing converter based on a series resonant converter topology. The converter operates in the capacitive region with phase shift between the upper and lower H-bridges. The converter operation is analyzed and verified with LTSpice simulation. A prototype is developed to verify the operation.","Resonant converter; DC-DC; Bi-directional converters; Bipolar DC","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:7bb5011f-3024-4fba-ad4f-84b1064568cd","http://resolver.tudelft.nl/uuid:7bb5011f-3024-4fba-ad4f-84b1064568cd","Humans Disagree With the IoU for Measuring Object Detector Localization Error","Strafforello, O. (TU Delft Pattern Recognition and Bioinformatics; TNO); Rajasekart, Vanathi (Student TU Delft); Kayhan, O.S. (TU Delft Pattern Recognition and Bioinformatics); Inel, O. (TU Delft Web Information Systems; University of Zürich); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","","2022","The localization quality of automatic object detectors is typically evaluated by the Intersection over Union (IoU) score. In this work, we show that humans have a different view on localization quality. To evaluate this, we conduct a survey with more than 70 participants. Results show that for localization errors with the exact same IoU score, humans might not consider that these errors are equal, and express a preference. Our work is the first to evaluate IoU with humans and makes it clear that relying on IoU scores alone to evaluate localization errors might not be sufficient.","object detection; IoU; human preference","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pattern Recognition and Bioinformatics","","",""
"uuid:1dec8ae3-f12e-4cfe-b3b0-5932eb39f2ed","http://resolver.tudelft.nl/uuid:1dec8ae3-f12e-4cfe-b3b0-5932eb39f2ed","LQR Optimal Control of Four-steering Vehicle Based on Particle Swarm Optimization Algorithm","Zhu, Songfeng (Beijing Institute of Technology); Li, Xueyuan (Beijing Institute of Technology); Qu, Xinyi (Inner Mongolia First Machinery Group); Liu, Qi (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology)","","2022","This paper proposes a linear quadratic controller based on particle swarm algorithm for the rear wheel control of four-wheel steering vehicle. Particle swarm optimization with fitness functions is used to optimize the coefficients of the weight matrix offline. The fuzzy rules following the controller is used if the road condition is terrible. The simulation results show that the LQR control model based on particle swarm optimization makes the trajectory tracking of the vehicle better and the side slip angle of the vehicle lower. It can be proved that the controller has positive effect on handling stability of the vehicle and safety of drivers.","fuzzy controller; LQR; particle swarm optimization; side slip angle","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:95ea413d-d5b1-4cb2-a650-828cb106dbbd","http://resolver.tudelft.nl/uuid:95ea413d-d5b1-4cb2-a650-828cb106dbbd","Design of a Flying V Subsonic Transport","Benad, J. (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2022","Ten years after the initial sketches of the Flying V were made, we intend to give an overview of the development of this concept until the present day. The Flying V is a new concept for an efficient aircraft. It is a pure flying wing which includes a V-shaped passenger cabin. In this work, we describe how the concept developed - from the first drawings, the reasoning behind the concept and the design of the planform geometry, to the introduction of a structural solution for the pressurized cabin, to the design of a family of aircraft, detailed investigations of handling qualities and the interior layout. As of today, the concept promises a 20% lower fuel burn than a conventional reference aircraft on the same mission with the same capacity and the same wingspan, assuming current manufacturing techniques and current engine technology. Research activities on the Flying V have been continuously increasing over the past decade. Projects are ongoing in fields such as aerodynamics, structures and manufacturing, flight dynamics and control, the environmental impact of the design, aircraft integration, noise, and airport operation.","flying wing; unconventional aircraft configuration","en","conference paper","","","","","","ISSN 2958-4647","","","","","Flight Performance and Propulsion","","",""
"uuid:4ea7e75d-3cc5-4e5a-b051-168c6f80f4b5","http://resolver.tudelft.nl/uuid:4ea7e75d-3cc5-4e5a-b051-168c6f80f4b5","FlexConv: Continuous Kernel Convolutions with Differentiable Kernel Sizes","Romero, David W. (Vrije Universiteit Amsterdam); Bruintjes, R. (TU Delft Pattern Recognition and Bioinformatics); Bekkers, Erik J. (Universiteit van Amsterdam); Tomczak, Jakub M. (Vrije Universiteit Amsterdam); Hoogendoorn, Mark (Vrije Universiteit Amsterdam); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","","2022","When designing Convolutional Neural Networks (CNNs), one must select the size of the convolutional kernels before training. Recent works show CNNs benefit from different kernel sizes at different layers, but exploring all possible combinations is unfeasible in practice. A more efficient approach is to learn the kernel size during training. However, existing works that learn the kernel size have a limited bandwidth. These approaches scale kernels by dilation, and thus the detail they can describe is limited. In this work, we propose FlexConv, a novel convolutional operation with which high bandwidth convolutional kernels of learnable kernel size can be learned at a fixed parameter cost. FlexNets model long-term dependencies without the use of pooling, achieve state-of-the-art performance on several sequential datasets, outperform recent works with learned kernel sizes, and are competitive with much deeper ResNets on image benchmark datasets. Additionally, FlexNets can be deployed at higher resolutions than those seen during training. To avoid aliasing, we propose a novel kernel parameterization with which the frequency of the kernels can be analytically controlled. Our novel kernel parameterization shows higher descriptive power and faster convergence speed than existing parameterizations. This leads to important improvements in classification accuracy.","","en","conference paper","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:248b2da3-cea9-4fb1-8266-4e987c6a5140","http://resolver.tudelft.nl/uuid:248b2da3-cea9-4fb1-8266-4e987c6a5140","Doplor Sleep: Monitoring Hospital Soundscapes for Better Sleep Hygiene","Ozcan Vieira, E. (TU Delft Design Aesthetics); Liu, Y. (Student TU Delft); Vroon, Jered (TU Delft Internet of Things); Kamphuis, Daan (Reinier de Graaf Gasthuis); Spagnol, S. (TU Delft Design Aesthetics)","","2022","Good sleep is conducive to the recovery process of hospital patients - and yet, in many wards, sleep duration and quality can often be suboptimal, in part due to modifiable hospital-related sounds and noises. At the neurological ward of the Reinier de Graaf hospital in Delft, the Netherlands, we developed and evaluated a prototype information exchange system to raise awareness of specific sounds as disturbing patients' sleep. The system both classifies different relevant sound events and tracks sleep quality (using a Fitbit device). This information is then visualized for patients and staff to present the influence of the soundscape on patients' sleep hygiene in a friendly and comprehensive way. We discuss the design process, including a context study and various evaluations of the technology, interface, and created affordances. Our initial findings indicate that visualizing hospital soundscapes may, indeed, support both patients and staff in their efforts towards better sleep hygiene.","data visualization; design for healthcare; Sound-driven design","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Design Aesthetics","","",""
"uuid:78423276-36b8-4db0-9eea-5facac8851ed","http://resolver.tudelft.nl/uuid:78423276-36b8-4db0-9eea-5facac8851ed","Behind Closed Doors: Process-Level Rootkit Attacks in Cyber-Physical Microgrid Systems","Rath, Suman (Oklahoma State University); Zografopoulos, Ioannis (King Abdullah University of Science and Technology (KAUST)); Vergara Barrios, P.P. (TU Delft Intelligent Electrical Power Grids); Nikolaidis, Vassilis C. (Democritus University of Thrace); Konstantinou, Charalambos (King Abdullah University of Science and Technology (KAUST))","","2022","Embedded controllers, sensors, actuators, advanced metering infrastructure, etc. are cornerstone components of cyber-physical energy systems such as microgrids (MGs). Harnessing their monitoring and control functionalities, sophisticated schemes enhancing MG stability can be deployed. However, the deployment of ‘smart’ assets increases the threat surface. Power systems possess mechanisms capable of detecting abnormal operations. Furthermore, the lack of sophistication in attack strategies can render them detectable since they blindly violate power system semantics. On the other hand, the recent increase of process-aware rootkits that can attain persistence and compromise operations in undetectable ways requires special attention. In this work, we investigate the steps followed by stealthy rootkits at the process level of control systems pre- and post-compromise. We investigate the rootkits' precompromise stage involving the deployment to multiple system locations and aggregation of system-specific information to build a neural network-based virtual data-driven model (VDDM) of the system. Then, during the weaponization phase, we demonstrate how the VDDM measurement predictions are paramount, first to orchestrate crippling attacks from multiple system standpoints, maximizing the impact, and second, impede detection blinding system operator situational awareness.","Rootkit; cyber-physical microgrid; intelligent malware; data-driven prediction; virtual twin","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Intelligent Electrical Power Grids","","",""
"uuid:4fba194c-76b3-4c8b-bd3d-ba0279e9726d","http://resolver.tudelft.nl/uuid:4fba194c-76b3-4c8b-bd3d-ba0279e9726d","Comparative Analysis of a Detailed and an Average VARC DCCB model in MTDC Systems","Shetgaonkar, A.D. (TU Delft Intelligent Electrical Power Grids); Liu, Siyuan (Xian Jiaotong University); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2022","Direct current circuit breaker (DC CB) is the key component to provide reliable operation of Multi-terminal Direct Current (MTDC) system. Fast, effective and accurate DC CB models are needed for system-level studies. Due to large number of components in the DC CB, its detailed modeling is needed in order to simulate current interruption process correctly. However, the simulation time may be longer depending on the network complexity. This paper proposes an average model which is compared to a detailed model of a Voltage-source-converter resonant current (VARC) DC CB in an MTDC system in terms of its performance and computation time for two typical simulation cases. The average and the detailed model are modelled and simulated in PSCAD/EMTDC environment. An accurate response of the average model during fast transient event is presented, showing additional computational advantage.","Average model; VARC DC circuit breaker; HVDC; MTDC","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-27","","","Intelligent Electrical Power Grids","","",""
"uuid:63d3ba91-e35a-4c52-9e3a-06d7110bc49d","http://resolver.tudelft.nl/uuid:63d3ba91-e35a-4c52-9e3a-06d7110bc49d","Computation of Time Domain Scattering Parameters Through the Numerical Inversion of the Laplace Transform","Loreto, Fabrizio (University of L'Aquila); Pettanice, Giuseppe (University of L'Aquila); Romano, Daniele (University of L'Aquila); Stumpf, Martin (Brno University of Technology); Lager, I.E. (TU Delft Electrical Engineering Education); Antonini, Giulio (University of L'Aquila)","","2022","Time-domain (TD) methods for the solution of Maxwell's equations are particularly appealing for their ability to provide the overall characteristics of an electrical system in a single simulation run. In many situations, such TD methods require computing the system's impulse response and using it in a convolution-based solver. In this work, we propose the evaluation of the scattering-parameters-type impulse response of partial element equivalent circuit (PEEC) models by firstly computing the scattering parameters pertaining to a unit-step excitation via the Numerical Inversion of Laplace Transform (NILT) technique, followed by recovering the corresponding impulse response. The accuracy and effectiveness of the advocated approach is validated by means of numerical experiments comparing its performance with that of more standard methods.","Numerical Inversion of Laplace Transform; Partial Element Equivalent Circuit method; scattering parameters","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electrical Engineering Education","","",""
"uuid:fa062eb2-2667-4703-b2d5-bcf9d625d8e7","http://resolver.tudelft.nl/uuid:fa062eb2-2667-4703-b2d5-bcf9d625d8e7","Excitation in Time-Domain Analyses: A Pivotal Element for Accurate Simulations","Gu, Junhong (Student TU Delft); van Krieken, Roy (Student TU Delft); Stumpf, Martin (Brno University of Technology); Lager, I.E. (TU Delft Electrical Engineering Education)","","2022","A simple and efficacious modality of introducing causal excitations in CST Studio Suite® time-domain simulations is described. It makes use of (concatenations of) so-called discrete ports that are shown to accurately substitute Dirichlet boundary conditions and replicate dipole excitations. Numerical experiments cogently demonstrate the approach's exceptional replication accuracy and computational effectiveness.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electrical Engineering Education","","",""
"uuid:a994b891-03ad-45bc-95f6-4a0088a3de8c","http://resolver.tudelft.nl/uuid:a994b891-03ad-45bc-95f6-4a0088a3de8c","Biomimicy Approach Design of Petrol Stations with Integrating Renewable Energy in the UAE","Abu-Raed, A.S.I. (TU Delft Heritage & Technology; American University of Ras Al Khaimah); Ahmed, Alaa (American University of Ras Al Khaimah); Abdelhamid, Ammar (American University of Ras Al Khaimah)","Chias, Pilar (editor); Hernandez, Santiago (editor)","2022","Highway petrol stations are located outside the main cities in the UAE. Connecting these remote petrol stations to power plants requires trenching for poles or underground cables as well as countless hours of work. The integration of PV solar panels and smart materials such as chromogenic glazing into the design and structure reduces the cost and the environmental impact. Even though solar energy is one of the cheapest sources of energy worldwide, it is challenging to achieve a design that reflects the identity of the city. Modern technology makes it difficult for designers and architects to balance themes such as sustainability, formation, and identity. The goal of the research is to explore the potential of using smart technologies to improve performance and to demonstrate, simultaneously, the most suitable design for optimizing energy for highway petrol stations in the UAE. As an example, the national Ghaf tree of the UAE is a cultural and historical symbol of stability and peace that can withstand harsh environment. This study introduces a reference design for the petrol station. A three-dimensional model using Autodesk Revit and an energy model using the Autodesk Insight program is built for the reference case. Several designs for the petrol station with different surface areas, shape, orientation, and window-to-wall ratio are studied to optimize the energy consumption. Variables such as the location of the oasis, its area, and its services will remain constant. The study concludes that the dynamic characteristics of smart glazing materials can combine not only one objective sustainable design quality, but more than one, including energy efficiency requirements to harmoniously integrate with the surrounding environment","building orientation, UAE; energy efficiency; optimized energy","en","conference paper","WITPress","","","","","","","","","","Heritage & Technology","","",""
"uuid:e5aa3034-965f-4cab-8d6a-e6f2a8e3ce5f","http://resolver.tudelft.nl/uuid:e5aa3034-965f-4cab-8d6a-e6f2a8e3ce5f","Residual stress measurement of driven precast piles using distributed fibre optic sensors","Duffy, K. (TU Delft Geo-engineering); Gavin, Kenneth (TU Delft Geo-engineering); de Lange, Dirk (Deltares); Korff, M. (TU Delft Geo-engineering)","","2022","Stresses generated from pile installation are a critical component in understanding pile behaviour. These are known as residual stresses and in Delft, the Netherlands, the response of three driven precast piles founded in sand was measured using distributed fibre optic sensing as part of a series of full-scale static load tests. The instrumentation set-up and analysis is discussed in this paper, highlighting the uncertainties with developing an appropriate residual stress distribution and in particular, how instrumentation selection, positioning and calibration can influence the interpretation of the residual stress profiles. The resulting profiles shows a substantial development of residual stress in the pile, an important consideration to take into account when preparing and analysing full-scale load tests on driven precast piles.
τ ≈ 910, and with an optimized viscous-scaled sizing and layout of the discs following the direct numerical simulation (DNS) study of Ricco & Hahn (2013). Planar PIV in the streamwise-wall-normal plane over the spanwise centre of the discs revealed a reduction of the in-plane Reynolds stresses, suggesting a suppression of the near-wall turbulence auto-generation process. Wall-parallel planes of velocity data at a height of 70 viscous units above the wall revealed two distinct types of streamwise-oriented regions, comprising low- and high-momentum pathways. These spanwise alternating regions were also captured using the stereo-PIV measurements downstream of the disc-array. It was observed that the mean boundary layer flow is pulled closer to the wall in the disc center, resulting in a higher mean velocity and a less intense streamwise Reynolds stress for a given wall-normal height. With this effect being maximum in the disc center, while being absent between the discs, this type of flow manipulation could be optimized in terms of turbulence suppression (and potentially in terms of friction drag reduction at high Reynolds numbers), by considering larger discs.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Aerodynamics","","",""
"uuid:e3404b46-7936-4297-b2bb-319381fe8c53","http://resolver.tudelft.nl/uuid:e3404b46-7936-4297-b2bb-319381fe8c53","Wall-pressure-velocity transfer kernel in high Reynolds number turbulent channel flows","Baars, W.J. (TU Delft Aerodynamics); Lee, Myoungkyu (University of South Alabama)","","2022","Since wall-pressure fluctuations would form a practically-robust input to a real-time active controller of wall-bounded turbulence, it is of high practical interest to study the scaling behavior of the wall-pressure-velocity coupling. This work investigates the coupling of the wall-pressure fluctuations with the streamwise and wall-normal velocity fluctuations. Both the gain (or coherence) and phase spectra of the wall-pressure-velocity transfer kernel are assessed using a comprehensive database, available from direct numerical simulations of turbulent channel flow. With data spanning a decade in friction Reynolds number Reτ ∼ 550-5200, a 1D analysis (in terms of the streamwise wavelength, λx) reveals that the streamwise velocity and wall-pressure are most strongly coupled at a self-similar wall-scaling of λx/y ≈ 14. For the wall-normal velocity component, the strongest coupling appears at approximately half this ratio (λx/y ≈ 8.5). An analysis of the kernel's phase demonstrates that both the coherent fluctuations of streamwise and wall-normal velocity obey a forward-leaning inclination angle of α ≈ 30◦. When extending the analysis to 2D (as a function of λx and λz), the peak-coherence for pw and u still resides close to λx/y ≈ 14 and is reasonably symmetric around λx/λz = 2.3. The 2D coherence for pw and v peaks around λx/λz = 1.0. Both the 2D coherence for pw and u, and pw and v, adhere to a wall-scaling with y. Scaling behaviours identified in this work will aid the efficacy of real-time controllers, by for instance the implementation of data-derived FIR filters to only control velocity structures that are captured through wall-pressure measurements.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Aerodynamics","","",""
"uuid:b0074c26-8f70-4e22-a9c1-6ebea4ceac34","http://resolver.tudelft.nl/uuid:b0074c26-8f70-4e22-a9c1-6ebea4ceac34","Direct numerical simulation of forced thermal convection in square ducts","Modesti, D. (TU Delft Aerodynamics); Pirozzoli, Sergio (Sapienza University of Rome)","","2022","We carry out direct numerical simulation (DNS) of flow in a turbulent square duct by focusing on heat transfer effects, considering the case of unit Prandtl number. Reynolds numbers up to Reτ ≈ 2000 are considered which are much higher than in previous studies, and which yield clear scale separation between inner- and outer-layer dynamics. Close similarity between the behavior of the temperature and the streamwise velocity fields is confirmed as in previous studies related to plane channels and pipes. We find good agreement between the Nusselt number of square duct and circular pipe flow when the Reynolds number based on the hydraulic diameter is used, thus corroborating the common engineering practice. Popular engineering correlations for the heat transfer reveal deviations up to 5% with respect to DNS data, which are nicely fitted by a power law.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Aerodynamics","","",""
"uuid:b071b6f0-7888-4f74-ac56-9864f457886f","http://resolver.tudelft.nl/uuid:b071b6f0-7888-4f74-ac56-9864f457886f","Is RISC-V ready for Space? A Security Perspective","Cassano, Luca (Politecnico di Milano); Di Mascio, S. (TU Delft Space Systems Egineering); Palumbo, Alessandro (University of Rome Tor Vergata); Menicucci, A. (TU Delft Space Systems Egineering); Furano, Gianluca (European Space Agency (ESA)); Bianchi, Giuseppe (University of Rome Tor Vergata); Ottavi, Marco (University of Rome Tor Vergata; University of Twente)","Cassano, Luca (editor); Chakravarty, Sreejit (editor); Bosio, Alberto (editor)","2022","Integrated circuits employed in space applications generally have very low-volume production and high performance requirements. Therefore, the adoption of Commercial-Off-The-Shelf (COTS) components and Third Party Intellectual Property cores (3PIPs) is of extreme interest to make system design, implementation and deployment cost-effective and viable w.r.t. performance. On the other hand, this design paradigm exposes the system to a number of security threats both at design-time and at runtime. In this paper, we discuss the security issues related to space applications mainly focusing on threats that come from the adoption of the well-known RISCV microprocessor. We highlight how Hardware Trojan horses (HTHs) and Microarchitectural Side-Channel Attacks (MSCAs) may compromise the overall system operation by either altering its nominal behavior or by stealing secret information. We discuss the security extensions provided by the RISC-V architecture as well as their limitations. The paper is concluded by an overview of the issues that are still open regarding the security of such microprocessor in the space domain.","Hardware Security; Hardware Trojan Horses; Microarchitectural Side-Channel Attacks; Microprocessors; RISC-V; Space Applications.","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Space Systems Egineering","","",""
"uuid:8e06671c-f311-4134-a353-7dfd9af57cea","http://resolver.tudelft.nl/uuid:8e06671c-f311-4134-a353-7dfd9af57cea","Tollmien-schlichting waves over forward-facing steps: an experimental and numerical study","Barahona Lopez, M. (TU Delft Aerodynamics); Rius Vidales, A.F. (TU Delft Aerodynamics); Tocci, F. (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Ziegler, P. (University of Stuttgart); Hein, S. (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Kotsonis, M. (TU Delft Aerodynamics)","","2022","This work presents an experimental and numerical investigation jointly conducted by TU Delft and DLR on Tollmien-Schlichting (TS) waves interaction with a Forward-Facing Step (FFS). Experiments are conducted at the TU Delft low-turbulence anechoic wind tunnel on an unswept flat plate model. Single-frequency disturbances are introduced using controlled acoustic excitation. The temporal response of the flow in the vicinity of the step is measured using Hot-Wire Anemometry (HWA). In addition, the global effect of the step on laminar-turbulent transition is captured using Infrared Thermography (IR). Two-dimensional (2-D) Direct Numerical Simulations (DNS) performed at DLR provide detailed information at the step. Experimental and DNS results in clean and step case conditions present very good agreement. Both methods predict large distortion of the TS waves downstream of the step, where DNS results present different growth trends between |û| and |(equation presented)| components of the TS waves. Furthermore, negative and positive regions of the production term are observed to correlate with streamwise positions where the disturbances appear tilted in and against the mean shear, respectively. These findings point towards the presence of different growth mechanisms triggered by the step which could modify the level of amplification of disturbances far downstream.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Aerodynamics","","",""
"uuid:71484c21-c023-4940-ab63-acce8f5e0389","http://resolver.tudelft.nl/uuid:71484c21-c023-4940-ab63-acce8f5e0389","Why consumers have contamination concerns in refurbished personal care products and how to reduce them via design","Wallner, T.S. (TU Delft Marketing and Consumer Research); Magnier, L.B.M. (TU Delft Marketing and Consumer Research); Mugge, R. (TU Delft Marketing and Consumer Research)","Lockton, D. (editor); Lenzi, S.L. (editor); Hekkert, P.P.M. (editor); Oak, A. (editor); Sadaba, J. (editor); , P.A. Lloyd (editor)","2022","Refurbishment is a strategy to extend products’ lifetimes. However, refurbished products that are used intimately, such as personal care products, feel uncomfortable to use for consumers because they are perceived to be contaminated. In fifteen in-depth interviews, we explored why consumers have contamination concerns regarding a refurbished Intense-Pulsed-Light device and how to decrease them. Participants expected refurbished personal care products with wear-and-tear to malfunction, to have a shorter product lifetime and to be contaminated. Participants’ inferences differed depending on the location and amount of wear-and-tear. To keep refurbished personal care products at their highest value, we suggest five design strategies to minimize contamination concerns by designing a product that smells and looks hygienic after multiple lifecycles: 1. Using color to evoking associations with hygiene, 2. making wear-and-tear less visible, 3. using smooth materials, 4. minimizing the number of split lines, and 5. a clean product smell.","refurbishment; personal care products; contamination; product appearance","en","conference paper","Design Research Society","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:c5d969cb-b3ae-410d-a344-f25016771a42","http://resolver.tudelft.nl/uuid:c5d969cb-b3ae-410d-a344-f25016771a42","Appraisal and mathematical properties of fragility analysis methods","Yi, S. (UC Berkeley); Papakonstantinou, K. G. (The Pennsylvania State University); Andriotis, C. (TU Delft Structural Design & Mechanics); Song, J. (Seoul National University)","Li, J. (editor); Spanos, Pol D. (editor); Chen, J.B. (editor); Peng, Y.B. (editor)","2022","Fragility analysis aims to compute the probabilities of a system exceeding certain damage conditions given different levels of hazard intensity. Fragility analysis is therefore a key process of performance-based earthquake engineering, with a number of approaches developed and widely recognized, including Incremental Dynamic Analysis (IDA), Multiple Stripe Analysis (MSA), and cloud analysis. Additionally, extended fragility analysis has recently been shown to possess important attributes of mathematical consistency and extensibility. This work provides a critical review of the different fragility methods by explaining the underlying probabilistic models and assumptions, as well as their connections to the extended fragility method. It is proven that IDA-based fragility curves provide an upper bound of the actual fragility, and cloud analysis manifests suboptimality issues arising from its underlying assumptions. MSA is identified to be a probit-linked Bernoulli regression model, similar to the one proposed by Shinozuka and coworkers. The latter, in turn, is shown to be a limiting subcase of the generalized linear model framework introduced within the extended fragility analysis. The paper first presents a simple case of one intensity measure and two damage condition states, and the discussion is subsequently extended to more general cases of multiple intensity measures and damage states. The discussed attributes are demonstrated in several numerical applications. Overall, this work aims to provide new insights on fragility methods, enabling efficient, accurate, and consistent estimations of structural performance, as well as promoting new research directions in earthquake engineering and other related fields.","fragility analysis; extended fragility analysis; incremental dynamic analysis; multiple stripe analysis; cloud analysis; generalized linear models","en","conference paper","","","","","","","","","","","Structural Design & Mechanics","","",""
"uuid:eeeeee0a-5455-4ff4-8abb-efa8ef566639","http://resolver.tudelft.nl/uuid:eeeeee0a-5455-4ff4-8abb-efa8ef566639","Brede welvaart heeft ethische blik nodig: Bijdrage aan het Colloquium Vervoersplanologisch Speurwerk 13 en 14 oktober 2022, Utrecht","de Boer, L.E. (TU Delft Transport and Logistics); Mouter, N. (TU Delft Transport and Logistics); de Vries, M.O. (TU Delft Transport and Logistics)","","2022","Brede welvaart betekent breder naar vooruitgang kijken dan in termen van economische groei of – in het mobiliteitsdomein – capaciteitsknelpunten alleen. De behoefte aan een brede welvaart benadering resoneert in toenemende mate bij professionals, beleidsmakers en politici. Het oude verhaal is als een jas die om meerdere redenen steeds minder goed past. Maar er moet tegelijkertijd nog een grote slag worden gemaakt om een nieuw breed-welvaartsverhaal zinvol toe te kunnen passen. Het kiezen van een set indicatoren is een eerste stap, die erkent dat het streven naar brede welvaart of ‘het goede leven’ niet eendimensionaal kan zijn. In dit artikel bepleiten wij dat het echter niet de laatste stap kan zijn. Sturen op brede welvaart vraagt ook om uitspraken over hoe hoog of laag de scores op indicatoren mogen zijn en om informatie die helpt bij het maken van afwegingen. Want er zullen altijd dilemma’s zijn, al is het maar omdat in mobiliteitsbeleid sneller of makkelijker reizen voor de één vrijwel altijd samengaat met meer emissies, meer hinder, minder bereikbaarheid of minder veiligheid voor de ander. De vraag hoe dergelijke afwegingen te rechtvaardigen zijn is een belangrijke om te stellen. Om het brede welvaart debat verder te brengen moeten we daarom terug naar de basis. Wat zijn ook alweer de filosofische perspectieven op het goede leven en ‘doing the right thing’? Aan de hand van de drie klassieke normatief ethische stromingen – te weten het utilitarisme, de deontologie en de deugdenethiek – bespreken we verschillende antwoorden op deze vraag. We laten ook zien hoe verschillende instrumenten om beleidsopties te evalueren voortkomen uit bepaalde ethische stromingen en wat hun tekortkomingen zijn. We beargumenteren dat het nieuw ontwikkelde instrument de Participatieve Waarde Evaluatie (PWE) de potentie in zich heeft om recht te doen aan alle drie de stromingen en verrijkende inzichten biedt die het brede welvaart debat verder brengen. Om dit te illustreren eindigen we met een voorstel voor een PWE-raadpleging over de invulling van de mobiliteitstransitie. Met de resultaten hiervan kan de politiek kennis nemen van wat Nederlanders denken over de grote strategische keuzen en wat voor hen een toekomstbestendig en rechtvaardig vervoerssysteem is dat de brede welvaart bevordert.","","en","conference paper","","","","","","","","","","","Transport and Logistics","","",""
"uuid:8dd539e1-c38d-48bb-b926-d6324e9d2768","http://resolver.tudelft.nl/uuid:8dd539e1-c38d-48bb-b926-d6324e9d2768","Nalanda: a socio-technical graph platform for building software analytics tools at enterprise scale","Maddila, C.S. (Microsoft Research); Shanbhogue, Suhas (Microsoft Research); Agrawal, Apoorva (Microsoft Research); Zimmermann, Thomas (Microsoft Research); Bansal, Chetan (Microsoft Research); Forsgren, Nicole (Microsoft Research); Agrawal, Divyanshu (Microsoft Research); Herzig, Kim (Microsoft); van Deursen, A. (TU Delft Software Technology)","Roychoudhury, Abhik (editor); Cadar, Cristian (editor); Kim, Miryung (editor)","2022","Software development is information-dense knowledge work that requires collaboration with other developers and awareness of artifacts such as work items, pull requests, and file changes. With the speed of development increasing, information overload and information discovery are challenges for people developing and maintaining these systems. Finding information about similar code changes and experts is difficult for software engineers, especially when they work in large software systems or have just recently joined a project. In this paper, we build a large scale data platform named Nalanda platform to address the challenges of information overload and discovery. Nalanda contains two subsystems: (1) a large scale socio-technical graph system, named Nalanda graph system, and (2) a large scale index system, named Nalanda index system that aims at satisfying the information needs of software developers. To show the versatility of the Nalanda platform, we built two applications: (1) a software analytics application with a news feed named MyNalanda that has Daily Active Users (DAU) of 290 and Monthly Active Users (MAU) of 590, and (2) a recommendation system for related work items and pull requests that accomplished similar tasks (artifact recommendation) and a recommendation system for subject matter experts (expert recommendation), augmented by the Nalanda socio-technical graph. Initial studies of the two applications found that developers and engineering managers are favorable toward continued use of the news feed application for information discovery. The studies also found that developers agreed that a system like Nalanda artifact and expert recommendation application could reduce the time spent and the number of places needed to visit to find information.","Collaborative software development; Empirical study; Recommender Systems for Software Engineering; Socio-Technical Graphs","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","Software Technology","","","",""
"uuid:9fed7668-b963-4d25-b215-849862a6c78e","http://resolver.tudelft.nl/uuid:9fed7668-b963-4d25-b215-849862a6c78e","Flap-type wave energy converters: From accelerated testing to fault detection","Saeidtehrani, S. (TU Delft Offshore Engineering); Cabboi, A. (TU Delft Mechanics and Physics of Structures); Lavidas, G. (TU Delft Offshore Engineering); Metrikine, A. (TU Delft Offshore Engineering; TU Delft Engineering Structures)","Guedes Soares, Carlos (editor)","2022","Faults in complex systems such as Wave Energy Converters (WECs) are inevitable. WECs are expected to work in harsh environments to produce more power which increases the possibility of major failures. Increasing the reliability of WECs calls for the development of early Fault Detection (FD) algorithms which needs understanding of the enormous modes of failures to prevent the costly downtime of the device. Through this work, a methodology is provided to simulate the effect of malfunction with various levels of complexity dependent on time and velocity of the WEC. The fault signals are applied to an experimentally validated model of an array of flap-type WECs. The assumed failures range from the delayed responses to the complete blocked hinge. It is shown that even a signal of soft fault with 7% change in the response amplitude can change the period of the system. These changes are investigated for the development of a general FD algorithm for WECs.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Engineering Structures","Offshore Engineering","","",""
"uuid:7bba55f7-3927-4af1-bd68-ea197237e586","http://resolver.tudelft.nl/uuid:7bba55f7-3927-4af1-bd68-ea197237e586","Wave energy and the European transmission system","Delgado Elizundia, F. (TU Delft Hydraulic Engineering); Lavidas, G. (TU Delft Offshore Engineering); Blok, K. (TU Delft Energie and Industrie)","Guedes Soares, Carlos (editor)","2022","Many questions remain regarding the exploitation of wave energy and its interaction with our energy systems. Particularly about their likely role in future multi-renewable power systems, given the resource’s abundance, predictability, and high energy density. The objective of this paper is to present the expansion of the renewable energy capabilities of an open-source dynamic energy system model with novel wave energy converters subroutines paired with high-resolution metocean from the ERA5. The expansion allows for the model to assess the wave energy technical resource across Europe’s coastlines; Estimate the renewable wave energy capacity potential; Derive renewable wave generation availability time series of different devices; And consider wave energy technologies in a power flow optimization of the European transmission grid. It establishes the basis to perform future exploratory investigations of wave energy converters under a high renewable European electricity grid.","","en","conference paper","CRC Press","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Hydraulic Engineering","Offshore Engineering","","",""
"uuid:6336edb9-0015-41f1-b10c-8637e5b4755b","http://resolver.tudelft.nl/uuid:6336edb9-0015-41f1-b10c-8637e5b4755b","A comparative study on BEM solvers for Wave Energy Converters","Raghavan, V. (TU Delft Offshore Engineering); Lavidas, G. (TU Delft Offshore Engineering); Metrikine, A. (TU Delft Offshore Engineering); Mantadakis, Nikolaos (Aristotle University of Thessaloniki); Loukogeorgaki, Eva (Aristotle University of Thessaloniki)","Guedes Soares, Carlos (editor)","2022","Wave Energy Converters (WECs) are expected to significantly contribute to the energy transition; however, this depends on their interactions with the resource. Calculating the power generated by WECs depends heavily on the accurate modelling of wave-structure interactions. The Boundary Element Method (BEM) based on the potential flow theory has yielded accurate results at low computational costs when compared to complex Computational Fluid Dynamics (CFD) methods. Hydrodynamic Analysis of Marine Structures (HAMS), a recently developed open-source BEM frequency domain solver, originally was created for large marine structures. To date it has only been applied to single WECs with spherical/cylindrical geometries. HAMS offers unique advantages through its efficient removal of irregular frequencies and lower computational costs. This paper aims to compare hydrodynamic coefficients, exciting forces, Response Amplitude Operators (RAOs) and computational costs between HAMS,WAMIT, and NEMOH for a cylindrical point absorber and an oscillating surge WEC, extending the currently limited WECs application in HAMS.","","en","conference paper","CRC Press","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Offshore Engineering","","",""
"uuid:b7a82b45-e711-4dc0-8bdb-d120113ec982","http://resolver.tudelft.nl/uuid:b7a82b45-e711-4dc0-8bdb-d120113ec982","Impacts of physical calibration of a spectral wave model and effects of using different temporal wind inputs","Lavidas, G. (TU Delft Offshore Engineering); Venugopal, Vengatesan (University of Edinburgh)","Guedes Soares, C. (editor)","2022","Spectral wave modelling can reduce uncertainties in the estimation of wave energy resource assessment, converter design, extreme value analysis, etc. In spectral models, wave growth is represented with different approaches, resulting in wave resource assessments having large differences especially at high wave values. In this paper a modified version of the North Sea Wave Database is used to quantify the impact of wind temporal fidelity on the wind growth components. The Simulating WAves Nearshore (SWAN) model has been modified, with two different wind inputs used from the European Centre for Medium-Range Weather Forecasts (ECMWF). Results are compared with in-situ measurements an inter-comparison for 20 years (1980-1999). Differences are found on mean and maxima values of wave parameters, with little changes in directionality. However, higher temporal resolution of the wind does not mean always a better hindcast, in fact attention to the calibration of wind-wave growth interactions and whitecaps leads to similar results. Finally, the high fidelity hindcasts are compared, identifying limitations and opportunities for improvements in wave energy assessments.","","en","conference paper","CRC Press","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Offshore Engineering","","",""
"uuid:7a9d5900-943c-4bcd-a570-e69bd88d13d3","http://resolver.tudelft.nl/uuid:7a9d5900-943c-4bcd-a570-e69bd88d13d3","Metaphors for Designers Working with AI","Murray-Rust, D.S. (TU Delft Human Information Communication Design); Nicenboim, I. (TU Delft Human Information Communication Design); Lockton, D (Eindhoven University of Technology)","","2022","In this paper, we explore the use of metaphors for people working with artificial intelligence, in particular those that support designers in thinking about the creation of AI systems. Metaphors both illuminate and hide, simplifying and connecting to existing knowledge, centring particular ideas, marginalising others, and shaping fields of practice. The practices of machine learning and artificial intelligence draw heavily on metaphors, whether black boxes, or the idea of learn-ing and training, but at the edges of the field, as design engages with computational practices, it is not always apparent which terms are used metaphorically, and which associations can be safely drawn on. In this paper, we look at some of the ways metaphors are deployed around machine learning and ask about where they might lead us astray. We then develop some qualities of useful metaphors, and finally explore a small collection of helpful metaphors and practices that illuminate different aspects of machine learning in a way that can support design thinking.","metaphors; Machine Learning; conceptual foundations; computer science provocations","en","conference paper","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:ac8eb33b-d945-45bd-8c47-9b1480cc94f0","http://resolver.tudelft.nl/uuid:ac8eb33b-d945-45bd-8c47-9b1480cc94f0","Numerical Investigation of Multiple Scattering and Mode-Converted Shear Waves Caused by Temporal Bone in Transcranial Photoacoustic Imaging","Hosseini, Fatemeh (Tarbiat Modares University); Mozaffarzadeh, M. (TU Delft ImPhys/Medical Imaging); Mahlooiifar, Ali (Tarbiat Modares University); Verweij, M.D. (TU Delft ImPhys/Medical Imaging); de Jong, N. (TU Delft ImPhys/Medical Imaging)","","2022","In recent years, transcranial photoacoustic (TPA) imaging has become a popular modality for diagnosis of brain disorders. However, due to the presence of skull, TPA images are strongly degraded. Acoustically, this degradation is mainly categorized into the phase aberration, mode-converted shear waves, and multiple scattering. Previous studies numerically investigated the effects of mode-converted shear waves and multiple scattering on TPA images while the phase aberration caused by the skull was ignored and a conventional delay-and-sum method was employed for reconstructing TPA images. In this paper, we investigate these effects while a refraction-corrected image reconstruction approach is used to form TPA images. This approach enables separating the effects of phase aberration, mode-converted shear wave and multiple scattering. A realistic human temporal bone based on a MicroCT was used in the numerical model. In average for all the absorbers, the power of the artifacts caused by the mode-converted shear wave and multiple scattering are -13.7 dB and -20.1 dB when the refraction is corrected during image formation, respectively. These values were -7.9 and -18.8 if the conventional reconstruction is used. Accounting for phase aberration enables accurate quantification of the effects of the mode-converted shear waves and multiple scattering, which is necessary for evaluating the methods developed for degrading these effects.","Mode-converted shear waves; Multiple scattering; Phase aberration correction; Temporal bone; Transcranial photoacoustic imaging","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Medical Imaging","","",""
"uuid:696e5ee9-db20-4e93-b9da-29c2e86d4643","http://resolver.tudelft.nl/uuid:696e5ee9-db20-4e93-b9da-29c2e86d4643","The near-wake of discrete roughness elements on swept wings: Tomographic PTV measurements","Zoppini, G. (TU Delft Aerodynamics); Michelis, Theodorus (TU Delft Aerodynamics); Ragni, D. (TU Delft Wind Energy); Kotsonis, M. (TU Delft Aerodynamics)","","2022","This work presents the first reported experimental characterization of the flow field in the direct vicinity of discrete roughness elements (DRE), in a swept wing boundary layer. High magnification tomographic Particle Tracking Velocimetry (3D-PTV) measurements are used to acquire time-averaged velocity and standard deviation fields in a 3D volume directly aft of the DRE elements. The collected data detail the near-element flow topology, providing information on the developing wake and emerging flow structures, their organization and amplitude evolution. A transient growth behaviour is identified in the element wake, while onset and growth of crossflow instabilities is observed further downstream. As such, the near element flow is confirmed to be a fundamental part of the receptivity process, contributing in setting the initial amplitudes for the crossflow instability evolution.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-01","","","Aerodynamics","","",""
"uuid:896a60a9-8b6a-4079-8dc7-6f80a69ae1d0","http://resolver.tudelft.nl/uuid:896a60a9-8b6a-4079-8dc7-6f80a69ae1d0","A Hybrid Deep Learning Pipeline for Improved Ultrasound Localization Microscopy","Stevens, T.N.M. (Eindhoven University of Technology); Herbst, Elizabeth B. (Philips Research); Luijten, Ben (Eindhoven University of Technology); Ossenkoppele, B.W. (TU Delft ImPhys/Imaging Physics; TU Delft ImPhys/Medical Imaging; Eindhoven University of Technology); Voskuil, Thierry J. (Eindhoven University of Technology); Wang, Shiying (Philips Research); Youn, Jihwan (Eindhoven University of Technology); Errico, Claudia (Philips Research); Pezzotti, Nicola (Eindhoven University of Technology; Philips Research)","","2022","The image quality of ultrasound localization microscopy (ULM) images is driven by the ability to accurately detect and track the location of microbubbles (MBs) in vascular networks. This task becomes increasingly challenging in imaging environments with high MB concentrations and low signal-to-noise ratios, making it difficult to differentiate and localize individual MBs. Recent developments in deep learning (DL) have demonstrated significant improvements over conventional methods but depend on vast amounts of realistic training data with the corresponding ground truth labels, which are difficult to obtain. The alternative, simulated data, in turn, poses challenges in generalizability of the method. In this work, we present a hybrid pipeline for ULM that comprises data generation, localization, and tracking. It combines the current state-of-the-art, utilizing both conventional and DL techniques. We show that using this approach, we can create high-quality velocity maps while being able to generalize well across different domains.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","ImPhys/Imaging Physics","ImPhys/Medical Imaging","","",""
"uuid:2d713867-b0f8-4e57-8c84-5223c9112eca","http://resolver.tudelft.nl/uuid:2d713867-b0f8-4e57-8c84-5223c9112eca","Mechanisms of interaction between a stationary crossflow instability and backward-facing steps","Krochak, O.O. (TU Delft Aerodynamics); Casacuberta Puig, J. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics); Kotsonis, M. (TU Delft Aerodynamics)","","2022","","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Aerodynamics","","",""
"uuid:b4270622-4b6a-415f-b47f-34c7ab33776d","http://resolver.tudelft.nl/uuid:b4270622-4b6a-415f-b47f-34c7ab33776d","Joint Inversion of Acoustic and Electromagnetic Wave fields","Scherders, Eva M.L. (Student TU Delft); Verschuur, D.J. (TU Delft ImPhys/Computational Imaging); van Dongen, K.W.A. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Computational Imaging)","","2022","Imaging by inversion of acoustic or electromagnetic wave fields have applications in a wide variety of areas, such as non-destructive testing, biomedical applications, and geophysical exploration. Unfortunately, each modality suffers from its own application-specific limitations, typically being difficulties in distinguishing different materials/tissues from each other in the case of acoustic wave fields and a low spatial resolution in the case of electromagnetic wave fields. To exploit the advantages of both imaging modalities, we present a Born inversion method where we use an additive regularization term based on structural similarity between the acoustic and electromagnetic contrast. To validate our approach, we compare separate with joint inversion results for one particular example. The results for this example clearly show that separate inversion succeeds in reconstructing the acoustic contrast, but fails to properly reconstruct the electromagnetic contrast. Fortunately, with the joint inversion method, both the acoustic and electromagnetic contrast functions are reconstructed successfully.","acoustic; electromagnetic; Joint inversion; multi-parameter inversion","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Computational Imaging","","",""
"uuid:c912cc55-2347-43ed-8637-31fd0a7fef66","http://resolver.tudelft.nl/uuid:c912cc55-2347-43ed-8637-31fd0a7fef66","Preventing Soft Errors and Hardware Trojans in RISC-V Cores","Annink, Edian B. (University of Twente); Rauwerda, Gerard (Recore Systems B.V.); Hakkennes, Edwin (Recore Systems B.V.); Menicucci, A. (TU Delft Space Systems Egineering); Di Mascio, S. (TU Delft Space Systems Egineering; European Space Agency (ESA)); Furano, Gianluca (European Space Agency (ESA)); Ottavi, Marco (University of Twente; University of Rome Tor Vergata)","Cassano, Luca (editor); Chakravarty, Sreejit (editor); Bosio, Alberto (editor)","2022","Soft errors in embedded systems' memories like single-event upsets and multiple-bit upsets lead to data and instruction corruption. Therefore, devices deployed in harsh environments, such as space, use fault-tolerant processors or redundancy methods to ensure critical application dependability. Another rising concern in secure, critical space applications is the possible introduction of hardware Trojans in an untrusted phase of the manufacturing process. Besides environmental side-effects, an adversary that has injected a malicious mechanism e.g., in the processor or memory can trigger unwanted behavior or leak sensitive information. Techniques to prevent or mitigate hardware Trojans are important to ensure hardware security. Leveraging the openness of the RISC-V ISA, this paper introduces a novel solution to improve the security and dependability of softcores with a low area and latency overhead. The instruction validator which is the first part of this solution can effectively detect hardware Trojans and multiple-bit upsets in the instruction memory by checking instruction/address pairs using a Bloom filter probabilistic data structure. The second part of the solution is the proposal of an error correction code instruction memory using Hamming single-error correction to detect and correct single-event upsets. It has also been proven that the Hamming decoder improves the detection performance of the instruction validator.","Bloom Filters; Hardware Dependability; Hardware Security; Hardware Trojans; RISC-V","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Space Systems Egineering","","",""
"uuid:77b05f88-89c1-40bb-a41e-4a090423fd63","http://resolver.tudelft.nl/uuid:77b05f88-89c1-40bb-a41e-4a090423fd63","How Does This New Developer Test Fit In? A Visualization to Understand Amplified Test Cases","Brandt, C.E. (TU Delft Software Engineering); Zaidman, A.E. (TU Delft Software Engineering)","","2022","Developer testing, the practice of software engineers programmatically checking that their own components behave as they expect, has become the norm in today's software projects. With the constantly growing size and complexity of software projects and with the rise of automated test generation tools, understanding a test case is becoming more and more important compared to writing test cases from scratch.This holds especially in the area of developer-centric test amplification, where a tool automatically generates new test cases to improve a developer-maintained test suite. To investigate how visualization can help developers understand and judge test cases, we present the TESTIMPACTGRAPH, a visualization of the call tree and coverage impact of a JUnit test case proposed for amplification. It empowers the developer to drill down into the behavior of a test case, as well as providing them a clear view on how the proposed test case contributes to the coverage of the overall test suite. In a think-aloud study we investigate which information developers seek from the TESTIMPACTGRAPH, how its features can support them in accessing this information, and observations regarding the coverage impact of test cases. We infer ten actionable recommendations on how developer tests can be visualized to help developers understand their behavior and impact.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-01","","","Software Engineering","","",""
"uuid:99f94f86-ea3f-412f-ad3c-20505a68e53a","http://resolver.tudelft.nl/uuid:99f94f86-ea3f-412f-ad3c-20505a68e53a","Lifetime of oil-impregnated paper under pulse stress at different frequencies","Mathew, P. (Student TU Delft); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group)","","2022","With the increasing penetration of power electronic interfaces in the power grid, insulation materials will begin to experience stresses at higher frequencies than the conventional 50 Hz AC. This article studies the lifetime curves of oil-impregnated paper (OIP) under pulsed stresses and compares them at 10 kHz and 50 kHz. A pulse modulator is constructed consisting of a rectified DC supply feeding an H-bridge pulse driver connected to a 4: 200 pulse transformer. The modulator is used to apply medium voltage pulse waveforms with rise-times of T r≈ 1.8 µs across single-layer OIP samples. The results clearly show that an increase in pulse frequency significantly accelerates insulation ageing. However, it is also observed that below a certain threshold of field strength, the slope of the lifeline decreases dramatically thereby indicating decelerated ageing. Possible reasons for this phenomenon are also discussed in this article.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","High Voltage Technology Group","","",""
"uuid:0d145af9-1cf7-42b9-a87c-2b0cd1c65e67","http://resolver.tudelft.nl/uuid:0d145af9-1cf7-42b9-a87c-2b0cd1c65e67","The underground space use: An opportunity to support sustainable infrastructures development","Gaspari, Giuseppe (AECOM); Broere, W. (TU Delft Geo-engineering)","","2022","","","en","conference paper","Tunnelling Association of Canada","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-13","","","Geo-engineering","","",""
"uuid:1a37f21e-bcca-4285-bcfd-ade6e5d51ce2","http://resolver.tudelft.nl/uuid:1a37f21e-bcca-4285-bcfd-ade6e5d51ce2","New collaborative workflows - immersive co-design from sketching to 3d cad and production","Novoa Munoz, Mauricio (Western Sydney University); Howell, Bryan F. (Brigham Young University); Hoftijzer, J.W. (TU Delft Human Information Communication Design); Rodríguez Díaz, José Manuel (EUIPO Europa); Zhang, Wendy (University of Canterbury); Kramer, Nikolaj (VIA University College)","Bohemia, Erik (editor); Buck, Lyndon (editor); Grierson, Hilary (editor)","2022","Digital technologies have enabled design sketching to expand into new applications and domains. Inevitably, these new forms of visualisation require re-evaluating how we use drawing to see, visualise, understand, and fabricate products and services in design education and the profession. This paper presents a selection of discoveries after the authors performed research, made presentations and mediated workshops when face-to-face collaborations and travel were impossible because of the Covid-19 epidemic restrictions. Findings add to work intending to build a modern taxonomy for design sketching and visual knowledge while accounting for immersive virtual collaboration and distributed workflows from sketching to 3D CAD and 3D printing. These are among the first indications of a drive towards synthesising historically demarked design process stages into a singularity of actions that merge and move simultaneously among ideation, design, and production. Participants in two international conference workshops shared ideas and discussed their local circumstances relating to the potential use and acceptance of new technologies already researched and adopted in other disciplines such as computer science and entertainment. A critical consensus was that the challenge of new technologies for our design education and profession is not as much about technology and its tools as the process and steps that enable change. Significantly, conversation pointed towards a strategy that enhances and augments habits in design education and the profession as the means to modify and transform culture and practice.","Design sketching; immersive realities; technology diffusion; virtual reality; visualisation","en","conference paper","The Design Society","","","","","","","","","","Human Information Communication Design","","",""
"uuid:cd7d3dcb-7aea-49ed-9859-a805314b84b4","http://resolver.tudelft.nl/uuid:cd7d3dcb-7aea-49ed-9859-a805314b84b4","Multi-source monitoring data and numerical analyses for the assessment of settlements affecting built-up areas in variable soil conditions","Prosperi, A. (TU Delft Applied Mechanics); Nicodemo, Gianfranco (University of Salerno); Korff, M. (TU Delft Geo-engineering; Deltares); Peduto, Dario (University of Salerno)","Ridley, A.M. (editor)","2022","This paper presents an integrated analysis based on the use of multi-source wide-area datasets consisting of hydro-mechanical properties of geomaterials, in-situ investigations/measurements (e.g. groundwater levels in wells) and innovative space-borne data (i.e. DInSAR techniques) to support numerical analyses aimed at assessing and predicting the settlements affecting built-up areas in variable soil conditions. To this aim, an expeditious procedure was developed and tested with reference to a district in Rotterdam City (The Netherlands) affected by subsidence phenomena due to the presence of heterogeneous settling strata mainly composed by peat and organic soils. The results obtained allowed investigating the role of predisposing factors of the settlement occurrence and assessing the induced damage on buildings. Considering the widespread diffusion of such geohazards, the followed procedure could help the in-charge authorities to carry out activities at urban scale aimed at identifying the areas most affected by subsidence risk and to select the most suitable and sustainable mitigation strategies.","Multi-source monitoring; Soil variability; Settlements; Built heritage; Numerical modelling","en","conference paper","International Society for Soil Mechanics and Geotechnical Engineering","","","","","","","","","","Applied Mechanics","","",""
"uuid:cbf4b2ca-9589-440f-b96d-eb27b9bfa795","http://resolver.tudelft.nl/uuid:cbf4b2ca-9589-440f-b96d-eb27b9bfa795","Probabilistic forecasting and scenario generation of pumped discharge in polder systems","van der Heijden, T.J.T. (TU Delft Water Resources); van de Giesen, N.C. (TU Delft Water Resources); Palensky, P. (TU Delft Intelligent Electrical Power Grids); Abraham, E. (TU Delft Water Resources)","","2022","The Netherlands is a low-lying country in the Rhine-Meuse delta. Because a large part of the Netherlands is situated below sea level, proper management of local and national waterways is a necessity. Polders are used to manage groundwater levels, drain excess rainwater and store water for droughts. Typically, pumping stations in local Dutch polders pump water up to a drainage canal (in Dutch: ‘boezem’).
The Noordzeekanaal—Amsterdam-Rijnkanaal (NZK-ARK) is one such drainage canal, receiving discharge from the Rhine and four local water authorities. The canal connects with the North Sea in IJmuiden, through a pumping station and a set of undershot gates. The combination of pump and gate discharge allow the canal to discharge excess water to the North Sea when the sea water level is both higher and lower than the water level in the canal.
Pump and gate discharge is scheduled through Model Predictive Control (MPC), where reliable forecasts are necessary to reliably schedule discharge. The objectives for the control system of the gates and pumps are likely to become more complex in the future. For example, the availability of renewable energy, or electricity prices are to be taken into account when scheduling pump discharge. Research has shown that regular MPC can lead to suboptimal schedules when uncertainty is introduced, for example leading to high energy costs. Stochastic MPC allows for the consideration of uncertainty in decision making, optimising control actions over a set of possible scenario’s.
One way of generating these scenarios is by using a probabilistic forecasts. A Quantile Regression Deep Neural Network (QR-DNN) can be used to forecast quantiles of a forecast variable. When enough quantiles are considered, a Cumulative Distribution Function (CDF) can be constructed. A Bayesian Network (BN) is a graph-structured network that can estimate multi-dimensional Probability Density Functions by conditionalizing random variables according to a user defined structure and observed data. The BN can be applied to sample from the marginal CDF’s generated by the QR-DNN, while respecting autocorrelation or considering exogenous variables that are not yet considered by the QR-DNN.
In this research, we apply probabilistic forecasting methods to generate pump discharge scenarios that can be used in a stochastic MPC for the NZK-ARK. We use actual data from the four local water authorities discharging into the NZK-ARK, and apply a QR-DNN to generate marginal CDF’s of the expected pump discharge into the NZK-ARK. A BN is then applied to generate scenarios by conditionalizing the marginal CDF’s and take multidimensional samples with autocorrelation.","","en","conference paper","Copernicus","","","","","","","","","","Water Resources","","",""
"uuid:76e7e236-66c8-493b-959c-543c57ae2175","http://resolver.tudelft.nl/uuid:76e7e236-66c8-493b-959c-543c57ae2175","More is Better (Mostly): On the Backdoor Attacks in Federated Graph Neural Networks","Xu, J. (TU Delft Cyber Security); Wang, R. (TU Delft Cyber Security); Koffas, S. (TU Delft Cyber Security); Liang, K. (TU Delft Cyber Security); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen)","","2022","Graph Neural Networks (GNNs) are a class of deep learning-based methods for processing graph domain information. GNNs have recently become a widely used graph analysis method due to their superior ability to learn representations for complex graph data. Due to privacy concerns and regulation restrictions, centralized GNNs can be difficult to apply to data-sensitive scenarios. Federated learning (FL) is an emerging technology developed for privacy-preserving settings when several parties need to train a shared global model collaboratively. Although several research works have applied FL to train GNNs (Federated GNNs), there is no research on their robustness to backdoor attacks.
This paper bridges this gap by conducting two types of backdoor attacks in Federated GNNs: centralized backdoor attacks (CBA) and distributed backdoor attacks (DBA). Our experiments show that the DBA attack success rate is higher than CBA in almost all cases. For CBA, the attack success rate of all local triggers is similar to the global trigger, even if the training set of the adversarial party is embedded with the global trigger. To explore the properties of two backdoor attacks in Federated GNNs, we evaluate the attack performance for a different number of clients, trigger sizes, poisoning intensities, and trigger densities. Finally, we explore the robustness of DBA and CBA against two state-of-the-art defenses. We find that both attacks are robust against the investigated defenses, necessitating the need to consider backdoor attacks in Federated GNNs as a novel threat that requires custom defenses.","backdoor attacks; graph neural networks; federated learning","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Cyber Security","","",""
"uuid:47a3bca4-3f36-4a4c-b531-164b0a7607fc","http://resolver.tudelft.nl/uuid:47a3bca4-3f36-4a4c-b531-164b0a7607fc","Label-Only Membership Inference Attack against \\Node-Level Graph Neural Networks","Conti, M. (TU Delft Cyber Security; University of Padua); Li, Jiaxin (University of Padua); Picek, S. (TU Delft Cyber Security); Xu, J. (TU Delft Cyber Security)","","2022","Graph Neural Networks (GNNs), inspired by Convolutional Neural Networks (CNNs), aggregate the message of nodes' neighbors and structure information to acquire expressive representations of nodes for node classification, graph classification, and link prediction. Previous studies have indicated that node-level GNNs are vulnerable to Membership Inference Attacks (MIAs), which infer whether a node is in the training data of GNNs and leak the node's private information, like the patient's disease history. The implementation of previous MIAs takes advantage of the models' probability output, which is infeasible if GNNs only provide the prediction label (label-only) for the input.
In this paper, we propose a label-only MIA against GNNs for node classification with the help of GNNs' flexible prediction mechanism, e.g., obtaining the prediction label of one node even when neighbors' information is unavailable. Our attacking method achieves around 60\% accuracy, precision, and Area Under the Curve (AUC) for most datasets and GNN models, some of which are competitive or even better than state-of-the-art probability-based MIAs implemented under our environment and settings. Additionally, we analyze the influence of the sampling method, model selection approach, and overfitting level on the attack performance of our label-only MIA. All of those three factors have an impact on the attack performance. Then, we consider scenarios where assumptions about the adversary's additional dataset (shadow dataset) and extra information about the target model are relaxed. Even in those scenarios, our label-only MIA achieves a better attack performance in most cases. Finally, we explore the effectiveness of possible defenses, including Dropout, Regularization, Normalization, and Jumping knowledge. None of those four defenses prevent our attack completely.","Machine learning; Membership inference attack; Graph neural networks","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Cyber Security","","",""
"uuid:c241f4af-af25-49d2-a01a-4aad6665d6a3","http://resolver.tudelft.nl/uuid:c241f4af-af25-49d2-a01a-4aad6665d6a3","Synchrophasor-based Applications to Enhance Electrical System Performance in the Netherlands","Popov, M. (TU Delft Intelligent Electrical Power Grids); Boricic, Aleksandar (TU Delft Intelligent Electrical Power Grids); Veerakumar, Nidarshan (TU Delft Intelligent Electrical Power Grids); Naglic, Matija (TenneT TSO B.V.); Tyuryukanov, I. (TU Delft Intelligent Electrical Power Grids); Tealane, M. (TU Delft Intelligent Electrical Power Grids); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); van der Meijden, M.A.M.M. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Intelligent Electrical Power Grids)","","2022","This paper deals with the essentials of synchrophasor applications for future power systems aimed at increasing system reliability and resilience. In this work, several applications are presented, covering real-time disturbance detection and blackout prevention. Firstly, an advanced big-data management platform built in real-time digital simulation (RTDS) environment to support measurement data collection, processing and sharing among stakeholders is described. With this platform, a network splitting methodology to avoid cascading failures is presented and demonstrated, which upon the occurrence of a disturbance successfully isolates the affected part to avoid catastrophic cascade system outage. Online generator coherency identification is another synchrophasor application implemented on the platform, whose use is demonstrated in the context of controlled network splitting. By using synchrophasors, data-analytics techniques can also be used for identifying and classifying different disturbances in real-time with the least human intervention. Therefore, a novel centralized artificial intelligence (AI) based expert system to detect and classify critical events is outlined. Finally, the paper elaborates on the development of advanced system resilience metrics for real-time vulnerability assessment, with a focus on increasingly relevant dynamic interactions between distribution and transmission systems.","Phasor Measurement Units; Real-Time Monitoring; Algorithms; Wide Area Monitoring and Protection; Artificial intelligence; Controlled Islanding","en","conference paper","Cigré","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:14e6fc5d-7bf1-4461-8ff0-c64b6d3f4a69","http://resolver.tudelft.nl/uuid:14e6fc5d-7bf1-4461-8ff0-c64b6d3f4a69","I-GWAS: Privacy-Preserving Interdependent Genome-Wide Association Studies","Pascoal, Túlio (University of Luxembourg); Decouchant, Jérémie (TU Delft Dataintensive Systems); Boutet, Antoine (ENS-PSL Research University & CNRS; INSA Lyon); Völp, Marcus (University of Luxembourg)","","2022","Genome-wide Association Studies (GWASes) identify genomic variations that are statistically associated with a trait, such as a disease, in a group of individuals. Unfortunately, careless sharing of GWAS statistics might give rise to privacy attacks. Several works attempted to reconcile secure processing with privacy-preserving releases of GWASes. However, we highlight that these approaches remain vulnerable if GWASes utilize overlapping sets of individuals and genomic variations. In such conditions, we show that even when relying on state-of-the-art techniques for protecting releases, an adversary could reconstruct the genomic variations of up to 28.6% of participants, and that the released statistics of up to 92.3% of the genomic variations would enable membership inference attacks. We introduce I-GWAS, a novel framework that securely computes and releases the results of multiple possibly interdependent GWASes. I-GWAScontinuously releases privacy-preserving and noise-free GWAS results as new genomes become available.","Interdependent privacy; Genomic privacy; Federated GWAS","en","conference paper","","","","","","","","","","","Dataintensive Systems","","",""
"uuid:ca512d5a-2ef9-4216-a928-76de93e8a871","http://resolver.tudelft.nl/uuid:ca512d5a-2ef9-4216-a928-76de93e8a871","Secure and distributed assessment of privacy-preserving GWAS releases","Pascoal, Túlio (University of Luxembourg); Decouchant, Jérémie (TU Delft Dataintensive Systems); Völp, Marcus (University of Luxembourg)","","2022","Genome-wide association studies (GWAS) identify correlations between the genetic variants and an observable characteristic such as a disease. Previous works presented privacy-preserving distributed algorithms for a federation of genome data holders that spans multiple institutional and legislative domains to securely compute GWAS results. However, these algorithms have limited applicability, since they still require a centralized instance to operate on the data and decide whether GWAS results can be safely disclosed, which violates privacy regulations, such as GDPR. In this work, we introduce GenDPR, a distributed middleware that leverages Trusted Execution Environments (TEEs) to securely determine a subset of the potential GWAS statistics that can be safely released. GenDPR achieves the same accuracy as centralized solutions, but requires transferring significantly less data because TEEs only exchange intermediary results but no genomes. Additionally, GenDPR can be configured to tolerate all-but-one honest-but-curious federation members colluding with the aim to expose genomes of correct members.","Federated GWAS; Privacy; Honest-but-curious; Collusion","en","conference paper","","","","","","","","","","","Dataintensive Systems","","",""
"uuid:fdbee283-a8e5-4075-b5d2-6f0731fc12a0","http://resolver.tudelft.nl/uuid:fdbee283-a8e5-4075-b5d2-6f0731fc12a0","Aergia: leveraging heterogeneity in federated learning systems","Cox, B.A. (TU Delft Dataintensive Systems); Chen, Lydia Y. (TU Delft Dataintensive Systems); Decouchant, Jérémie (TU Delft Dataintensive Systems)","","2022","Federated Learning (FL) is a popular deep learning approach that prevents centralizing large amounts of data, and instead relies on clients that update a global model using their local datasets. Classical FL algorithms use a central federator that, for each training round, waits for all clients to send their model updates before aggregating them. In practical deployments, clients might have different computing powers and network capabilities, which might lead slow clients to become performance bottlenecks. Previous works have suggested to use a deadline for each learning round so that the federator ignores the late updates of slow clients, or so that clients send partially trained models before the deadline. To speed up the training process, we instead propose Aergia, a novel approach where slow clients (i) freeze the part of their model that is the most computationally intensive to train; (ii) train the unfrozen part of their model; and (iii) offload the training of the frozen part of their model to a faster client that trains it using its own dataset. The offloading decisions are orchestrated by the federator based on the training speed that clients report and on the similarities between their datasets, which are privately evaluated thanks to a trusted execution environment. We show through extensive experiments that Aergia maintains high accuracy and significantly reduces the training time under heterogeneous settings by up to 27% and 53% compared to FedAvg and TiFL, respectively.","Federated learning; Task Offloading; Stragglers","en","conference paper","","","","","","","","","","","Dataintensive Systems","","",""
"uuid:87f0c5e4-5d47-4366-aaba-3e34974404b7","http://resolver.tudelft.nl/uuid:87f0c5e4-5d47-4366-aaba-3e34974404b7","Healthy challenging design education for engineers","Rooij, R.M. (TU Delft Spatial Planning and Strategy); Mooij, S.C. (TU Delft Marketing and Consumer Research)","Gudjonsdottir, Maria Sigridur (editor); Audunsson, Haraldur (editor)","2022","Teaching design skills to engineering students has long been one of the main building blocks of the bachelor curriculums at the TU Delft faculties of Industrial Design Engineering and Architecture & the Built Environment. We observe that our students achieve high-level (design) competencies during their study time at TU Delft. But we also observe that design education goes together too often with over-aroused students and ambitious teachers, leading too often to higher levels of student stress. With the support of the Dutch 4TU Centre for Engineering
Education, we asked first-year bachelor IDE and ABE design students about their perceived levels of arousal and the factors within the design education learning environment, which contribute to a positive or negative study experience. This paper will show our understanding of our design education pedagogies, our model of spheres of influence, and potential coping strategies for students and tutors. We indicate five spheres of influence for our design students: the student self, design tutors, classmates, the learning environment, and society at large.
Each sphere consists of various potentially stressful factors. The coping strategies we propose focus on helping students to find ways to become aware of their feelings and thoughts, the meaning they give to them, and the kinds of behaviors and (short-term and long-term) consequences which follow from there. We also emphasize the role of the community of teachers and students to help individual students assess those (potentially) stressful situations
constructively","design education; self-leadership; workload; health; coping strategies; Standards: 8, 9","en","conference paper","CDIO","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Spatial Planning and Strategy","","",""
"uuid:a426ca2c-a285-43ef-9319-af66620f7336","http://resolver.tudelft.nl/uuid:a426ca2c-a285-43ef-9319-af66620f7336","Health Self-Management Support with Microlearning to Improve Hypertension","Simons, L.P.A. (TU Delft Interactive Intelligence); Gerritsen, B. (TU Delft Health, Safety and Environment); Wielaard, B. (TU Delft Health, Safety and Environment); Neerincx, M.A. (TU Delft Interactive Intelligence)","","2022","High blood pressure is a leading cause of premature death. Healthy lifestyle choices (of diet, exercise, sleep and stress) could offer significant results. However, health literacy and -competence are lacking in most people, compared to best practice health choices, so there is room for improvement in creating hypertension self-management results. We tested an intensive two-week Self-Management Support (SMS) pilot, using daily feedback and microlearning cycles. Participants (n=8) reduced their blood pressure from 145/92 to 126/86 mmHg on average. User evaluation on effectiveness of the health support elements highlighted the importance of key SMS components like: information transfer, daily monitoring, enhancing problem solving/decision making, self-treatment using a tailored action plan, coping skills and ongoing follow-up with skilled coaches. Moreover, several aspects from microlearning, peer coaching, results-achievement and feeling better (=intrinsic motivation) were useful and hold promise for future intervention updates.","Self-Management Support; microlearning; peer coaching; eHealth; hypertension","en","conference paper","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:6b018637-9f3b-4759-bef7-a62dad1fa72a","http://resolver.tudelft.nl/uuid:6b018637-9f3b-4759-bef7-a62dad1fa72a","Machine learning for the prediction of the local drag forces and heat transfer rates in turbulent flows past rough surfaces","Diez Sanhueza, R.G. (TU Delft Energy Technology); Akkerman, I. (TU Delft Ship Hydromechanics and Structures); Peeters, J.W.R. (TU Delft Energy Technology)","","2022","Turbulent flows past rough surfaces can create substantial energy losses in engineering equipment. During the last decades, developing accurate correlations to predict the thermal and hydrodynamic behavior of rough surfaces has proven to be a difficult challenge. In this work, we develop a convolutional neural network architecture to perform a direct image-to-image translation between the height map of a rough surface and its detailed local drag resistance and heat transfer rates. Various techniques are discussed to improve the computational efficiency of the machine learning architecture proposed, and even to reduce its time and space complexity. The main study is based on a new DNS database formed by 24 flow cases at a friction Reynolds number of Reτ = 180 obtained by applying a random shift to the Fourier spectrum of the grit-blasted surface scanned by Busse et al. (2015,). The results show that machine learning can accurately predict the global values of the drag resistance and heat fluxes across a rough surface. The local predictions for both momentum and heat transfer also show a considerable improvement upon increasing the dataset size. A detailed analysis of the global skin friction values and Stanton numbers predicted by deep learning further reveals that the results surpass the accuracy of traditional correlations by a substantial margin in the dataset analyzed.","","en","conference paper","","","","","","","","","","","Energy Technology","","",""
"uuid:0ebd5f52-5eb9-4c03-b252-1bf91de0af16","http://resolver.tudelft.nl/uuid:0ebd5f52-5eb9-4c03-b252-1bf91de0af16","Single-pixel PIV measurements of high-re turbulent pipe flow","Westerweel, J. (TU Delft Fluid Mechanics); Sridharan, S. (TU Delft Multi Phase Systems); Oldenziel, G. (Deltares)","","2022","In this paper we present PIV measurements of turbulent pipe flow at Reynolds numbers between 3.4×105 and 6.9×105. We apply a so-called 'single-pixel correlation' that yields a superior spatial resolution (Westerweel et al., 2004). We use the location and shape of the averaged correlation peak to obtain the mean velocity and normal and Reynolds stresses (Scharnowski et al., 2012). A novel aspect of the single-pixel correlation approach is the extension to determine the spatial correlation of the velocity fluctuations. In this paper we present the results for Re = 4.98×105, corresponding to a shear Reynolds number Reτ = 10.3×103, with a spatial resolution of ∆y+ = 18.","","en","conference paper","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:8614e2a1-a9c1-4c4c-a0b1-468d7a6b2e3c","http://resolver.tudelft.nl/uuid:8614e2a1-a9c1-4c4c-a0b1-468d7a6b2e3c","Experimental investigation of shear-induced migration in particle-laden pipe flow using MRI","Hogendoorn, W.J. (TU Delft Multi Phase Systems); Frank, David (Universität Rostock); Bruschewski, Martin (Universität Rostock); Poelma, C. (TU Delft Process and Energy)","","2022","Using magnetic resonance imaging we are able to obtain average velocity and volume fraction profiles in a pipe flow with a neutrally buoyant suspension. In this experimental work, the effect of increasing Reynolds number and particle volume fraction on shear-induced migration is studied. For increasing bulk volume fraction, the initially nearly homogeneous suspension gradually changes to a strongly non-homogeneous suspension. This is observed for all studied Reynolds numbers. In contrast to the majority of previous (MRI) studies, experiments are also performed for suspension Reynolds numbers of approximately 5000 in order to study inertial effects on shear-induced migration.","","en","conference paper","","","","","","","","","","Process and Energy","Multi Phase Systems","","",""
"uuid:aaae90d7-792f-4f5b-8058-d516299d516a","http://resolver.tudelft.nl/uuid:aaae90d7-792f-4f5b-8058-d516299d516a","On the new unstable mode in the boundary layer flow of supercritical fluids","Bugeat, B. (TU Delft Energy Technology); Boldini, P.C. (TU Delft Energy Technology); Pecnik, Rene (TU Delft Energy Technology)","","2022","Ren et al. (2019) recently studied the stability of the boundary layer flow over a flat plate for supercritical CO2. While only one unstable mode usually exists for boundary layer flows, the authors found an additional unstable mode, whose origin has so far not been identified. In the present work, we carry out a stability analysis in the general case of a fluid following the Van der Waals equation of state and flowing over a heated flat plate in the limit of zero Eckert number. In this framework, the second unstable mode is also recovered, ruling out an acoustic origin. From the Rayleigh equation derived in the presence of density gradients, a generalised inflection point (GIP) criterion of instability exists, similar to that of fully compressible flows. Inviscid stability calculations confirm the existence of an unstable mode in the presence of a GIP, which is linked to the additional second mode found at finite Reynolds numbers. A theoretical analysis is then carried out by approximating the momentum equation for a base flow exhibiting strong gradients of dynamic viscosity. It is shown that the origin of the GIP, and hence the additional unstable mode, is associated with a minimum of kinematic viscosity at the Widom line. The universality of this result beyond supercritical fluids is eventually discussed.","","en","conference paper","","","","","","","","","","","Energy Technology","","",""
"uuid:3e1912e5-41ec-4df3-a46d-9e2828a05ca1","http://resolver.tudelft.nl/uuid:3e1912e5-41ec-4df3-a46d-9e2828a05ca1","Myrubric, a co-creative journey to activate resilient learning communities","Hueso Espinosa, P. (TU Delft Education and Student Affairs); Persaud, S.M. (TU Delft Design for Sustainability); Giaccardi, Elisa (TU Delft Human Information Communication Design)","Bohemia, Erik (editor); Buck, Lyndon (editor); Grierson, Hilary (editor)","2022","Nowadays, designers deal with increasingly complex and meaningful challenges. Because of that, design schools are required to deliver professional designers capable of handling what future decades might bring. Therefore, resilience, generally described as the process of adapting well in the presence of adversity, makes it a valuable quality future generations of designers could develop. As resilience is still an abstract concept within the education domain, this MSc graduation project aimed to explore how it could be built and enhanced in such context. The approach chosen to tackle that question was initially to analyse the literature regarding resilience. Then, to perform an in-depth autoethnographic study in a moment resilience was systematically present in the faculty of Industrial Design Engineering: the COVID19 lockdowns. Finally, the learnings from that period and previous literature research were synthesized into a theoretical framework that aims to assist educators in conceptualizing interventions to foster resilience in learning systems. This framework was implemented to design and evaluate My Rubric, a co-creative guide for adaptive assessment, which aims to offer a constructive and resilient alternative to the current rubric.","design education; Resilience; rubric","en","conference paper","The Design Society","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-12","","","Education and Student Affairs","","",""
"uuid:9793f37d-eb79-488a-87ca-9001dbbf0903","http://resolver.tudelft.nl/uuid:9793f37d-eb79-488a-87ca-9001dbbf0903","Optimal Variable Wing Camber Control using Incremental Nonlinear Dynamic Inversion","Pollack, T.S.C. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation)","Silvestre, Flávio (editor); Uijt de Haag, Maarten (editor)","2022","Flight control systems enable the improvement of natural flying qualities and airframe performance of an aircraft. In this article, an incremental optimization control scheme is proposed to optimize a given performance objective set by the designer in an online fashion using limited model information. This scheme is applied to improve the aerodynamic efficiency levels of the General Dynamics F-16 by optimizing symmetric movement of the leading edge flap (LEF) devices, based on an open-source nonlinear simulation model. Other design goals are addressed by refining the control objective, which explicitly embeds design trade-offs in the control law. A complete control architecture is arrived at through the design of a parallel INDI control law that performs the function
of angular rate control to improve natural flying qualities. A nonlinear simulation scenario shows that the proposed control framework is capable of meeting desired handling quality characteristics while simultaneously improving aerodynamic efficiency levels and control activity. In addition, a robustness assessment is performed to gain insight into the sensitivity of the design to
on-board model offsets.","Nonlinear Control; Incremental Control; Control Configuration Optimization","en","conference paper","Deutsche Gesellschaft für Luft und Raumfahrt (DGLR)","","","","","","","","","","Control & Simulation","","",""
"uuid:912200fa-230e-447f-92e8-8ff01bad07c4","http://resolver.tudelft.nl/uuid:912200fa-230e-447f-92e8-8ff01bad07c4","CamPressID: Optimizing Camera Configuration and Finger Pressure for Biometric Authentication","Wang, Weizheng (TU Delft Embedded Systems); Vette, Marek (Student TU Delft); Wang, Q. (TU Delft Embedded Systems); Yang, J. (TU Delft Web Information Systems); Zuniga, Marco (TU Delft Embedded Systems)","Ceballos, Cristina (editor)","2022","To protect sensitive information on smartphones, state-of-the-art (SoA) studies exploit the built-in camera to capture PPG signals from fingertips as a hard-to-forge biometric. However, those studies do not provide a comprehensive analysis to optimize the camera parameters and finger pressure, leading to distorted and unstable PPG signals that degrade the authentication performance. To overcome these limitations, we propose the CamPressID framework. First, we analyze various camera parameters and optimize their configuration to obtain PPG signals with a high signal-to-noise ratio. Second, we investigate different finger pressures to identify the best pressure for every subject, in order to avoid signal distortion. To evaluate the performance of CamPressID, we collect a diverse dataset with 58 subjects. Our evaluation results show that CamPressID can improve the average balanced accuracy (BAC) by 10%. Moreover, the BAC reaches 90%, which is similar to the accuracy reported in the SoA using a dedicated PPG sensor for authentication.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Embedded Systems","","",""
"uuid:3885b241-192f-4ba3-85dc-bf42eaeb81e1","http://resolver.tudelft.nl/uuid:3885b241-192f-4ba3-85dc-bf42eaeb81e1","Effect of streamwise surface undulations on the nonlinear stability of crossflow instabilities","Westerbeek, S.H.J. (TU Delft Aerodynamics); Kotsonis, M. (TU Delft Aerodynamics)","","2022","The nonlinear stability of three-dimensional boundary layers over various undulated surfaces was calculated using the generalized Nonlinear Parabolized Stability Equations (NPSE). The results are compared with a flat plate configuration to assess the effect of the undulation shape on the stability of the boundary layer. It was found that the effect of surface undulations is significant and should not be ignored when performing stability analysis. All undulation shapes considered in this work showed a destabilization of the primary mode and the associated harmonics. The stability of the boundary layer was directly affected by the amplitude of the undulations, while their respective shape did not meaningfully affect the evolution of the crossflow instabilities within the parameter range considered in this work.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Aerodynamics","","",""
"uuid:1bfb056a-4613-4fb6-be76-bd6cab3197b2","http://resolver.tudelft.nl/uuid:1bfb056a-4613-4fb6-be76-bd6cab3197b2","Time Versus Frequency Domain full Waveform Inversion for Ultrasound Imaging","Ramirez, Ana B. (Industrial University of Santander); Abreo, Sergio A. (Industrial University of Santander); van Dongen, K.W.A. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Computational Imaging)","","2022","With full waveform inversion (FWI) all available information enclosed in the recorded wavefield - including multiple scattering, dispersion, and diffraction - is used to obtain accurate images showing quantitative information of the tissue parameters. These non-linear inversion methods are implemented either in the time or in the frequency domain. Unfortunately, selecting which implementation should be used for a specific problem is not trivial. To ease the selection process, we compare the performance of one time-domain inversion (TDI) and one frequency-domain inversion (FDI) - also known as Contrast Source Inversion - to provide insights into the strengths and weaknesses of each FWI method. In this contribution, we investigate the effect of the (i) bandwidth, (ii) problem complexity, (iii) number of sources and receivers, and (iv) initial speed-of-sound model on the performance of each FWI method by comparing the resulting reconstructions. Both methods are tested for the same configuration: a 2-D tomographic scan of a cancerous breast model. To avoid an inverse crime, TDI is tested on synthetic data obtained using a frequency-domain forward solver and CSI on data from a time-domain forward solver.","frequency-domain in-version; full waveform inversion; Time-domain inversion; ultrasound imaging","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Medical Imaging","","",""
"uuid:ca8ae92d-9d12-4355-bbd6-8046da928ee0","http://resolver.tudelft.nl/uuid:ca8ae92d-9d12-4355-bbd6-8046da928ee0","12-pulse Rectifier with DC-Side Buck Converter for Electric Vehicle Fast Charging","Lan, Dun (Student TU Delft); Wu, Y. (TU Delft DC systems, Energy conversion & Storage); Soeiro, Thiago Batista (European Space Agency (ESA)); Granello, P. (Sapienza University of Rome); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","This paper presents the study of a 100kW electric vehicle (EV) fast charger based on a 12-pulse rectifier cascaded with two buck-type DC-DC converters. The proposed circuit operates with a triangular current shaping method which considerably improves the current harmonics performance of the system. The studied circuit is particularly suited for high power battery charging, being relatively simple to operate, requiring a low active semiconductor count (only two active switches), and because it employs circuit technologies well-established in the high power market. Above all, this EV fast charger meets the requirements of isolation, high efficiency, high output voltage and good power quality (low THD and unity power factor). This paper describes in detail the analytical modeling of the studied circuit, including the current harmonic input filter design which meets the grid standard requirement, and the loss modeling of the semiconductors and passive elements. The modeling and simulation results of the proposed 100 kW system are presented and analyzed.","12-pulse converter; EV fast charging; Harmonic reduction; Triangular current shaping","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:586b417c-112a-4176-b36e-1bbe5b4da23d","http://resolver.tudelft.nl/uuid:586b417c-112a-4176-b36e-1bbe5b4da23d","Harmonic Emission Modelling of Electric Vehicle Chargers","Liang, Y. (TU Delft DC systems, Energy conversion & Storage); Wang, L. (TU Delft DC systems, Energy conversion & Storage); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","In emerging fast-charging stations, DC fast chargers (DCFCs) are employed which rely on power electronics and control to achieve the required performance. Harmonic emission induced by the complex system behavior is of great concern in the DCFC system. This paper proposes a harmonic emission model for the typical electric vehicle charger design, i.e., two-level active front end. The technique is based on the Fourier series method and the impedance model which is able to reveal the harmonic current emission of DCFCs under different grid conditions. Time-domain simulations are presented subsequently to validate the proposed model.","DC fast charger; Fourier series; harmonics; impedance model","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-05","","","DC systems, Energy conversion & Storage","","",""
"uuid:50fd2dd4-8d76-4793-b7be-0c546b7aaa70","http://resolver.tudelft.nl/uuid:50fd2dd4-8d76-4793-b7be-0c546b7aaa70","Cases of Soft Switching in a Series Resonant Balancing Converter for Bipolar DC Grids","Yadav, S. (TU Delft DC systems, Energy conversion & Storage); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","Balancing converters are an integral part of a bipolar dc grid. Resonant converter topologies are interesting for power electronics engineers due to their soft switching capabilities. A series resonant converter topology is promising as a balancing converter in a bipolar dc grid. The series resonant converter is usually a non-inverting topology. However, in the balancing converter application, the converter is used as an inverting type, like a buck-boost converter topology. In this paper, the soft switching capabilities of this converter are shown and analyzed for four distinct converter modulation schemes.","balancing converter; bipolar dc; power electronics","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:cc57336e-c994-49a9-a570-f8ed87b37592","http://resolver.tudelft.nl/uuid:cc57336e-c994-49a9-a570-f8ed87b37592","A New Input-Parallel-Output-Series Three-Phase Hybrid Rectifier for Heavy-Duty Electric Vehicle Chargers","Qiang, Rui (Student TU Delft); Wu, Y. (TU Delft DC systems, Energy conversion & Storage); Soeiro, Thiago Batista (European Space Agency (ESA)); Granello, P. (Sapienza University of Rome); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","This paper proposes a solution to the circuit topology of heavy-duty electric vehicle (HDEV) chargers. In light of the original hybrid rectifier, a new unidirectional Input-Parallel-Output-Series (IPOS) three-phase hybrid rectifier is proposed and analyzed. The IPOS topology is advantageous at ultra-high power rating to interface the next-generation HDEV batteries which require a high and wide output voltage range of 800~1500 V with available 600/1200V commercial semiconductors. Moreover, the proposed topology is efficient, cost-effective, and scalable with the grid input current harmonic components in compliance with the IEEE-519 standard. The benefits of the IPOS topology are supported by circuit derivation, control strategy, analytical modelling, simulation, and experimental verification.","AC-DC converter; fast charging; hybrid rectifier; partial power processing; power factor correction","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:8d49d09f-fcc4-41aa-8bd0-e438bfdb9dbc","http://resolver.tudelft.nl/uuid:8d49d09f-fcc4-41aa-8bd0-e438bfdb9dbc","Asymmetrical Modular Multilevel Converter with Sensorless Voltage Control for High-Quality Output","Li, Zhongxi (Duke University); Li, Z. (TU Delft Signal Processing Systems); Tashakor, Nima (Duke University); Peterchev, Angel (Duke University); Goetz, Stefan M. (Duke University; University of Cambridge)","","2022","The paper proposes an Asymmetrical Modular Multilevel Converter (AMMC) suitable for low/medium-voltage dc-ac conversions with very high output quality. The modules' dc-links of the AMMC are charged to a binary exponential sequence to produce a large number of output levels using only a few modules.The concept of using asymmetrical dc-links for high-quality output is not entirely new. However, the practicality of existing approaches is relatively low and challenged by the difficulties in maintaining the required dc-link voltages as well as suppressing their interaction with the output, which often requires multiple isolated dc/dc converters. We solve this problem by aligning the modules in the Marquardt MMC inverter module configuration that offers more control freedom, hence the term AMMC. Furthermore, we introduce a highly effective switched-inductor charge transfer and balancing mode between modules and even across arms. We accordingly modify the underlying conventional chopper modules so that the dc-link voltage control can be completely sensorless. The proposed AMMC is tested in a lab setup with four modules per arm reaching 32 output levels. In contrast to the low benefit of an additional module in MMC due to only linear improvement of the output granularity, each further module halves the finest voltage step. The components to maintain the graded voltage sequence and the underlying inductive charge transfer only a fraction (< 10%) of the load current so that relatively low-power devices can be used.","binary asymmetrical CHBs; DC/AC applications; high-definition; modular multilevel converters","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Signal Processing Systems","","",""
"uuid:76884511-1a24-467b-8ff7-9a8d0e2c78c8","http://resolver.tudelft.nl/uuid:76884511-1a24-467b-8ff7-9a8d0e2c78c8","A 2m-Range 711uW Body Channel Communication Transceiver Featuring Dynamically-Sampling Bias-Free Interface Front End","Gu, Guanjie (Zhejiang University); Yang, Changgui (Zhejiang University); Li, Zhuhao (Zhejiang University); Feng, Xiangdong (Zhejiang University); Chang, Ziyi (Zhejiang University); Wang, Ting-Hsun (Zhejiang University); Zhang, Yunshan (Zhejiang University); Luo, Yuxuan (Zhejiang University); Zhang, Hong (Zhejiang University); Wang, Ping (Zhejiang University); Du, S. (TU Delft Electronic Instrumentation); Chen, Yong (University of Macau); Zhao, Bo (Zhejiang University)","","2022","Body Channel Communication (BCC) offers a low-loss signal transmission medium for ultra-low-power wearable devices on human body [1]. However, the effective communication range on human body is limited to less than 1m in the state-of-the-art BCC transceivers [2], where the signal loss at the interface of body surface and BCC receiver remains to be one of the main bottlenecks. The limited communication range has blocked the popularization in many WBAN applications, such as signal transmission from to an intelligent insole to smart watch [3]. Relative to the high impedance of human body [4], the lower input impedance of BCC receiver induces significant signal loss. To reduce the interface loss, conventional interface front end (IFE) of BCC receivers was designed to be with a high input impedance [5], but the DC voltage bias decreased both the input impedance and signal gain of IFE.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:cc2888eb-0ff7-4309-a97d-d2c8c310c30f","http://resolver.tudelft.nl/uuid:cc2888eb-0ff7-4309-a97d-d2c8c310c30f","Recent Advances on Wideband Wide Scanning Connected Slot Arrays","Cavallo, D. (TU Delft Tera-Hertz Sensing)","","2022","Connected slot arrays with artificial dielectric layers (ADLs) are a type of wideband wide-scanning arrays with several favorable properties. A key advantage is the high front-to-back ratio provided by the artificial dielectric, which allows to reduce the distance between the radiating slots and the ground plane. This results in low-profile array designs the can be realized with multi-layer planar printed circuit boards at microwaves and millimeter waves. Another important feature is the anisotropy of the ADLs, which yields wide scanning capability without supporting the propagation of surface waves. An overview of different array designs based on this concept is given here, together with a description of the typical design steps and the achievable performance. An example unit cell is shown, with 10:1 band, maximum scan angle of 60°, and total height lower than a quarter wavelength at the lowest frequency.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Tera-Hertz Sensing","","",""
"uuid:7daeeb83-8ce5-4d07-9566-f05ba1603b1e","http://resolver.tudelft.nl/uuid:7daeeb83-8ce5-4d07-9566-f05ba1603b1e","Break, Repair, Learn, Break Less: Investigating User Preferences for Assignment of Divergent Phrasing Learning Burden in Human-Agent Interaction to Minimize Conversational Breakdowns","Foosherian, Mina (BIBA – Bremer Institut für Produktion und Logistik GmbH); Kernan Freire, S. (TU Delft Internet of Things); Niforatos, E. (TU Delft Internet of Things); Hribernik, Karl A. (BIBA – Bremer Institut für Produktion und Logistik GmbH); Thoben, Klaus-Dieter (University of Bremen)","Doring, Tanja (editor); Boll, Susanne (editor); Colley, Ashley (editor); Esteves, Augusto (editor); Guerreiro, Joao (editor)","2022","Conversational agents (CA) occasionally fail to understand the user's intention or respond inappropriately due to natural language complexity. These conversational breakdowns can happen because of low intent and entity prediction confidence scores. A promising repair strategy in such cases is that the CA proposes to users likely alternatives to proceed. If one of these options matches the user's intention, the breakdown is repaired successfully. We propose that successful repairs should be followed by a learning mechanism to minimize future breakdowns. After a successful repair, the CA, user, or both can learn each other's specific phrasing. This prevents similar phrasings from causing reoccurring breakdowns. We compared user preferences for these learning mechanisms in a scenario-based study with manufacturing workers (). Our result showed that users first prefer to share the learning burden with the CA (61.3%), followed by entirely outsourcing the learning burden to the CA (60.7%) as opposed to themselves.","Human centered AI; Learning; Conversational Breakdown; Conversational Agents; User Experience; Error Handling","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Internet of Things","","",""
"uuid:fc510292-c656-46a2-855e-1bf9b71a051a","http://resolver.tudelft.nl/uuid:fc510292-c656-46a2-855e-1bf9b71a051a","Fair and Optimal Decision Trees: A Dynamic Programming Approach","van der Linden, J.G.M. (TU Delft Algorithmics); de Weerdt, M.M. (TU Delft Algorithmics); Demirović, E. (TU Delft Algorithmics)","Koyejo, S. (editor); Mohamed, S. (editor); Agarwal, A. (editor); Belgrave, D. (editor); Cho, K. (editor); Oh, A. (editor)","2022","Interpretable and fair machine learning models are required for many applications, such as credit assessment and in criminal justice. Decision trees offer this interpretability, especially when they are small. Optimal decision trees are of particular interest because they offer the best performance possible for a given size. However, state-of-the-art algorithms for fair and optimal decision trees have scalability issues, often requiring several hours to find such trees even for small datasets. Previous research has shown that dynamic programming (DP) performs well for optimizing decision trees because it can exploit the tree structure. However, adding a global fairness constraint to a DP approach is not straightforward, because the global constraint violates the condition that subproblems should be independent. We show how such a constraint can be incorporated by introducing upper and lower bounds on final fairness values for partial solutions of subproblems, which enables early comparison and pruning. Our results show that our model can find fair and optimal trees several orders of magnitude faster than previous methods, and now also for larger datasets that were previously beyond reach. Moreover, we show that with this substantial improvement our method can find the full Pareto front in the trade-off between accuracy and fairness.","optimal decision trees; fairness; dynamic programming","en","conference paper","Curran Associates, Inc.","","","","","","","","","","Algorithmics","","",""
"uuid:88dd85cc-1081-4f36-a090-ba51628a331c","http://resolver.tudelft.nl/uuid:88dd85cc-1081-4f36-a090-ba51628a331c","Residual statics estimation with quantum annealing","Van Der Linde, S. (Aramco Overseas Company B.V.); Dukalski, M. (Aramco Overseas Company B.V.); Möller, M. (TU Delft Numerical Analysis); Neumann, N.M.P. (TU Delft BUS/TNO STAFF); Phillipson, F. (TU Delft BUS/TNO STAFF; Universiteit Maastricht); Rovetta, D. (Aramco Overseas Company B.V.)","","2022","Quantum computing could be a potential game-changer in industry sectors relying on the efficient solutions of large-scale global optimization problems. Exploration geoscience, is full of optimization problems and hence is a good candidate for application of quantum computing. It was recently suggested that quantum annealing, a form of adiabatic quantum computer, is a much better suited quantum computing platform for optimization problems than gate-based quantum computing. In this work, we show how the residual statics estimation problem can be solved on the quantum annealer and present our first results obtained on a quantum computer.","","en","conference paper","EAGE","","","","","","","","","","Numerical Analysis","","",""
"uuid:74e4d738-055d-40a8-b740-27416809dec4","http://resolver.tudelft.nl/uuid:74e4d738-055d-40a8-b740-27416809dec4","Active and passive monitoring of fault reactivation under stress cycling","Naderloo, M. (TU Delft Applied Geophysics and Petrophysics); Veltmeijer, A.V. (TU Delft Applied Geophysics and Petrophysics); Barnhoorn, A. (TU Delft Applied Geophysics and Petrophysics)","Abubakar, Aria (editor); Hakami, Ahmed (editor)","2022","Increased seismicity due to subsurface activities has led to increased interest in monitoring and seismic risk mitigation. In this study we combined passive and active acoustic monitoring methods to monitor fault sliding and reactivation in the laboratory. Acoustic emission (AE) and ultrasonic transmission measurements were performed during stress-cycling to monitor stress-driven fault reactivation. We show the use of the transmissivity and coda wave interferometry of the active acoustic measurements and the number of generated AE events for fault reactivation monitoring. Combining these two methods, we are able to detect the different phases of fault reactivation process under stress cycling including, early aseismic creep (pre-slip), fault slip, and continuous sliding. Combining both active and passive monitoring increases accuracy of monitoring and can lead to better seismic risk mitigation","Marchenko; propagator; multiple","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:123b0b2e-5ae5-4e4c-9fad-51a9e764ef68","http://resolver.tudelft.nl/uuid:123b0b2e-5ae5-4e4c-9fad-51a9e764ef68","Examining the spatiotemporal changing pattern of freight maritime transport networks in Indonesia during COVID-19 outbreaks","Destyanto, A.R. (TU Delft Policy Analysis); Huang, Yilin (TU Delft System Engineering); Verbraeck, A. (TU Delft Policy Analysis)","","2022","The COVID-19 pandemic in Indonesia has led to a significant change in human mobility. It is also considered the most serious threat to the inter-island trade network since the economic crisis in 1998. Leveraging two-year historical port call data (covering 6,000 records in total) of Indonesian domestic cargo vessels from the Automatic Identification System (AIS), this study examines the spatiotemporal changes of maritime freight transport network connectivity and cargo shipping capacity throughout the COVID-19 outbreaks period. We constructed two directed graphs, one in 2019 and another in the 2020 period, based on 1,283 Indonesian domestic cargo ship trajectories that connect 25 main Indonesian ports through 370 links. This study calculated and compared the four metrics of complex network analysis, including assortative coefficient, average degree, betweenness centrality, and clustering coefficient, to figure out the shipping network pattern changes. The result shows that the network connectivity and its shipping capacity changed in 2020, although the national port call trend is not significantly different from 2019. Based on our observation, we notice that the network is shifting from a ""main hub-and-spoke connection,"" which dominantly involves western Indonesia hub-ports structure, towards a ""multi hub-and-spoke connection,"" which increases the ports centrality position in eastern Indonesia. We also analyzed the change of cargo shipping capacity in each link to reflect how shipping liner companies respond to the pandemics. The insights generated in this study are hoping to contribute toward more rapid, effective, and comprehensive responses to this unprecedented disruption.","Network dynamics; Network Performance Analysis; Network performance; Network properties","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Policy Analysis","","",""
"uuid:c8f4fdab-c846-4181-aa3d-980b6a4222ca","http://resolver.tudelft.nl/uuid:c8f4fdab-c846-4181-aa3d-980b6a4222ca","Design experiments for a development organisation in the Brazilian Amazon rainforest","Mello Pereira Uriartt, S. (Student TU Delft); Celik, S (TU Delft Methodologie en Organisatie van Design); Lloyd, P.A. (TU Delft Methodologie en Organisatie van Design)","","2022","In the midst of climate change, and the need to seek more sustainable ways of living, design is increasingly tackling problems at a societal level. This paper reflects on a strategic design project at a Brazilian foundation focused on sustainable development in the Amazon rainforest region. In this study, we asked what contributions design can bring to organisations involved in addressing development issues. The paper describes several experiments and strategies to make it tangible to non-designers howa design-led process unfolds and how design can support the organisation's efforts in delivering value to the communities they serve. The case study offers an example on how design practices combined with systemic approaches can spark increasing levelsof collaboration across siloed departments","design practice; systemic design; organisational change & development; sustain- able development","en","conference paper","","","","","","","","","","","Methodologie en Organisatie van Design","","",""
"uuid:c0052e1e-b657-4ff2-a78e-08042d6974ea","http://resolver.tudelft.nl/uuid:c0052e1e-b657-4ff2-a78e-08042d6974ea","Analyzing Storytelling in Design Talk using LIWC: (Linguistic Inquiry and Word Count)","Lloyd, P.A. (TU Delft Methodologie en Organisatie van Design); Chandrasegaran, R.S.K. (TU Delft Methodologie en Organisatie van Design); Akdag Salah, A.A. (TU Delft Methodologie en Organisatie van Design)","Tarazi, Ezri (editor)","2022","Design thinking concepts such as storytelling, framing, and co-evolution, have been established from close readings of design activity. The increase in easy-to-use computational methodologies provides an opportunity to validate these concepts more widely. Among these concepts, storytelling is already operationalised through various computational approaches. In this paper, we create one corpus of design activity data from the four shared-data DTRS workshops and use Linguistic Inquiry and Word Count (LIWC) in attempting to automatically detect components of stories. However, the conversational nature of the data indicates that further development in methodology is needed. The contribution of the paper lies both in outlining how an automated method for identifying stories could work and showing how the DTRS corpus can be compared with other large datasets outside of the design discipline. This represents a further step on the way to understanding design thinking in conversational contexts.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Methodologie en Organisatie van Design","","",""
"uuid:600c70a6-e333-4626-a14d-f4f9abaacc2b","http://resolver.tudelft.nl/uuid:600c70a6-e333-4626-a14d-f4f9abaacc2b","Privacy and Transparency in Graph Machine Learning: A Unified Perspective","Khosla, M. (TU Delft Multimedia Computing)","Drakopoulos, Georgios (editor); Kafeza, Eleanna (editor)","2022","Graph Machine Learning (GraphML), whereby classical machine learning is generalized to irregular graph domains, has enjoyed a recent renaissance, leading to a dizzying array of models and their applications in several domains. With its growing applicability to sensitive domains and regulations by governmental agencies for trustworthy AI systems, researchers have started looking into the issues of transparency and privacy of graph learning. However, these topics have been mainly investigated independently. In this position paper, we provide a unified perspective on the interplay of privacy and transparency in GraphML. In particular, we describe the challenges and possible research directions for a formal investigation of privacy-transparency tradeoffs in GraphML.","","en","conference paper","","","","","","","","","","","Multimedia Computing","","",""
"uuid:60798b67-df42-41e1-a58a-658aef86a94a","http://resolver.tudelft.nl/uuid:60798b67-df42-41e1-a58a-658aef86a94a","Testing and Analyzing of Distance Protection of a Realistic Offshore Wind Farm Transmission System","de Korte, Kasper (Siemens Nederland N.V.); van Dijk, Gerwin (Siemens Nederland N.V.); Yelgin, Yilmaz (Siemens AG); de Jesus Chavez, Jose (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2022","","Distance protection relay; wind farm; transmission grid; testing; simulation","en","conference paper","Cigré","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Intelligent Electrical Power Grids","","",""
"uuid:8b0924d8-fcad-48c5-b578-b1c47fa11bf9","http://resolver.tudelft.nl/uuid:8b0924d8-fcad-48c5-b578-b1c47fa11bf9","A Review of the Key Technical and Non-Technical Challenges for Sustainable Transportation Electrification: A Case for Urban Catenary Buses","Diab, I. (TU Delft DC systems, Energy conversion & Storage); Chandra Mouli, G.R. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","The transport sector has been increasing rather than decreasing its CO2 emissions, and its sustainable electrification faces a number of technical and non-technical challenges. This paper investigates these challenges, namely those of the grid load demand modelling, renewables integration, the present infrastructure limitations, and the policy/non-technical challenges. In synthesis, the suggested vision for the future sustainable urban bus network is presented as a catenary grid running In-Motion-Charging trolleybuses, with integrated PV, EV chargers, and stationary storage systems. The future grid must involve external players such as the DSO/TSO and research/academic institutions, with a dedicated coordination body, from pre-tendering all the way to daily operations.","Electric Mobility; Renewable Energy; Storage; Sustainable; Transportation","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-05","","","DC systems, Energy conversion & Storage","","",""
"uuid:bd84cc7a-b0bc-4590-8d50-3639db49f263","http://resolver.tudelft.nl/uuid:bd84cc7a-b0bc-4590-8d50-3639db49f263","An Adaptive Cyber Security Scheme for AC Microgrids","Xiao, J. (TU Delft DC systems, Energy conversion & Storage); Wang, L. (TU Delft DC systems, Energy conversion & Storage); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","Distributed secondary control is deemed necessary to restore the state of AC micro-grids to set points. However, for its limited global information, the power electronic system is vulnerable to cyber-attacks that aim to desynchronize converters or even cause a shutdown of micro-grids by unnecessarily triggering the protection schemes. To this end, an adaptive communication weight update for the secondary control layer is proposed. It guarantees frequency synchronization and active power sharing despite the presence of these attacks. Moreover, it automatically dispatches optimal communication lines when all its neighboring data are corrupted to different levels. Finally, the efficacy of the proposed resilient control method is demonstrated using simulations.","AC micro-grid; adaptive control; cyber-attack; distributed control","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-05","","","DC systems, Energy conversion & Storage","","",""
"uuid:e72df6c0-b61a-460b-af77-86822adaa574","http://resolver.tudelft.nl/uuid:e72df6c0-b61a-460b-af77-86822adaa574","Detection of cyber attack in smart grid: A Comparative Study","Xiao, J. (TU Delft DC systems, Energy conversion & Storage); Wang, L. (TU Delft DC systems, Energy conversion & Storage); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","Smart grid steady control relies heavily on the communication infrastructure among sensors, actuators, and control systems, which makes it vulnerable to cyber-attacks. Accurate acquisition of dynamic state information is deemed vital for efficient detection of these cyber-attacks on a smart grid. However, several popular state estimation methods at the present stage are restricted in practical use and require some assumptions. In this paper, we investigate the security of smart grid systems. We (1) identify and define the security problem in the smart grid, (2) compare the performance of several state estimate methods including Least Square, Kalman filter, Extend Kalman filter, in identifying smart grid dynamic information using measurements, and (3) investigate the Chi-square detector, Euclidean Distance, and Cosine similarity matching approaches for attack detection.","Cyber attack detection; Kalman filter; smart grid; State estimate","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-05","","","DC systems, Energy conversion & Storage","","",""
"uuid:13def59c-4dad-42e8-9e3c-959b0156bee0","http://resolver.tudelft.nl/uuid:13def59c-4dad-42e8-9e3c-959b0156bee0","Imaging the CarbFix2 Reinjection Reservoir at Hellisheiði, Iceland, with Body-wave Seismic Interferometry","Hassing, S.H.W. (TU Delft Applied Geophysics and Petrophysics); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Barnhoorn, A. (TU Delft Applied Geophysics and Petrophysics); Janssen, M. (Student TU Delft)","","2022","In July 2021, passive seismic data has been recorded on a network of geophones at the CarbFix2 injection site at Hellisheiði, Iceland. This data is processed using seismic interferometry to get an image of the injection reservoir. The data is split up into noise panels. Panels dominated by body-wave energy are selected using an illumination analysis. In panels where the dominant event has a (near) vertical incidence, each trace is autocorrelated to get a zero-offset section. In panels where the dominant event is recognized as a body-wave event, all the traces are crosscorrelated, obtaining virtual common-shot gathers. This is processed with a reflection-seismology workflow to obtain a stacked section. Comparing the two final sections shows that similar reflectors are imaged. The zero-offset section shows a higher frequency content, while the stacked section shows more continuous reflectors. Comparison with a local geological model shows that the results are plausible, but that a better interpretation has to wait for more results of the same survey to be processed.","","en","conference paper","EAGE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:edbf945f-ef9e-4d48-9589-f64437f11960","http://resolver.tudelft.nl/uuid:edbf945f-ef9e-4d48-9589-f64437f11960","Prognosticeren impact zero emissiezones (ZEZ) op logistiek en emissies","de Bok, M.A. (TU Delft Transport and Planning; Significance); Thoen, Sebastiaan (Significance); Van den Berg, Monique (Rijkswaterstaat); Spruijt, John (Rijkswaterstaat)","","2022","Het klimaatakkoord, milieu zones en zero emissie zones in steden en andere duurzame ontwikkelingen betekenen voor de logistieke sector dat de wereld veranderd. Zowel overheden als verladers en vervoerders bereiden zich voor op deze veranderingen. Prognoses en modelberekeningen kunnen hulp bieden bij het in kaart brengen van de te verwachte effecten van deze ontwikkelingen op vervoerspatronen, verkeersprestaties en emissies. Op basis van de aan de TU Delft ontwikkelde Tactical Freight Simulator wordt het goederenvervoermodel BasGoed uitgebreid met een logistieke module waarbij de mogelijke impact van Zero Emissie Zones geprognosticeerd kan worden. Eerste resultaten tonen aan dat het invoeren van ZEZ effect heeft op zowel de uitstoot als de verkeersprestaties. De zones zorgen voor het gebruik van duurzamere voertuigtypes, waardoor er minder uitstoot is. De distributiecentra aan de rand van de ZEZ zorgen ook voor een andere inrichting van de logistieke processen. Hierdoor wordt de verkeersprestatie beïnvloed. De emissies binnen de ZEZ nemen duidelijk af, terwijl op de omliggende wegen een gemengd beeld optreedt: door schonere voertuigen nemen de emissies af, maar doordat er meer kilometers gemaakt worden om bij de distributiecentra te komen nemen de emissies toe. Afhankelijk van de locatie verschilt het welke van deze effecten de overhand heeft. Doorontwikkeling van het model is nodig om het als volwaardige module op te nemen in het goederenvervoermodel BasGoed. Uiteindelijk zal het model dan gebruikt kunnen worden om inzicht te geven in effecten van verschillende maatregelen rondom de ZEZ.","","nl","conference paper","","","","","","","","","","","Transport and Planning","","",""
"uuid:32a9b93d-fa9f-40b9-a872-8ac379c8194d","http://resolver.tudelft.nl/uuid:32a9b93d-fa9f-40b9-a872-8ac379c8194d","De emissiereductie van het wegtransport: zijn de klimaatdoelen haalbaar?","de Bok, M.A. (TU Delft Transport and Planning; Significance); Thoen, Sebastiaan (Significance); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics)","","2022","Het reduceren van de CO2 uitstoot van wegvervoer is een uitdagende opgave voor het bereiken van de klimaatdoelstellingen. In het 'Fit for 55' programma van de EC wordt een 55% reductie van de CO2 uitstoot in 2030 gehanteerd ten opzichte van de uitstoot in 1991. De transitie die moet plaatsvinden zal gefaciliteerd moeten worden door een combinatie van nieuwe oplossingen. Maar in hoeverre is dit doel haalbaar?
Om de impact te toetsen passen we een gedesaggergeerd simulatie model toe om te verkennen welke emissiereductie haalbaar zijn als maatregelen worden gehanteerd. De decarbonisatie scenario's zijn gebaseerd op een verkenning van de literatuur naar mogelijke maatregelen om de emissies van het wegvervoer te reduceren.
applications. Nevertheless, the current literature lacks a vocabulary to communicate and discuss living materials in user studies. To bridge this gap, our paper presents the development of a “Living Materials Vocabulary” consisting of 45 descriptive items. Through a term frequency analysis of relevant literature and in-depth interviews with
eight biodesigners, we identified a set of descriptions which we clustered under five
themes: origin, making, agency and autonomy, temporality, and impact of living materials. We selected representative items from these themes to compile our final vocabulary. We discuss how our vocabulary can be operationalised in living material characterisation studies and further inspire future biodesign practice","biodesign; living materials; design tools; materials experience","en","conference paper","Design Research Society","","","","","","","","","","Emerging Materials","","",""
"uuid:6f838ef3-bd2d-4735-9857-dba8101c321d","http://resolver.tudelft.nl/uuid:6f838ef3-bd2d-4735-9857-dba8101c321d","Are short product lifetimes ineluctable?: An exploration of consumers’ perceptions of lifetime extension strategies","Magnier, L.B.M. (TU Delft Marketing and Consumer Research); Mugge, R. (TU Delft Marketing and Consumer Research)","Lockton, D. (editor); Lenzi, S.L. (editor); Hekkert, P.P.M. (editor); Oak, A. (editor); Sadaba, J. (editor); , P.A. Lloyd (editor)","2022","There is consensus that product lifetimes are generally decreasing. To create a sustainable society, the circular economy promotes slowing down the use of resources by lengthening product lifetimes. This is especially important for electronic products that are energy-intensive in their production phase and create vast amounts of waste after use. While design strategies have been proposed to lengthen product lifetimes, it is unclear whether consumers deem them effective. This paper proposes an overview of lifetime extension strategies for electronic products and reports the results of a quantitative study with 617 participants who were asked to evaluate the extent to which these strategies could have extended the lifetime of a recently replaced product. Results indicate that the durability / reliability strategy is most effective. However, consumers are not yet convinced of the effectiveness of most strategies","product lifetime; premature obsolescence; lifetime extension strategies,; consumer perceptions","en","conference paper","Design Research Society","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:be96e9ec-2161-4d11-b90e-ef8ba15d4aeb","http://resolver.tudelft.nl/uuid:be96e9ec-2161-4d11-b90e-ef8ba15d4aeb","Sounds that satisfy:: Describing the relationship between sound and need fulfilment","Louwers, G.L.M. (TU Delft Design Aesthetics); Ozcan Vieira, E. (TU Delft Design Aesthetics); Van Bommel, Jasper (Erasmus MC); Pont, S.C. (TU Delft Human Information Communication Design)","","2022","Psychological needs of users as a basis for design are at the core of design practice, yet the importance of fundamental human needs when designing soundscapes has not been studied specifically. This paper investigates the relationship between nine fundamental human needs and the affective qualities and categories of soundscapes. In a free-labeling survey study, we collected descriptions of imagined sound environments for the fulfilment of the needs, as well as ratings of the perceived affective quality of these environments. We found that needs were associated with pleasant soundscapes, while their eventfulness varied. ‘Human’ sounds were a common category for each of the nine needs considered in this study, but systematic variations of the categories were found dependent on the need. Results suggest that designing categorically different soundscapes dependent on the users’ needs will have beneficial effects.","Needs; Soundscape; Design; Well-being","en","conference paper","","","","","","","","","","","Design Aesthetics","","",""
"uuid:bd5b3627-0f99-4fba-9cdb-5dc846899107","http://resolver.tudelft.nl/uuid:bd5b3627-0f99-4fba-9cdb-5dc846899107","Federated Learning for Tabular Data: Exploring Potential Risk to Privacy","Wu, Han (Newcastle University); Zhao, Z. (TU Delft Dataintensive Systems); Chen, Lydia Y. (TU Delft Dataintensive Systems); van Moorsel, Aad (University of Birmingham)","Ceballos, Cristina (editor)","2022","Federated Learning (FL) has emerged as a potentially powerful privacy-preserving machine learning method-ology, since it avoids exchanging data between participants, but instead exchanges model parameters. FL has traditionally been applied to image, voice and similar data, but recently it has started to draw attention from domains including financial services where the data is predominantly tabular. However, the work on tabular data has not yet considered potential attacks, in particular attacks using Generative Adversarial Networks (GANs), which have been successfully applied to FL for non-tabular data. This paper is the first to explore leakage of private data in Federated Learning systems that process tabular data. We design a Generative Adversarial Networks (GANs)-based attack model which can be deployed on a malicious client to reconstruct data and its properties from other participants. As a side-effect of considering tabular data, we are able to statistically assess the efficacy of the attack (without relying on human observation such as done for FL for images). We implement our attack model in a recently developed generic FL software framework for tabular data processing. The experimental results demonstrate the effectiveness of the proposed attack model, thus suggesting that further research is required to counter GAN-based privacy attacks.","Federated learning; GAN; Privacy; Tabular Data","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Dataintensive Systems","","",""
"uuid:0ca03ce5-f87d-4f65-a28c-4884f126ce7a","http://resolver.tudelft.nl/uuid:0ca03ce5-f87d-4f65-a28c-4884f126ce7a","Buckling test of stiffened panels: Modeling and vibrational correlation testing","Peeters, D.M.J. (TU Delft Aerospace Structures & Computational Mechanics); Pagani, Alfonso (Politecnico di Torino); Augello, Riccardo (Politecnico di Torino); Carrera, Erasmo (Politecnico di Torino); do Prado, Alex Pereira (EMBRAER); Cabral, Pedro Higino (EMBRAER); dos Santos, Henrique E.A.A. (EMBRAER)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","Representative stiffened panels are optimized such that multiple buckling modes and failure (using open hole allowables) occur within a range of 10% of the lowest buckling load. This implies the panels cannot be loaded up to the buckling load without risking failure, hence vibrational correlation testing was used to estimate the buckling loads and modes. At the same time, a finite element model was created using the Carrera Unified Formulation. This model was validated using the tests and a good correlation between both was observed. Three panels were manufactured and each panel was put in place for testing twice. Each time a panel was put in place, the test was repeated three times. This allowed us to get a ballpark estimate for the variation due to replicas of the panel, the test set-up and repeating the tests.","vibrational correlation; buckling; stiffened panel","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:9202aacd-2f6c-4f59-af37-9699fc801346","http://resolver.tudelft.nl/uuid:9202aacd-2f6c-4f59-af37-9699fc801346","Improving the computational efficiency of ROVIO","Bahnam, S.A. (TU Delft Control & Simulation); de Wagter, C. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation)","","2022","ROVIO is one of the state-of-the-art mono visual inertial odometry algorithms. It uses an Iterative Extended Kalman Filter (IEKF) to align features and update the vehicle state simultaneously by including the feature locations in the state vector of the IEKF. This algorithm is single core intensive, which allows using the other cores for other algorithms, such as object detection and path optimization. However, the computational cost of the algorithm grows rapidly with the total number of features. Each feature adds three new states (a 2D bearing vector and inverse depth), leading to bigger matrix multiplications which are computationally expensive. The main computational load of ROVIO is the iterative part of the IEKF. In this work, we reduce the average computational cost of ROVIO by 40% on an NVIDIA Jetson TX2, without affecting the accuracy of the algorithm. This computational gain is mainly achieved by utilizing the sparse matrices in ROVIO.","Hybrid MAVs; Incremental Nonlinear Dynamic Inversion; UAV","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:f83c2cfd-635d-4adb-a5ff-06cea34a3db4","http://resolver.tudelft.nl/uuid:f83c2cfd-635d-4adb-a5ff-06cea34a3db4","Preface","de Croon, G.C.H.E. (TU Delft Control & Simulation); de Wagter, C. (TU Delft Control & Simulation)","","2022","","Hybrid MAVs; Incremental Nonlinear Dynamic Inversion; UAV","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:8adf437a-5350-4444-a9c4-4b4423c20a5a","http://resolver.tudelft.nl/uuid:8adf437a-5350-4444-a9c4-4b4423c20a5a","Enhancement of mode I fracture toughness of adhesively bonded secondary joints using different layup patterning of CFRP","de Araujo Alves Lima, Rosemere (TU Delft Structural Integrity & Composites); Oswal, Akshit (ENSTA); Roux, N. (TU Delft Structural Integrity & Composites); Bernasconi, Andrea (Politecnico di Milano); Carboni, Michele (Politecnico di Milano); Carrere, Nicolas (ENSTA); Teixeira De Freitas, S. (TU Delft Structural Integrity & Composites)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","Delamination growth in fibre reinforced polymer composites is generally evaluated with experiments that have been standardized for quasi-static load conditions. These tests characterize unidirectional delamination growth in mode I (DCB), mode II (ELS or ENF) of mixed mode conditions (MMB). However, little attention is paid in literature to the applicability of these tests to in-service delamination problems that are generally characterized by planar delamination growth. In this study, the relation between planar delamination growth, induced by transverse quasi-static indentation loading, and these unidirectional delamination tests was investigated. To that aim, prior planar delamination growth tests reported in literature, performed at EPFL, were analysed to identify up to what extent this planar growth could be correlated to the concepts of strain energy release and strain energy density. Once this appeared to successful, an experimental setup was designed to measure the delamination boundary during the transverse indentation loading of planar delamination specimens made of nontransparent carbon fibre reinforced polymer composites. With that set-up, quasi-static and fatigue planar delamination growth experiments were performed, and delamination contours could be successfully captured. While the quasi-static tests revealed limited growth, evaluation with numerical simulations revealed that the indentation force required to extend the delamination quasi-statically would cause damage to the specimen. This is attributed to the increasing length of the delamination contour when delaminations expand, which is not the case with standard unidirectional specimen. With the fatigue tests, however, delamination growth was achieved, but interestingly enough two phases were observed; first the delamination propagated in a planar fashion, while at some point in time work did not exceed an apparent threshold. Instead of no growth, however, the delamination still increased but then in a transverse manner. What makes this study of particular interest, is that the strain energy density as criterion could capture the strain energy offered (work) along the entire delamination contour, while the strain energy release rate described the resistance to delamination growth. This latter observation is in agreement with the original concept employed by Griffith when he formulated the basis of linear elastic fracture mechanics. This presentation present the experiments performed, the analysis of results, and will conclude with a proposal how to relate standard unidirectional tests to planar growth, considering that these standard tests contain little to no information on transverse phenomena with respect to strain energy density (work) and strain energy release (dissipation).","Delamination; Unidirectional; Planar; Physics; Fracture Mechanics","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:b7e82ae7-92b8-4d4e-b3af-4858bbf05008","http://resolver.tudelft.nl/uuid:b7e82ae7-92b8-4d4e-b3af-4858bbf05008","Promoting extrinsic bridging of adhesively-bonded CFRP joints through the adhesive layer architecture","Tao, R. (TU Delft Structural Integrity & Composites; King Abdullah University of Science and Technology (KAUST)); Lubineau, Gilles (King Abdullah University of Science and Technology (KAUST)); Teixeira De Freitas, S. (TU Delft Structural Integrity & Composites)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","Carbon fiber-reinforced polymers (CFRPs) have widely attracted the aerospace and automotive industries due to high stiffness and lightweight. Secondary adhesive bonding of CFRPs is a promising research field to fully explore their potential. However, multiple challenges have limited the further application of adhesively-bonded composite joints since it is difficult to inspect the premature debonding, which leads to catastrophic failure once initiated. Thus, it is crucial to introduce crack arrest features, to slow down (or even stop) the crack growth and achieve progressive failure. Various methods have been reported to introduce crack arrest features, including z-pins and corrugated substrates. Our previous work directly utilized the adhesive layer to bridge the separating CFRP parts, through the extrinsic bridging of adhesive ligaments. The bridging adhesive ligaments are triggered by the patterning of distinct surface treatments. These extrinsic bridging ligaments largely enhance the energy release rate (ERR) and successfully arrest the crack propagation. However, a large portion of the required energy for the further crack propagation is stored elastically in the stretching ligaments, which would cause catastrophic fast joint debonding after the failure of ligaments. In this work, the adhesive layer was architected in order to improve its plasticity. By promoting the plastic energy dissipation, the bridging, stretching, and failure of generated adhesive ligaments could result in tougher and safer joints. CFRP substrates were alternatively patterned by two distinct surface treatments to achieve different interfacial strength and toughness values. Then, double-cantilever beams (DCB) were manufactured by bonding treated substrates with the architected adhesive material, such as integrating 3D-printed nylon wires or newly synthesized adhesive material. Results showed that the proposed joint toughening strategy could improve ERR compared to conventional uniform treatments and increasd adhesive plasticity could also stabilize the crack propagation, leading to a safer joint.","adhesive joint; extrinsic bridging; Toughening; DCB; plastic energy dissipation","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:f46acd5b-7948-4bbf-9f6d-69443451b836","http://resolver.tudelft.nl/uuid:f46acd5b-7948-4bbf-9f6d-69443451b836","The durability of adhesively joints in space structure during interplanetary exploration","Charpentier, G.V.M. (TU Delft Structural Integrity & Composites); Lafont, Ugo (European Space Agency (ESA)); Teixeira De Freitas, S. (TU Delft Structural Integrity & Composites)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","Spacecrafts are subjected to very few mechanical loads but in the future with reusable spacecraft designed for interplanetary explorations and with the repetition of landing and take off, structures will be subjected to significant mechanical loads. The degradation due to space aging conditions, of the adhesive materials used as joints in space structure is not known yet for such a reusable spacecraft on long term exploration. Two adhesives used in spacecraft structures were investigated: Scotch-Weld EC-2216 and Scotch- WeldTM EC-9323-2. Those adhesives were exposed to two aging conditions from a space environment point of view: (1) electron irradiation using a Van de Graaf particle accelerator and (2) thermal vacuum cycling. Change in the adhesives properties was assessed before and after space environment exposure to understand related effect on their mechanical performance using CFRP and aluminium adherents, including, tensile tests, peel tests, double cantilever beam (DCB) tests, as well as evolution of their intrinsic properties by mean of dynamic mechanical analysis (DMA), differential scanning calorimetry (DSC) and fourier transformed infrared spectroscopy (FTIR). It has been found that electron irradiation accelerates the completion of the curing reaction in EC-2216 and reduces fracture toughness, peel load, Young’s modulus, tensile strenght for both adhesives, which degrade adhesion integrity. The effects of thermal vacuum cycling on adhesives and adhesion differ, with certain attributes being reduced as Young Modulus, tensile strength or glass transition temperature and others being increased as fracture toughness. Effects of space environment are visible and very significant on these adhesives. The results of this study form a preliminary basis for long term prediction of adhesive bond behaviour in space.","adhesive; space environment; adhesion; aging","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:e4c36aad-dbb6-4e33-8210-9a5a193a380b","http://resolver.tudelft.nl/uuid:e4c36aad-dbb6-4e33-8210-9a5a193a380b","Development and characterization of hybrid thin-ply composite materials","Argyropoulos, Alexios (Swiss Federal Institute of Technology; North Thin Ply Technology Sàrl); Caglar, Baris (TU Delft Aerospace Manufacturing Technologies); Gomarasca, S. (TU Delft Aerospace Manufacturing Technologies); Ricard, Thomas (North Thin Ply Technology Sàrl); Michaud, Véronique (Swiss Federal Institute of Technology)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","Thin-ply composites are recognized as a key solution for the manufacturing of high-performance composite structures due to the unique mechanical properties and the increased design versatility that they offer. They are obtained with state-of-the-art fiber spreading methods where high-count (6-24K filaments) tows of technical fibers (carbon, glass) are thinned by spreading into flat unidirectional tapes which are then combined with a polymer matrix to create pre-impregnated (prepregs) tapes of reduced thickness. In recent years, the industrialization of fiber spreading and impregnation processes enabled the large-scale production of homogenous thin-ply prepregs with thicknesses down to about 15μm per ply, which attracted the interest of the research community. However, the high production cost due to the complexity of the manufacturing methods and the inherent brittleness of thin-ply composites limit their wider adoption by the composites industry[1]. Fiber hybridization (i.e combining at least two types of fibers in a common matrix) is emerging as a promising approach for alleviating these drawbacks towards laminates with balanced characteristics in terms of mechanical properties and cost-efficiency. Currently, most studies on thin-ply hybrids employ simple interlayer (ply-by-ply) configurations mainly due to difficulties in manufacturing of more complex hybrid architectures[2]. However, simulation tools predict that notable improvements can be obtained from more complex intralayer (tow-by-tow) and intrayarn (fiber-by-fiber) hybrid architectures[3]. This work focuses on the study of existing fiber spreading methodologies, the development of equipment, and the optimization of composite processing at North Thin Ply Technology (NTPT) Renens, Switzerland, that allowed the manufacturing of hybrid composites with a high degree of fiber dispersion and controlled microstructure. Hybrid prepregs were produced by combining various ratios of dissimilar fibers following different processing routes. Composite laminates were manufactured and a versatile microstructural analysis tool was developed that enabled correlations between the manufacturing route, the resulting microstructural features describing the degree of co-dispersion, and the mechanical performance of the final part. Acknowledgments The research leading to these results has been performed within the framework of the HyFiSyn project and has received funding from the European Union’s Horizon 2020 research and innovation programme under the Marie Skłodowska-Curie grant agreement No 765881. Delamination growth in fibre reinforced polymer composites is generally evaluated with experiments that have been standardized for quasi-static load conditions. These tests characterize unidirectional delamination growth in mode I (DCB), mode II (ELS or ENF) of mixed mode conditions (MMB). However, little attention is paid in literature to the applicability of these tests to in-service delamination problems that are generally characterized by planar delamination growth. In this study, the relation between planar delamination growth, induced by transverse quasi-static indentation loading, and these unidirectional delamination tests was investigated. To that aim, prior planar delamination growth tests reported in literature, performed at EPFL, were analysed to identify up to what extent this planar growth could be correlated to the concepts of strain energy release and strain energy density. Once this appeared to successful, an experimental setup was designed to measure the delamination boundary during the transverse indentation loading of planar delamination specimens made of nontransparent carbon fibre reinforced polymer composites. With that set-up, quasi-static and fatigue planar delamination growth experiments were performed, and delamination contours could be successfully captured. While the quasi-static tests revealed limited growth, evaluation with numerical simulations revealed that the indentation force required to extend the delamination quasi-statically would cause damage to the specimen. This is attributed to the increasing length of the delamination contour when delaminations expand, which is not the case with standard unidirectional specimen. With the fatigue tests, however, delamination growth was achieved, but interestingly enough two phases were observed; first the delamination propagated in a planar fashion, while at some point in time work did not exceed an apparent threshold. Instead of no growth, however, the delamination still increased but then in a transverse manner. What makes this study of particular interest, is that the strain energy density as criterion could capture the strain energy offered (work) along the entire delamination contour, while the strain energy release rate described the resistance to delamination growth. This latter observation is in agreement with the original concept employed by Griffith when he formulated the basis of linear elastic fracture mechanics. This presentation present the experiments performed, the analysis of results, and will conclude with a proposal how to relate standard unidirectional tests to planar growth, considering that these standard tests contain little to no information on transverse phenomena with respect to strain energy density (work) and strain energy release (dissipation).","hybrid composites; thin-ply; microstructural characterization","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:93b3c680-33ed-48aa-9051-f2475e93e707","http://resolver.tudelft.nl/uuid:93b3c680-33ed-48aa-9051-f2475e93e707","Stochastic Modelling of Randomly Oriented Tapes Thermoplastic Composites in Net-Shaped Specimens","Gülmez, D.E. (TU Delft Aerospace Manufacturing Technologies); Sinke, J. (TU Delft Aerospace Manufacturing Technologies); Dransfeld, C.A. (TU Delft Aerospace Manufacturing Technologies)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","Discontinuous tape composites have considerable attention due to their high formability and tailorable structures. Despite their advantages, this discontinuity leads to complex structures and makes it difficult to predict their mechanical properties. On the other hand, they have high orientational and dimensional sensitivity, which causes spatial variability and complexity in the structure to predict the mechanical properties. This spatial variability is also related to the mould cavity. A constitutive model was improved to explain the relationship between DT orientations and the mould cavity. According to the modelling technique, a random DT distribution was generated by Random Sequential Adsorption then, the Set Voronoi Tessellation was implemented to obtain DT layers. Afterwards, the Classical Laminate Theory and Finite Element Method were applied to compare the virtual net-shaped DT specimens. The results of both methods showed high stiffness at the edges of the specimens.","Discontinuous reinforcements; cavity edge effect; stiffness model; finite element modelling","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:a846b380-e498-45c6-af55-cae3900236cd","http://resolver.tudelft.nl/uuid:a846b380-e498-45c6-af55-cae3900236cd","Processing of Fibre Reinforced Polymers by Controlled Radical Induced Cationic Frontal Polymerisation","Staal, Jeroen (Swiss Federal Institute of Technology); Smit, Edgar (Swiss Federal Institute of Technology); Caglar, Baris (TU Delft Aerospace Manufacturing Technologies); Michaud, Véronique (Swiss Federal Institute of Technology)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","Radical Induced Cationic Frontal Polymerisation (RICFP) has recently been proposed as a promising strategy for processing of epoxide carbon fibre reinforced polymers. Control of the local heat balance is crucial towards the production of industrial-quality composites, which is typically achieved via controlling the heat generation. In this work we present a comprehensive overview of RICFP processing of cycloaliphatic epoxide composites with enhance heat insulation. The thermal initiating compound was identified as the main component to control heat generation, which correlated well with the front velocity. A processing window was defined as function of the fibre and initiator contents and composites with to 45.8% Vf were successfully produced. Optimisation of resulting mechanical properties was made possible by optimisation of the heat balance, with matrix glass transition temperatures of up to 187°C achieved for the used cycloaliphatic system. Post-curing was found beneficial to overcome suggested inhomogeneous curing due to the dual-scale nature of fabrics.","frontal polymerization; composite processing; fibre reinforced polymer composite","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:8d3fdb69-9884-47dd-971d-86b0458b2436","http://resolver.tudelft.nl/uuid:8d3fdb69-9884-47dd-971d-86b0458b2436","Identifying microstructural features in unidirectional composite tapes","Katuin, N. (TU Delft Aerospace Manufacturing Technologies); Peeters, D.M.J. (TU Delft Aerospace Structures & Computational Mechanics); Dransfeld, C.A. (TU Delft Aerospace Manufacturing Technologies)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","Carbon fibre-reinforced polymer composites (CFRPs) outperform most structural engineering materials in specific stiffness and/or specific strength, especially in their unidirectional configuration. Unidirectional composites can be found as individual structural elements in cables or pin-loaded straps; they are however most commonly found in the form of tapes, representing a semi-finished product for subsequent processing to laminates by tape laying, winding or press moulding. The outstanding properties of such composites are affected by its microstructure. It influences the structural performance and fatigue life when architected into thin ply composites [1]. The microstructure is also affected by processing conditions, respectively recursively affects processability as observed in the deconsolidation [2] or intimate contact formation [3] during laser assisted tape laying. This work presents a novel approach to identify microstructural features. This is achieved by Voronoi tessellation-based evaluation of the fibre volume content on cross-sectional micrographs, with consideration of the matrix boundary. The method [4] is shown to be robust and is suitable to be automated and has the potential to be expanded into 3d imaging techniques [5]. It further has the potential to discriminate specific microstructural features and to relate them to processing behaviour. The method is experimentally validated on tape samples with characteristic processing history.","Microstructure; Unidirectional; Composites; Voronoi","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:9762d28a-b5f6-4b95-83b3-165980269b2e","http://resolver.tudelft.nl/uuid:9762d28a-b5f6-4b95-83b3-165980269b2e","Field testing of axially loaded piles in dense sand","Duffy, K. (TU Delft Geo-engineering); Gavin, Kenneth (TU Delft Geo-engineering); Askarinejad, A. (TU Delft Geo-engineering); Korff, M. (TU Delft Geo-engineering); de Lange, D.A. (Deltares); Roubos, A.A. (Port of Rotterdam Authority)","","2022","Large areas of the Netherlands are dominated by deep, soft soil deposits, posing a challenge to engineers with respect to the design of axially loaded foundations. The design of these foundations is primarily based on methods which use cone penetration test (CPT) parameters, such as that outlined in the Dutch national standard NEN 9997-1. A recent update to this standard included revised reduction factors for the pile base resistance. However, it is believed that this update is overly conservative in certain design situations, leading to increasing cost, environmental impact and difficulty of installation. As a result, a national research project was initiated to enhance the understanding of pile-soil interaction effects and their influence on pile design methods. As part of this project, a series of large-scale field tests on fully instrumented piles in dense sand has been executed and are being analysed with a view to refining the national standards and contribute to the existing knowledgebase on piles worldwide. This paper shall provide an overview of two pile test sites that have been developed as part of the programme, along with the design of the testing programme and an overview of the results.","pile design; fibre optics; field testing; cone penetration test; load testing","en","conference paper","Australian Centre for Geomechanics (ACG)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Geo-engineering","","",""
"uuid:7291c660-065c-4221-8baf-57a7b3f98e65","http://resolver.tudelft.nl/uuid:7291c660-065c-4221-8baf-57a7b3f98e65","Shearography non-destructive testing of a composite ship hull section subjected to multiple impacts","Tao, N. (TU Delft Structural Integrity & Composites); Anisimov, A. (TU Delft Structural Integrity & Composites); Elenbaas, Marcel (Damen Shipyards Group); Groves, R.M. (TU Delft Structural Integrity & Composites)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","The use of thick composites and sandwich structures is increasing rapidly in marine, aerospace, and wind energy industries [1–3]. For example in the marine sector, sandwich structures consisting of glassfiber laminate skins bonded to a foam core are attractive because of the advantages of being light-weight, resistant to corrosion and underwater shocks, and cost-effective [4]. The thickness of these structures can be more than 50 mm. Nevertheless, various defects including delaminations and fiber breakage tend to occur in thick composites because of material complexity. These defects can arise from extreme loads such as impact and blast and can degrade material properties and structural integrity significantly. Hence, it is important to advance non-destructive testing (NDT) towards composite structures of significant thickness. The objective of this study is to perform shearography NDT of a large-scale thick composite structure, specifically a composite ship hull section in a shipyard environment. Shearography is a full-field and non-contact optical NDT method. It reveals defects by comparing two states of deformation of a test object. By applying a suitable loading, the defects can be revealed by looking for defect-induced anomalies in fringe maps or phase maps, which can be related to surface strain components. The composite ship hull section is a RAMSSES (www.ramsses-project.eu) demonstrator at Damen Shipyards. Before shearography inspection, multiple impact tests surpassing helicopter emergency landing loads (https://vimeo.com/522716506) have been performed on the hull shell and its composite helicopter deck for proving the resilience of composites to harsh marine environments. We will present our experimental results on shearography inspection of the impact damage in this large-scale composite structure. A total area of about 1×1.5 m2 was inspected by stitching six fields of view of 0.6×0.6 m2. Different heating scenarios including step heating as well as a mechanical loading were performed for shearography NDT. A brief comparison between thermal loading and mechanical loading on thick composite inspection with shearography will also be reported. Our previous work with a 51 mm thick marine laminate [5] showed that defects at 5 to 20 mm depth can be detected successfully using shearography with thermal loading. Here we aim at bringing the technique out of the laboratory and extending shearography to applications to composites with a thickness of more than 50 mm.","thick composite inspection; shearography; impact; thermal loading; mechanical loading; composite ship hull section","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:e96c6009-f1c2-4f1a-99bc-86a41810949f","http://resolver.tudelft.nl/uuid:e96c6009-f1c2-4f1a-99bc-86a41810949f","In-Situ Monitoring of Weld Line Thickness in Continuous Ultrasonic Welding of Thermoplastic Composites","Akay, E. (TU Delft Aerospace Structures & Computational Mechanics); Köhler, F. (TU Delft Aerospace Manufacturing Technologies); Villegas, I.F. (TU Delft Aerospace Structures & Computational Mechanics)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","Continuous ultrasonic welding (CUW) is one of the most efficient integration methods of thermoplastic composites. Researchers from our group have already utilized CUW method by using both frame based and robotic welding platforms to achieve sufficient amount of joint strength for aerospace applications [1-3]. On the other hand, the implementation of this method into an industrial manufacturing process still requires the ability of consistent and high-quality welding. It is obvious that a sophisticated monitoring system, which is developed for ensuring the highest-level of weld quality, will play a key role to transform CUW method into a commonly relied on industrial tool. Typically, experimental techniques like micrograph, mechanical testing and fracture surface examination are used to determine the performance of a welded composite joint, which provide the opportunity of correlating the process parameters and other process data with the welded joint performance. Within the context of this study, several experiments are conducted using the welded composite plates by robotic CUW system. It’s seen that the overall weld-line thickness, among other parameters, indicates a remarkable correlation with lap shear strength of welded joints. The results show that for weld line thicknesses above the original energy director thickness, considerable voids can be found in the weld interface. On the other hand, samples with a lower thickness than the original energy director show less voids and improved lap shear performance. After evaluating the aforementioned experimental outcomes, a weld monitoring system is designed for continuous measurement of weld line thickness for in-situ monitoring purposes. Weld monitoring system is built on a frame based continuous ultrasonic welding platform as seen in Figure 1, where the laser sensors are utilized to perform very precise thickness distribution analysis along the weld line during the continuous monitoring applications. A specialized python code is created to analyze the raw sensor data for monitoring purposes. Different monitoring system iterations and python code pairs tested and compared to achieve the most accurate monitoring experience. Results indicate that laser sensor based monitoring system provides very sensitive weld line thickness measurements, which can be related to the weld quality for industrial applications.","Thermoplastic Composites; In-situ Monitoring; Continuous Ultrasonic Welding; Thickness Measurement; Laser Sensors","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:217b5428-21ba-4295-a5c2-9fb861fb93d2","http://resolver.tudelft.nl/uuid:217b5428-21ba-4295-a5c2-9fb861fb93d2","Measurement of damage growth in ultrasonic spot welded joint","Smeets, E.T.B. (TU Delft Structural Integrity & Composites); Rans, C.D. (TU Delft Structural Integrity & Composites); Alderliesten, R.C. (TU Delft Structural Integrity & Composites); Castro, Saullo G.P. (TU Delft Aerospace Structures & Computational Mechanics); Villegas, I.F. (TU Delft Aerospace Structures & Computational Mechanics)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","Ultrasonic spot welding is a joining technique for thermoplastic composites with great potential regarding processing speed and cost. To investigate the damage tolerance and possible inherent damage arresting behavior of multi-spot welded joints, a technique is necessary to measure damage growth in the joints under cyclic loading. Visual inspection is not possible because the damage is not located on the outside surface and conventional techniques such as C-scan are not practical during a fatigue test because the specimen would have to be removed from the setup. This paper details a methodology for quantifying damage growth rates in singlespot welded joints using surface strain measurements made by Digital Image Correlation. This represents the first step towards developing a methodology for quantifying damage progression behavior in complex multi-spot welded joints.","Ultrasonic spot welding; thermoplastic composites; Digital image correlation; Fatigue; damage growth","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:bf210724-e6e9-497d-ad56-d77b880d54c3","http://resolver.tudelft.nl/uuid:bf210724-e6e9-497d-ad56-d77b880d54c3","A new virtual fiber modeling approach to predict the kinematic and mechanical behavior of through-thickness fabric compression","Daelemans, Lode (Universiteit Gent); Dung Dinh, Tien (Universiteit Gent); Caglar, Baris (TU Delft Aerospace Manufacturing Technologies); Michaud, Véronique (Swiss Federal Institute of Technology); Van Paepegem, Wim (Universiteit Gent)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","We propose a new modeling strategy based on hybrid elements for virtual fiber modeling (also known as the digital element method) to predict both kinematics as well as mechanics of woven fabrics. In virtual fiber modeling, yarns are modeled consisting of a number of discrete fibers. We show that through the development of a modeling strategy based on hybrid elements, we are able to impose correct properties in the fiber direction, as well as out-of-plane properties thanks to the inclusion of fiber bending stiffness. This approach accurately predicts the through thickness compression of a 2x2 twill glass fiber woven fabric. Both kinematically, as well as mechanically, good agreement between experiment and simulation is obtained. Ultimately, these kinds of models could allow faster virtual prototyping as the amount of experimental input is very low and can usually be found in the datasheet.","finite element modelling; textile mechanics; predictive simulation; forming","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:829a44d6-e231-4277-b362-fae7eba6a9f2","http://resolver.tudelft.nl/uuid:829a44d6-e231-4277-b362-fae7eba6a9f2","Numerical study of the delamination toughening effect of weakening and toughening patche","Trabal, Guillem Gall (Aalborg University); Bak, Brian Lau Verndal (Aalborg University); Chen, B. Y. (TU Delft Aerospace Structures & Computational Mechanics); Jensen, Simon Mosbjerg (Aalborg University); Lindgaard, Esben (Aalborg University)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","A numerical study on the feasibility of using patches of interface weakening or toughening material to trigger multiple delaminations toughening laminated composite structures against delamination is presented. The studies use an adaptive refinement formulation that uses cohesive elements to model delamination initiation and propagation. A DCB specimen is loaded under displacement control with two cohesive interfaces and a single pre-crack is introduced in one of them. The studies show that multiple delaminations can be initiated in the secondary originally uncracked interface by placing interface toughening patches at the main pre-cracked interface or interface weakening patches at the secondary one. The energy dissipation significantly increases compared to a standard DCB specimen featuring a single delamination.","Delamination toughening; multiple delamination; adaptive refinement; cohesive zone modelling; Floating Node Method","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:3d95605c-de1c-4ed6-88ff-0ca0fc68c6f4","http://resolver.tudelft.nl/uuid:3d95605c-de1c-4ed6-88ff-0ca0fc68c6f4","Experimental and numerical validation of an inter-ply friction model for thermoset based fibre metal laminate under hot-pressing conditions","Liu, S. (TU Delft Aerospace Manufacturing Technologies); Sinke, J. (TU Delft Aerospace Manufacturing Technologies); Dransfeld, C.A. (TU Delft Aerospace Manufacturing Technologies)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","Hot-pressing can be an attractive fabrication method that enables the forming of hybrid materials like thermoset based fibre metal laminates. However, the process simulation on press forming requires accurate material characterization and boundary conditions to facilitate part design for a defect-free component. In order to improve the overall predictive simulation quality, the inter-ply sliding at metal-prepreg interfaces which is one of the critical deformation mechanisms is considered. An inter-ply friction model has been established using an experimental friction test apparatus and the effect of slip rate, normal force and temperature is taken into consideration. To validate the proposed friction model, a comparative study between results obtained from the numerical model and the experimental ones is carried out. The research demonstrates that the inter-ply friction model can be a valuable building block for the finite element simulation of the hot-pressing process for thermoset based fibre metal laminates.","Fibre metal laminates (FMLs); Inter-ply friction; Hot-pressing; Numerical simulation","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:70e4e99b-fd91-4f9c-a8c1-cc0bad299642","http://resolver.tudelft.nl/uuid:70e4e99b-fd91-4f9c-a8c1-cc0bad299642","Damage arrest mechanisms in nanoparticle interleaved composite interfaces","Subramanian, N. (TU Delft Aerospace Structures & Computational Mechanics); Bisagni, C. (TU Delft Aerospace Structures & Computational Mechanics)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","The effectiveness of carbonaceous nanoparticles in arresting and delaying damage in nanocomposites has been attributed to multiscale toughening mechanisms. To explore their application in joined interfaces of composites, this study investigates the use of carbon nanotube (CNT) interleaved films for co-cured joining of composite parts and their consequent effects on the interfacial fracture toughness. Carbon nanotubes dispersed in a thermoset resin into thin films of two discrete thicknesses (200 μ and 500 μ) and three concentrations of CNT dispersion were chosen for this study (0.5% wt., 1% wt., and 2% wt.). The films were semi-cured in the oven before being incorporated as interleaves in the composite laminate interface. Fracture toughness of the interface in mode I loading conditions was determined through double cantilever beam (DCB). Micrographs of the fracture surfaces reveal a slip-and-stick based crack jump and arrest phenomena in mode I when nanoparticles are added to the interleaved interface. The thickness of the interleaves has a more significant effect on mode I toughening mechanisms than the concentration of the nanoparticles.","Co-cured composite interface; Interleaf; carbon nanotubes; Fracture toughness","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:1ea57878-78e3-4e39-865d-7aedde88f924","http://resolver.tudelft.nl/uuid:1ea57878-78e3-4e39-865d-7aedde88f924","Distributed Influence-Augmented Local Simulators for Parallel MARL in Large Networked Systems","Suau, M. (TU Delft Interactive Intelligence); He, J. (TU Delft Interactive Intelligence); Çelikok, Mustafa Mert; Spaan, M.T.J. (TU Delft Algorithmics); Oliehoek, F.A. (TU Delft Interactive Intelligence)","Koyejo, S. (editor); Mohamed, S. (editor); Agarwal, A. (editor); Belgrave, D. (editor); Cho, K. (editor); Oh, A. (editor)","2022","Due to its high sample complexity, simulation is, as of today, critical for the successful application of reinforcement learning. Many real-world problems, however, exhibit overly complex dynamics, which makes their full-scale simulation computationally slow. In this paper, we show how to factorize large networked systems of many agents into multiple local regions such that we can build separate simulators that run independently and in parallel. To monitor the influence that the different local regions exert on one another, each of these simulators is equipped with a learned model that is periodically trained on real trajectories. Our empirical results reveal that distributing the simulation among different processes not only makes it possible to train large multi-agent systems in just a few hours but also helps mitigate the negative effects of simultaneous learning","","en","conference paper","Morgan Kaufmann Publishers","","","","","","","","","","Interactive Intelligence","","",""
"uuid:65190add-1e57-4366-b823-93da011f98c4","http://resolver.tudelft.nl/uuid:65190add-1e57-4366-b823-93da011f98c4","Comment réduire efficacement l'entropie des sources malveillantes d'information","Bonomi, Silvia (Sapienza Universita di Roma); Decouchant, Jérémie (TU Delft Dataintensive Systems); Farina, Giovanni (Sapienza Universita di Roma); Rahli, Vincent (University of Birmingham); Tixeuil, Sébastien (Sorbonne Université, Paris)","","2022","Nous considérons un réseau (modélisé par un graphe) utilisé pour propager des informations. Dans ce contexte, une source d’information diffuse à l’ensemble du réseau un message. Si la source est fiable, c’est à dire qu’elle envoie le même message à tous ses voisins directs, on souhaite qu’un nombre limité de participants malveillants qui tentent de miner sa crédibilité en retransmettant des messages sourcés contradictoires, ne puisse pas berner les participants hon- nêtes. Si la source est malveillante (et qu’elle cherche à augmenter l’entropie en envoyant tout et son contraire à ses voisins directs), les participants honnêtes doivent diminuer l’entropie des messages issus de la source, soit en les igno- rant, soit en délivrant un unique message (le même pour tous). Dans cet article, nous montrons que les méthodes dans la littérature pour résoudre ce problème peuvent être améliorées grâce à des optimisations spécifiques et inter-couches. Nos simulations montrent que ces optimisations peuvent être efficacement combinées pour diminuer la quantité totale d’informations transmises ou la latence du protocole.","Diffusion fiable; Tolérance aux fautes; Graphe incomplet","fr","conference paper","","","","","","","","","","","Dataintensive Systems","","",""
"uuid:6ea18e45-d097-441b-9f7d-b78685c76eb9","http://resolver.tudelft.nl/uuid:6ea18e45-d097-441b-9f7d-b78685c76eb9","Direct Data Transform for Em Sounding Interpretation","Calderon Hernandez, O.I. (Politecnico di Torino); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Socco, Laura Valentina (Politecnico di Torino)","Flowers, Simon (editor)","2022","Over the last years, new techniques are being researched in order directly estimate relevant geological properties of the rocks present in the subsurface without using an inversion process, this can be achieved by obtaining relationships between the data obtained by geophysical surveys and the data obtained in one place by well logging, core analysis or other techniques in which the actual physical properties of the rocks are measured. Using the apparent resistivity measurements from an MT survey and the resistivity measured from an exploratory well, we want to assess if it is possible to obtain a polynomial function that can be used to correct the misfit between the depth-apparent resistivity model obtained by MT surveys and the depth-resistivity model obtained by means of exploratory wells, and we want to assess if the polynomial expression obtained can be used to retrieve an accurate electrical model in nearby areas from the exploratory well in which only apparent resistivity measurements have been acquired.","","en","conference paper","EAGE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:bec9f025-e74f-4854-879a-1062979a3e01","http://resolver.tudelft.nl/uuid:bec9f025-e74f-4854-879a-1062979a3e01","Learning Distributions Generated by Single-Layer ReLU Networks in the Presence of Arbitrary Outliers","Bulusu, Saikiran (Syracuse University); Joseph, G. (TU Delft Signal Processing Systems); Gursoy, M. Cenk (Syracuse University); Varshney, Pramod K. (Syracuse University)","Koyejo, S. (editor)","2022","We consider a set of data samples such that a fraction of the samples are arbitrary outliers, and the rest are the output samples of a single-layer neural network with rectified linear unit (ReLU) activation. Our goal is to estimate the parameters (weight matrix and bias vector) of the neural network, assuming the bias vector to be non-negative. We estimate the network parameters using the gradient descent algorithm combined with either the median- or trimmed mean-based filters to mitigate the effect of the arbitrary outliers. We then prove that $\tilde{O}( \frac{1}{p^2}+\frac{1}{\epsilon^2p})$ samples and $\tilde{O} ( \frac{d^2}{p^2}+ \frac{d^2}{\epsilon^2p})$ time are sufficient for our algorithm to estimate the neural network parameters within an error of $\epsilon$ when the outlier probability is $1-p$, {where $2/3< p \leq 1$} and the problem dimension is $d$ (with log factors being ignored here). Our theoretical and simulation results provide insights into the training complexity of ReLU neural networks in terms of the probability of outliers and problem dimension.
In this paper, we identify and resolve a performance bottleneck in the Statix solver, namely part of the name resolution algorithm, using partial evaluation. To this end, we introduce a tailored procedural intermediate query resolution language, and provide a specializer that translates declarative queries to this language.
Evaluating this specializer by comparing type checking run time performance on three benchmarks (Apache Commons CSV, IO, and Lang3), shows that our specializer improves query resolution time up to 7.7x, which reduces the total type checking run time by 38 - 48%.
Aeronautical industries are concerned about the cost effective generation of design allowables for composite laminates. Design allowables take into account the variabilities arising from different sources (material, manufacturing, defects etc.,) which are determined using expensive and time consuming experimental campaigns. For rapid certification and costs reduction, it is of high interest for the aeronautical industries to use high fidelity numerical models to compliment the testing. In this work, we use a high fidelity numerical model to simulate open hole tension (OHT) of composite laminate, followed by an efficient global sensitivity analysis and uncertainty quantification and management framework to generate design allowables. In a first step, Morris sensitivity analysis is used to screen the sensitive input material properties that affect the OHT strength. In the second step, machine learning technique is used to create a surrogate model, which is used to obtain the B basis design allowable on the OHT strength.","Design allowables; Sensitivity analysis; Uncertainty quantification; Virtual testing; Machine learning","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Team Marcel Sluiter","","",""
"uuid:98dfbd32-885b-4ff3-b092-c40a9d98a4fb","http://resolver.tudelft.nl/uuid:98dfbd32-885b-4ff3-b092-c40a9d98a4fb","Fracture toughness and performance of resistance-welded and co-bonded thermoset/thermoplastic polymer composite hybrid joints","Maierhofer, Thomas, (University of Bath); Loukaidesa, Evripides G. (University of Bath); Hernandez, Thibault (The ThermoPlastic Composites Research Centre); Carrc, Craig (Global Technology Centre); Bisagni, C. (TU Delft Aerospace Structures & Computational Mechanics); Butler, Richard, (University of Bath)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","Modern aerospace structures see increasing use of combinations of thermoplastic and thermoset composite components, requiring the development of efficient joining methods for dissimilar matrix materials. This study aimed to investigate the Mode I fracture toughness and performance of resistance-welded and co-bonded thermoset-thermoplastic composite joints for primary aerospace structural applications. Double cantilever beam and single lap shear trials were performed. It was found that using resistance welding, a significant improvement in the Mode I fracture toughness of approximately 360 % - 520 % over co-bonding can be achieved. Single lap shear tests did not allow any conclusion about the bond strengths due to thermoset laminate failure. Although, combined with optical microscopy of the fracture surfaces, it was possible to show that significant thermal degradation of the thermoset matrix can be avoided.","Hybrid joints; resistance welding; co-bonding; fracture toughness; toughness; thermosetthermoplastic","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:2ab49dee-db85-4506-850b-f44e67aa808c","http://resolver.tudelft.nl/uuid:2ab49dee-db85-4506-850b-f44e67aa808c","Metadata Representations for Queryable ML Model Zoos","Li, Z. (TU Delft Web Information Systems); Hai, R. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence); Katsifodimos, A (TU Delft Web Information Systems)","","2022","Machine learning (ML) practitioners and organizations are building model zoos of pre-trained models, containing metadata describing properties of the ML models and datasets that are useful for reporting, auditing, reproducibility, and interpretability purposes. The metatada is currently not standardised; its expressivity is limited; and there is no interoperable way to store and query it. Consequently, model search, reuse, comparison, and composition are hindered. In this paper, we advocate for standardized ML model metadata representation and management, proposing a toolkit supported to help practitioners manage and query that metadata.","","en","conference paper","","","","","","","","","","","Web Information Systems","","",""
"uuid:bf4c7299-9c4b-4c2a-934d-8b2f25b9d0c6","http://resolver.tudelft.nl/uuid:bf4c7299-9c4b-4c2a-934d-8b2f25b9d0c6","Dual-scale visualization of resin flow for liquid composite molding processes","Teixidó, Helena (Swiss Federal Institute of Technology); Caglar, Baris (TU Delft Aerospace Manufacturing Technologies); Michaud, Véronique (Swiss Federal Institute of Technology)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","Visualization of resin flow progression through fibrous preforms is often sought to elucidate flow patterns and validate models for filling prediction for liquid composite molding processes. Here, conventional X-ray radiography is compared to X-ray phase contrast technique to image in-situ constant flow rate impregnation of a non-translucent unidirectional carbon fabric. X-ray attenuation of the fluid phase was increased by using a ZnI2-based contrasting agent, leading to enough contrast between the liquid and the low density fibers. We proved the suitability of conventional X-ray transmission to visualize fluid paths by elucidating different flow patterns, spanning from capillary to viscous regimes and a macro-void entrapment phenomenon","Liquid Composite Molding (LCM); Resin flow; Saturation curve; Process monitoring; X-ray imaging","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:3d723630-5972-4c2a-b0f7-3e3fec3f62c4","http://resolver.tudelft.nl/uuid:3d723630-5972-4c2a-b0f7-3e3fec3f62c4","Evaluating the procurement documents of Dutch water boards portfolio: A step towards more reliable public clients","Molaei, M. (TU Delft Design & Construction Management); Koops, L.S.W. (TU Delft Design & Construction Management); Hermans, M.H. (TU Delft Design & Construction Management)","Tutesigensi, Apollo (editor); Neilson, Christopher J (editor)","2022","Although a considerable amount of literature has addressed the public procurement in the construction industry, still little is known about procurement in small and repetitive activities. In practice, however, public clients are often involved in repetitive tasks such as maintenance activities. Dutch water boards, regional governmental bodies responsible for providing water management services, are the focus of this study. For this research, three main procurement documents of the water boards were performed using content analysis. The aim is to evaluate these documents and to identify the typology of the repetitive activities and the procurement volume of these tasks from a portfolio perspective of the public client. Most of the contractors/suppliers involved in these activities are local Small and Medium-Sized Enterprises (SMEs). The findings of the study indicate that insights into the typologies of these repetitive works and their expected volume over time delivers crucial value for the public procurer. Given the amount of repetitive works procured by public clients, creating such an insight to both clients as well as contractors can ultimately increase efficiency and improve investment opportunities.","public client; public procurement; repetitive works; water; portfolio","en","conference paper","ARCOM, Association of Researchers in Construction Management","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design & Construction Management","","",""
"uuid:17f037c2-59ad-47d6-8234-1fad025e76cd","http://resolver.tudelft.nl/uuid:17f037c2-59ad-47d6-8234-1fad025e76cd","Temperature Effect on Electrical Aging Model for Field-Aged Oil Impregnated Paper Insulation","Basu, Devayan (ETH Zürich); Gholizad, B. (TU Delft DC systems, Energy conversion & Storage; TenneT TSO B.V.); Ross, Robert (TU Delft High Voltage Technology Group; DCE&S); Gargari, Shima Mousavi (TenneT TSO B.V.)","","2022","The time-to-failure for oil-impregnated paper (OIP) insulation is governed by two primary aging mechanisms: electrical and thermal. The electrical life can be represented as an Inverse Power Law, where lifetime is inversely proportional to applied electric field. The process of thermal aging on the other hand is established by Arrhenius Law, which relates the rate of aging exponentially to temperature. Due to thermal aging, the structure of insulation is altered owing to chemical changes like oxidation, polymerization, and cellulose degradation. For life estimation of a service-aged high-pressure gas filled (HPGF) cables, electrical endurance tests are normally performed at controlled voltage levels to estimate the time to breakdown. However, it is equally necessary to investigate how thermal aging influence changes in the electrical life of insulation. Therefore, in this paper, firstly short-term ramped stress tests are carried out on elevated thermal aged OIP samples extracted from already field-aged HPGF to find a rough estimate of breakdown voltages at different temperatures. Then, long-term electro-thermal step stress tests are performed on the samples to establish a correlation of temperature on the electrical life of the OIP insulation. The long-term stress tests produce reliable breakdown statistics and Maximum Likelihood Estimation of Inverse Power Law fitted on 2-parameter Weibull distributed breakdown data indicate a reduction of model parameter, n from 13.61 to 7.38 with an increase in temperature from 45 to 75 °C and a constant shape factor, beta of 1.50. The dissipation factor, tandelta related to the aging also shows an increase with temperature across a wide frequency range and is inversely proportional to the breakdown voltage.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:42e95fd1-e085-4f89-b158-192e62f1b970","http://resolver.tudelft.nl/uuid:42e95fd1-e085-4f89-b158-192e62f1b970","Exploiting Virtual Reality for Enhancing the Shopping Experience in the Fashion Industry: Between Interaction and Perception","Ricci, M.R. (TU Delft Human Information Communication Design; University of Bari)","","2022","Nowadays, buying a product online is no longer about the product itself but the experience it offers. The planned thesis work aims to understand how to improve the user's shopping experience in the context of online shopping for the fashion industry. To enhance the shopping experience, retailers need to sell new services by leveraging technologies such as Virtual Reality (VR). The first part of the research investigates which shopping experience, between one developed for a desktop computer-Desktop Virtual Reality (DVR)-and one developed in Virtual Reality (VR), generates better results in terms of hedonic and utilitarian values, cognitive load, and user experience. Also, the lack of touch in online shopping is a crucial issue. The second part of the research concerns the implementation of pseudo-haptics feedback within the online shopping experience with VR. Pseudo-haptics can induce haptic sensations without requiring actual touch through the influence of other sensory modalities, such as vision. To this end, we aim to explore the feasibility of recreating the sensation of people's actual touch with fashion products and fabrics through a 'visualized touch' on an interface.","Design for Interaction; E-Commerce; Empirical studies in interaction design; Human computer interaction (HCI); Human-centered computing; Interaction paradigms; Mixed/augmented reality; Pseudo-Haptics; Retail; User Experience; Virtual Reality","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Human Information Communication Design","","",""
"uuid:4cb61118-e93e-4178-acdd-fc6440a28d68","http://resolver.tudelft.nl/uuid:4cb61118-e93e-4178-acdd-fc6440a28d68","A Modelling Study to Examine Threat Assessment Algorithms Performance in Predicting Cyclist Fall Risk in Safety Critical Bicycle-Automatic Vehicle lnteractions","Reijne, M.M. (TU Delft Biomechatronics & Human-Machine Control); Dehkordi, Sepehr G. (Queensland University of Technology); Glaser, Sebastien (Queensland University of Technology); Twisk, D (Queensland University of Technology); Schwab, A.L. (TU Delft Biomechatronics & Human-Machine Control)","","2022","Falls are responsible for a large proportion of serious injuries and deaths among cyclists [1-4]. A common fall scenario is loss of balance during an emergency braking maneuver to avoid another vehicle [5-7]. Automated Vehicles (AV) have the potential to prevent these critical scenarios between bicycle and cars. However, current Threat Assessment Algorithms (TAA) used by AVs only consider collision avoidance to decide upon safe gaps and decelerations when interacting wih cyclists and do not consider bicycle specific balance-related constraints. To date, no studies have addressed this risk of falls in safety critical scenarios. Yet, given the bicycle dynamics, we hypothesized that the existing TAA may be inaccurate in predicting the threat of cyclist falls and misclassify unsafe interactions. To test this hypothesis, this study developed a simple Newtonian mechanics-based model that calculates the performance of two existing TAAs in four critical scenarios with two road conditions. Tue four scenarios are: (1) a crossing scenario and a bicycle following lead car scenario in which the car either (2) suddenly braked, (3) halted or (4) accelerated from standstill. These scenarios have been identified by bicycle-car conflict studies as common scenarios where the car driver elicits an emergency braking response of the cyclist [8-11] and are illustrated in Figure 1. The two TAAs are Time-to-Collision (TTC) and Headway (H). These TAAs are commonly used by AVs in the four critical scenarios that will be modelled. The two road conditions are a flat dry road and also a downhill wet road, which serves as a worst-case condition for loss of balance during emergency braking [12].","cycling safety; car-bicycle conflicts; all risk; threat assessment algorithms; automated vehicles","en","conference paper","Technische Universität Dresden","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:95a9391e-8367-489b-8538-7324daf389d4","http://resolver.tudelft.nl/uuid:95a9391e-8367-489b-8538-7324daf389d4","An experiment on the lateral steering behaviour of cyclists on narrow bidirectional cycle tracks","Theuwissen, E.A. (Rijkswaterstaat); Schepers, Paul (Universiteit Utrecht; Rijkswaterstaat); Daamen, W. (TU Delft Transport and Planning); Hagenzieker, Marjan (TU Delft Transport and Planning); Nabavi, Martin (SWOV Institute for Road Safety Research)","","2022","Cycling contributes to public health because it requires physical effort [1] and offers economic and environmental advantages over motorized transport [2]. However, 41,000 cyclists die every year in traffic crashes, 3% of the total worldwide [3]. Most fatal bicycle crashes are collisions with motor vehicles. Severe injuries among cyclists, however, are mostly due to single bicycle crashes and their numbers are increasing [4, 5]. An international review showed that the share of hospitalised casualties due to single-bicycle crashes varied from 3% to 41 % of the total number of hospitalised casualties [ 6]. ... The aim of the present study is to investigate the relationship between cycle track width and lateral position. We conducted an experiment in which the cycle track width was manipulated to determine its effect on lateral position. The results have been compared with previous findings from literature.","cycling safety; cycling; road design; cycle track width,; ICSC","en","conference paper","","","","","","","","","","","Transport and Planning","","",""
"uuid:69d75124-da79-453c-b31d-a21bebd8b88a","http://resolver.tudelft.nl/uuid:69d75124-da79-453c-b31d-a21bebd8b88a","A Tilting Trike with Rider Tuneable Stability and Handling for lmproved Safety","Dressel, A. (TU Delft Biomechatronics & Human-Machine Control); Moore, J.K. (TU Delft Biomechatronics & Human-Machine Control)","","2022","The potential advantages of tilting trikes have been tantalizing for years: they can lean like a bike so that they do not have to be low, wide, or slow in turns; and they can keep the rider upright like a trike when stopped or going slow. Implementing this functionality, however, has been somewhat problematic. Many tilting trikes have been built in which the extra wheel only offers some redundant traction, in the case of inconsistent friction with the road surface. Some have been built with a so-called ''tilt-lock', in which the third wheel can also act as a kickstand to hold the trike rigid when stopped. A few tilting trikes have been built with sophisticated sensors, actuators, and control algorithms to assume the proper tilt angle in every situation, and the motorcycle press breathlessly announces the latest patent filings in this area from major motorcycle manufacturers.","cycling safety; car-bicycle conflicts; all risk; threat assessment algorithms; automated vehicles","en","conference paper","Technische Universität Dresden","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:1f732b3f-89cd-4cb6-8c5d-1bed71f432b0","http://resolver.tudelft.nl/uuid:1f732b3f-89cd-4cb6-8c5d-1bed71f432b0","Design and joint control of a conjoined biplane and quadrotor","Schröter, S. (Student TU Delft); Smeur, E.J.J. (TU Delft Control & Simulation); Remes, B.D.W. (TU Delft Control & Simulation)","","2022","Unmanned Aerial Vehicles (UAVs) have the potential to perform many different missions, some of which may require a large aircraft for endurance and a small aircraft for manoeuvrability in a building. This paper proposes a novel combination of a quadrotor and a hybrid biplane capable of joint hover, joint forward flight, and mid-air disassembly followed by separate flight. We investigate cooperative control strategies during joint flight that do not require any communication between the quadcopter and the biplane. This means that the two aircraft have their own independent control strategy based on their own sensors. Secondly, to avoid communication the biplane leads the flight and the goal for the quadrotor is to help in producing thrust and increasing stability. Three control strategies for the quadrotor are compared: a proportional angular rate damper, a proportional angular acceleration damper, and constant thrust without attitude control. Simulation and practical tests show that for intentional attitude changes of the biplane, the quadrotor rate- and angular acceleration damper strategies lead to a small performance degradation. However, the angular rate damper strategy for disturbance rejection has the lowest roll angle error and requires the smallest input command. The in-flight release is successfully tested in joint hover up to a forward pitch angle of -18 [deg].
— a two step approach where first, skew and velocity are estimated using FPLS and then its results are fed into a reformulated time domain method to estimate offset and range. The proposed methods are applied to a case study to OLFAR — a spaceborne large aperture radio interferometric array platform for observing the cosmos in the frequency range from 0.3 MHz to 30 MHz to be stationed in the Lunar orbit. The results show that the proposed methods decrease communication and computation needs and can improve the clock synchronization performance for space-based interferometry.","Synchronization; clock synchronisation; OLFAR; satellites; interferometry; Space-based radio astronomy","en","conference paper","","","","","","","","","","","Electronics","","",""
"uuid:27097ef4-ca82-4ae5-8820-41dc8e07f004","http://resolver.tudelft.nl/uuid:27097ef4-ca82-4ae5-8820-41dc8e07f004","“Effectiveness” in Adaptive Reuse of Modern Heritage Buildings","Arfa, F. (TU Delft Heritage & Technology); Quist, W.J. (TU Delft Heritage & Technology); Lubelli, B. (TU Delft Heritage & Technology); Zijlstra, H. (TU Delft Heritage & Design)","Such, Carmen Jordá (editor); Figueres, Maite Palomares (editor); Tostões, Ana (editor); Pottgiesser, Uta (editor)","2022","Adaptive reuse (AR) of modern buildings, including industrial buildings from the late 19th and 20th centuries, is a complex process as it involves many actions and actors, which influence the result of projects. Effective AR can provide many advantages for societies. This paper aims to provide an overview of the three most highlighted criteria, mentioned by previous researchers, for assessing effectiveness of AR of modern buildings.
This research followed seven steps: 1.Reviewing the criteria of “effectiveness” in AR projects in the literature 2.Collecting the data (jury reports of NRP and Europa-Nostra awards) 3.Distilling the reports related to modern heritage buildings 4.Translation of Dutch reports in English 5.Analysing and coding the texts following the criteria mentioned in the literature 6.Analysing the role of effective AR of modern heritage buildings in providing sublimation and social value 7.Formulating some conclusions/recommendations.
This review indicates despite the attribution of the effectiveness of AR of non-modern heritage buildings to the attraction of tourists, the effectiveness of AR in modern buildings is often attributed to positive effects on the surroundings and local community. Moreover, in modern buildings, compared to non-modern buildings, qualities provided by new interventions are highlighted more. This can be related to open space plans, stronger materials, wider spans, and spacious urban settings providing a higher tolerance for acceptable change.
By revealing the aspects of effectiveness within these two criteria, this study contributes to the broader understanding of the AR potential of modern buildings. Though this review is useful for encouraging the reuse of modern buildings, the methods/tools to be used to achieve effective results need more investigation in future research.
For this project, longitudinal surveys are used to gain insights into the groups of anxious and non-anxious train travellers in the Netherlands. This project is part of a larger project, which focuses on the impacts Covid-19 has on train travelling behaviour, by NS and TU Delft (Van Hagen et al. (2021). Covid-19 and train travel behavior. Paper presented at the European Transport Conference). This subproject focuses on the effects of anxiety on train travelling behaviour during and after Covid-19. The data from the surveys are used to divide the participants into groups based on their anxiety levels: anxious, neutral, and non-anxious. The anxious group consists of people that do not feel free to travel by train during Covid-19 and the non-anxious group does feel free to travel by train during Covid-19. To analyse the characteristics and travel behaviour, the data from the survey of April 2021 are used, and statistical tests such as chi-square test and classification tree analysis are used to analyse the differences between the groups.
The main purpose of this project is to investigate the group of anxious train travellers during Covid-19 to gain more insights into their characteristics, attitude, and behaviour. This study finds that the main factors that influence anxiety levels are age, gender, and vaccination status. Our research shows that females and older people are more likely to be anxious. As a result, a typical profile of an anxious person is a female, older than 25 years old and not vaccinated. Furthermore, a non-anxious person is likely to be male, 25 years old or younger, and fully vaccinated.
Since attitude has a strong relationship with (travel) behaviour, the anxious group is compared to the non-anxious group to investigate the effects of anxiety on attitude and travel behaviour. The results show that anxiety has a negative effect on attitude which leads to less train usage, both current and expected usage in the future. Anxious people generally tend to have a negative attitude towards the train, while non-anxious people usually have a positive attitude towards the train. In current train travelling behaviour, anxiety has the effect of people travelling less, and are more likely to not travel at all. For future expected travel, anxious people are more likely to plan to travel less than non-anxious people.
The number of anxious people fluctuates over time and seems to be related to the number of cases or hospitalizations. The size of the anxious group is higher when there are peaks in number of cases and hospitalizations, and lower when things are calmer. Additionally, vaccinations seem to influence the number of anxious people as well, where the size of the anxious group reduces when a lot of people in the Netherlands are fully vaccinated. During the first year (April 2020 to April 2021), the anxious group has been between 40 and 70% of train travellers. It can be assumed that there will still be a group of people that are anxious after Covid-19, because in September 2021, when cases had been low for some time, 20% of train travellers were still anxious and a small group of 6% was still feeling very anxious.
The results of this paper help to identify the anxious group and establish the effect of anxiety on attitude and behaviour, which helps with designing future timetables and planning rolling stock purchases. For future research, it is recommended to look further into the relationship between the number of anxious people and the number of cases or hospitalizations as that relationship can help predict train usage in the future. Furthermore, it is recommended to investigate why people are still feeling anxious even after a time of low number of cases and no restrictions. That information can help with reducing the size of the anxious group and increase train usage.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:825bbb75-99de-4711-a13c-6cf16359943d","http://resolver.tudelft.nl/uuid:825bbb75-99de-4711-a13c-6cf16359943d","The Influence of Moral Knowledge on Urban Villages in Shenzhen, China","Tan, D. (TU Delft Spatial Planning and Strategy); Rocco, Roberto (TU Delft Spatial Planning and Strategy)","","2022","Moral knowledge, and its spatial articulation, are being ignored in the rapid urban growth that results from globalisation. The need to learn from tradition and integrate urban heritage values into the wider framework of sustainable development and citizen engagement is urgently recommended by UNESCO. This paper explores the concept of moral knowledge (informed by Confucianism) and its influence on the spatial configuration of the urban village Huaide in Shenzhen, China. Chinese moral and aesthetic knowledge was practised and enforced throughout the imperial period via rituals, writing and painting, agriculture and garden design, and city governance. Although globalisation’s dominant Western paradigms are challenging traditional Chinese practices, such traditional knowledge can serve as cultural forces shaping the distinct characteristics of Chinese cities. Using mapping supplemented by fieldwork photographs, we argue that Huaide Village is a relational space embedding social and ecological values guided by traditional Chinese moral knowledge. Amidst the transitional phase of urban redevelopment, the network of the retained clan houses, temples, courtyards and hierarchic streets harbours moral and cultural traditions. The village acts as a resistance to the homogenised urban spaces for a ‘global’ city. This paper adds to the discourse on urban villages by enriching our understanding of their lived spaces while also providing insights for possible future urban renewal strategies that engender better citizen engagement.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Spatial Planning and Strategy","","",""
"uuid:91e7cd2a-621a-4396-98eb-0afd2134f5b3","http://resolver.tudelft.nl/uuid:91e7cd2a-621a-4396-98eb-0afd2134f5b3","Unbiased Active Inference for Classical Control","Baioumy, M. (University of Oxford); Pezzato, C. (TU Delft Robot Dynamics); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); Hawes, N. (University of Oxford)","","2022","Active inference is a mathematical framework that originated in computational neuroscience. Recently, it has been demonstrated as a promising approach for constructing goal-driven behavior in robotics. Specifically, the active inference controller (AIC) has been successful on several continuous control and state-estimation tasks. Despite its relative success, some established design choices lead to a number of practical limitations for robot control. These include having a biased estimate of the state, and only an implicit model of control actions. In this paper, we highlight these limitations and propose an extended version of the unbiased active inference controller (u-AIC). The u-AIC maintains all the compelling benefits of the AIC and removes its limitations. Simulation results on a 2-DOF arm and experiments on a real 7-DOF manipulator show the improved performance of the u-AIC with respect to the standard AIC. The code can be found at https://github.com/cpezzato/unbiasedaic.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Robot Dynamics","","",""
"uuid:04b2addc-a29e-4e51-a837-055d5ebdc3ca","http://resolver.tudelft.nl/uuid:04b2addc-a29e-4e51-a837-055d5ebdc3ca","Automatic Tuning and Selection of Whole-Body Controllers","D'Elia, E. (Student TU Delft; Lorraine University; Italian Institute of Technology); Mouret, J. -B. (Lorraine University); Kober, J. (TU Delft Learning & Autonomous Control); Ivaldi, S. (Lorraine University)","","2022","Designing controllers for complex robots such as humanoids is not an easy task. Often, researchers hand-tune controllers, but this is a time-consuming approach that yields a single controller which cannot generalize well to varied tasks. This work presents a method which uses the NSGA-II multi-objective optimization algorithm with various training trajectories to output a diverse Pareto set of well-functioning controller weights and gains. The best of these are shown to also work well on the real Talos robot. The learned Pareto front is then used in a Bayesian optimization (BO) algorithm both as a search space and as a source of prior information in the initial mean estimate. This combined learning approach, leveraging the two optimization methods together, finds a suitable parameter set for a new trajectory within 20 trials and outperforms both BO in the continuous parameter search space and random search along the precomputed Pareto front. The few trials required for this formulation of BO suggest that it could feasibly be applied on the physical robot using a Pareto front generated in simulation.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-26","","","Learning & Autonomous Control","","",""
"uuid:e6bec754-2916-4374-b207-b86b38c7c9bb","http://resolver.tudelft.nl/uuid:e6bec754-2916-4374-b207-b86b38c7c9bb","From Human Walking to Bipedal Robot Locomotion: Reflex Inspired Compensation on Planned and Unplanned Downsteps","Verhagen, J. (Student TU Delft); Xiong, Xiaobin (California Institute of Technology); Ames, A. D. (California Institute of Technology); Seth, A. (TU Delft Biomechatronics & Human-Machine Control)","","2022","Humans are able to negotiate downstep behaviors-both planned and unplanned-with remarkable agility and ease. The goal of this paper is to systematically study the translation of this human behavior to bipedal walking robots, even if the morphology is inherently different. Concretely, we begin with human data wherein planned and unplanned downsteps are taken. We analyze this data from the perspective of reduced-order modelling of the human, encoding the center of mass (CoM) kinematics and contact forces, which allows for the translation of these behaviors into the corresponding reduced-order model of a bipedal robot. We embed the resulting behaviors into the full-order dynamics of a bipedal robot via nonlinear optimization-based controllers. The end result is the demonstration of planned and unplanned downsteps in simulation on an underactuated walking robot.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Biomechatronics & Human-Machine Control","","",""
"uuid:c0a0b934-bbfb-47ee-af00-989ad9e51e20","http://resolver.tudelft.nl/uuid:c0a0b934-bbfb-47ee-af00-989ad9e51e20","Promoting Physical Wellbeing in the Workplace: Providing Working Adults with a Tool to Reduce their Sedentary Behavior","Adar, M.E. (Student TU Delft); de Bruin, R. (TU Delft Applied Ergonomics and Design); Keyson, D.V. (TU Delft Design Conceptualization and Communication)","","2022","Whether it is from the office-office or the home office, creating a physical work environment is essential for both improving work performance as well as for the physical and mental wellbeing of employees. But as jobs are becoming increasingly less active, and working adults are spending almost a third of their lives in the office, most of their time is now spent sitting behind a desk. This time in sedentary behavior is increasing rapidly on a global scale and has become a great area of concern, as research has proven that this behavior is linked to an increase in all-cause mortality. To reduce the sedentary nature of the workplace, many companies are now replacing the standard desk with sit-stand desks (SSDs). SSDs are height adjustable desks that allow the user to work in either a sitting position or a standing position. Unfortunately, even as more companies are implementing these desks in their workspace, many studies indicate that there is a lack of utilization among working adults, with many only transitioning the desks to a standing position once a month or less.This paper presents a user-centered design project examining how to reduce the long-term sedentary behavior of desk-based working adults by motivating them to utilize their SSDs to make more transitions between sitting and standing. The project involved an agile design approach based on a cyclic process where a range of design techniques and research methods were used to look deeper into the practices and habits of working adults and better understand why this lack of use occurs and how it can be changed. These design techniques and research methods include a literature study, auto-ethnographical research, and 11 interviews with both active and non-active SSD users. An analysis of the differences between active and non-active users,led to the hypothesis that to reduce the SB of desk-based working adults, the use of SSDs in the workplace should be normalized by ensuring that working adults understand the benefits and proper use of SSDs while also offering the key tools: (1) reminders of when to transiting between sitting & standing; (2) social support; (3) awareness of effects on body & mind ; (4) task-based transitions. . This hypothesis was then used to initiate an empirical research through design process. Through this process, the final concept, BMDesk Application and Controller, was created. The BMDesk showcases an interactive digital platform and controller which utilizes the previously defined design opportunities to aid desk-based working adults in becoming more in tune with their physical and mental state while also providing them with the support they need to reduce their long-term sedentary behavior by utilizing their SSDs. The platform provides the user with an interactive tool that (1) gives them control over setting up their workday and defining how many sit-stand transitions they want to make and how long they want to remain in each position; (2) triggers a light reminder indicating to the user when it is time to check in and (3) provides a step-by-step body and mind self-evaluation included in the digital application; (4) based on the self-evaluation, the application provides a personalized tip and option to “learn more” about how the user can alter their position to relieve them of any physical or mental pain they are experiencing; (5) allows the user to choose if they actually want to change position and provides an additional reminder after a preset amount of time in the case they do not switch; (6) uses a two-way LED infrared sensor to automatically track the number of transitions and how long the user is in each position; and (7) allows the user to connect with the coworkers or friends to setup challenges or select times to standup together.","human factors; Workplace wellbeing; Sedentary behaviour; Sit-stand desks; Behavior change","en","conference paper","AHFE","","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:2ea32c53-5665-41ca-a6da-43117f917334","http://resolver.tudelft.nl/uuid:2ea32c53-5665-41ca-a6da-43117f917334","Risotto: A Dynamic Binary Translator for Weak Memory Model Architectures","Gouicem, Redha (Technische Universität München); Sprokholt, D.G. (TU Delft Programming Languages); Ruehl, Jasper (Technische Universität München); Rocha, Rodrigo C.O. (University of Edinburgh); Spink, Tom (University of St Andrews); Chakraborty, S.S. (TU Delft Programming Languages); Bhatotia, Pramod (Technische Universität München)","Aamodt, Tor M. (editor); Jerger, Natalie Enright (editor); Swift, Michael (editor)","2022","Dynamic Binary Translation (DBT) is a powerful approach to support cross-architecture emulation of unmodified binaries. However, DBT systems face correctness and performance challenges, when emulating concurrent binaries from strong to weak memory consistency architectures. As a matter of fact, we report several translation errors in QEMU, when emulating x86 binaries on Arm hosts. To address these challenges, we propose an end-to-end approach that provides correct and efficient emulation for weak memory model architectures. Our contributions are twofold: we formalize QEMU's intermediate representation's memory model, and use it to propose formally verified mapping schemes to bridge the strong-on-weak memory consistency mismatch. Secondly, we implement these verified mappings in Risotto, a QEMU-based DBT system that optimizes memory fence placement while ensuring correctness. Risotto further enhances the emulation performance via cross-architecture dynamic linking of native shared libraries, and fast and correct translation of compare-and-swap operations. We evaluate Risotto using multi-threaded benchmark suites and real-world applications, and show that Risotto improves the emulation performance by 6.7% on average over ""erroneous""QEMU, while ensuring correctness.","Binary translation; formal verification; memory models","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Programming Languages","","",""
"uuid:4202f464-5be9-40cf-84b5-15397529fc21","http://resolver.tudelft.nl/uuid:4202f464-5be9-40cf-84b5-15397529fc21","Collocated Finite Volume Scheme for Scalable Simulation of Induced Seismicity","Novikov, A. (TU Delft Reservoir Engineering); Voskov, D.V. (TU Delft Reservoir Engineering); Hajibeygi, H. (TU Delft Reservoir Engineering); Jansen, J.D. (TU Delft Civil Engineering & Geosciences)","","2022","An increasing number of geo-energy applications require the quantitative prediction of hydromechanical response in subsurface. Integration of mass, momentum, and energy conservation laws becomes essential for performance and risk analysis of enhanced geothermal systems, stability assessment of CO2 sequestration and hydrogen storage, resolving the issue of induced seismicity. The latter problem is of particular interest because it exposes safety risks to people and surface infrastructure.
Implicit coupling of conservation laws is computationally demanding and the solution procedure often uses different numerical methods for different laws that complicates simulation. Recently developed Finite Volume (FV) schemes for poromechanics present a unified approach for the modeling of conservation laws in geo-energy applications. Contact mechanics at faults requires special attention due to the inequality constraints it imposes and nonlinear friction laws that strongly affect the occurrence of seismicity.
We develop a cell-centered FV scheme for the purpose of integrated simulation in Delft Advanced Research Terra Simulator (DARTS) platform. The scheme proposes a unified numerical framework capable to resolve conservation laws in a fully implicit manner using a single collocated grid. Coupled multi-point flux and multi-point stress approximations provide mass, momentum, and heat fluxes at the faces of the computational grid. We use a conformal discrete fracture model to incorporate faults, where the multi-point approximations of fluxes respect the discontinuity in displacements. The block-partitioned preconditioner that takes the advantage of linear structure of the coupled problem is developed to facilitate the performance of the simulation.
The proposed numerical scheme are validated against analytical and numerical solutions in a number of test cases. The convergence and stability of the schemes are investigated. It is found that the developed scheme is indeed accurate, stable, and efficient. Thereafter, we demonstrate the applicability of the approach to model fault reactivation at the laboratory scale. In a core injection test, we validate the results of simulation against experimental measurements. Next, we investigate the performance of the different preconditioning strategies. The proposed block-partitioned preconditioning strategy demonstrates the scalability and efficiency of the numerical framework.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","Civil Engineering & Geosciences","","Reservoir Engineering","","",""
"uuid:303e05d6-eb3b-4ca7-9b16-b48f6a31a685","http://resolver.tudelft.nl/uuid:303e05d6-eb3b-4ca7-9b16-b48f6a31a685","Stochastic Discrete Well Affinity (DiWA) Model for Data Quality Diagnostic and Production Forecast","Tian, X. (TU Delft Reservoir Engineering); Asadiesfahani, M. (Student TU Delft); Groenenboom, J. (Student TU Delft); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University)","","2022","In this study, we present a history matching framework for oil production forecast based on synthetic and real production data developed using the stochastic Discrete Well Affinity (DiWA) model. With the increase in the complexity of the geological model and the uncertainty in the geological data, it becomes more difficult (sometimes infeasible) to conduct model inversion and production based on conventional technique. To address this problem, we proposed a stochastic DiWA model with unstructured low-resolution mesh to represent the location of wells and reservoir fluid dynamics. With this method, we can efficiently train the forward model based on production data and a stochastic ensemble of property realization. The performance of forward evaluation benefits from the Operator-Based Linearization (OBL) technique and the adjoint method for gradient calculation. Before the model training, a large ensemble size of stochastic DiWA models is generated based on the permeability statistics of the real reservoir, and those models are then filtered using the misfit between the true production data and the DiWA model response. The filtered models have the best fit with the production history of the real reservoir, while they also contain the basic geological information of the real field. The proposed method is tested first on a synthetic data ensemble for production forecast and then applied to a real field. Based on real observations, we use the DiWA model for data quality diagnostic and identify certain flaws in the collected data and model assumptions. Based on these findings, the original assumptions and data observations have been adjusted and the resulting DiWA model was successfully trained. The prediction quality of the trained DiWA model is comparable to conventional simulation techniques based on detailed geological models and has the advantage of a much more efficient and faster ability to update and maintain the subsurface model when continuous updates in production data become available. This study shows that the proposed method can provide the history matching results with high accuracy and low computational costs. Furthermore, the performance of the stochastic DiWA model can be further improved using more comprehensive and geologically constrained initial and boundary conditions.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Reservoir Engineering","","",""
"uuid:243f6585-a033-427e-9f9b-0e9d86381ab9","http://resolver.tudelft.nl/uuid:243f6585-a033-427e-9f9b-0e9d86381ab9","Field Stack in Minutes: No Velocity Picking, No Nmo Stretch","Brodic, Bojan (Uppsala University; Geological Survey of Finland); Papadopoulou, M. (Uppsala University); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Malehmir, Alireza (Uppsala University)","","2022","Motivated by the ideas of automatic common-midpoint (CMP) stacking without normal-moveout (NMO) correction, hence NMO stretch, and automatizing the velocity model building, we propose a cross-correlation/cross-coherence-based approach. It is a two-step method where the first step is cross-correlation/cross-coherence of zero-offset traces with all other traces in corresponding CMP gathers. This step removes the NMO effect of different hyperbolic events, resulting in CMP gathers with flat events without any stretching effect. Following this, horizontal summation across different CMP gathers is done, resulting in a velocity-free data-driven production of time-domain stacked seismic section. The second step takes advantage of the cross-correlation lags via data-driven k-means cluster analysis to separate lags corresponding to individual hyperbolic events in the CMP gather into distinct clusters. Different norm fittings to lags within individual clusters are evaluated and the lowest residual one automatically selected, resulting in a velocity and zero-offset two-way traveltime time per cluster. These form a base to build an average velocity model for migration and time-to-depth conversion. We demonstrate the efficiency of the proposed method using synthetic and field shear-wave data acquired in southwestern Sweden.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:86477e66-53b8-4a5f-a9c1-c7341e415177","http://resolver.tudelft.nl/uuid:86477e66-53b8-4a5f-a9c1-c7341e415177","Modeling of Cyclic Deformation of Sandstones Based on Experimental Observations","Hernandez, E. (Student TU Delft); Naderloo, M. (TU Delft Applied Geophysics and Petrophysics); Ramesh Kumar, K. (TU Delft Reservoir Engineering); Hajibeygi, H. (TU Delft Reservoir Engineering); Barnhoorn, A. (TU Delft Applied Geophysics and Petrophysics)","","2022","Underground energy storage (UES) in porous and cavity reservoirs can be used to balance the mismatch between the production and demand of renewable energy. Understanding the geomechanical behaviour of these reservoirs under different storage conditions, i.e., storage frequency and fluid pressure, is key in defining their capacity and effective lifetime. This work presents an analysis performed on sandstones to unravel their geomechanical response under cyclic loading. It includes, importantly, both experimental and numerical investigations under deviatoric stress conditions below the rock dilatant cracking threshold. From the experimental point of view, axial strains and acoustic emissions indicated that inelastic strains accumulated cycle after cycle, following a decreasing rate per cycle. Four types of deformations were interpreted: elastic, viscoelastic, plastic, and cyclic-plastic. Based on these experimental results and observations, the Modified Cam-clay model was extended to account for cyclic plastic deformations and the Kelvin-Voigt model was used to model visco-elasticity. This approach can be used to study cyclic sandstone deformation’s implications on subsidence, fault reactivation, and cap rock flexure, among other physical phenomena impacting a reservoir’s storage capacity.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:679ac69c-2ee9-4299-8e1b-60a1cf27c967","http://resolver.tudelft.nl/uuid:679ac69c-2ee9-4299-8e1b-60a1cf27c967","Application Of Seismic Interferometry With Non-Physical Reflections Using Near-Surface Seismic Field Data","Shirmohammadi, F. (TU Delft Applied Geophysics and Petrophysics); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Balestrini, F.I. (TU Delft Applied Geophysics and Petrophysics); Ghose, R. (TU Delft Applied Geophysics and Petrophysics)","","2022","Seismic interferometry (SI) is a method that retrieves new seismic traces from the cross-correlation of existing traces, where one of the receivers acts as a virtual seismic source whose response is retrieved at other receivers. When using sources only at the surface, and the so-called one-sided illumination of the receivers occurs, we will retrieve not only the desired physical reflections but also non-physical (ghost) reflections. These non-physical reflections appear due to waves that propagate inside a subsurface layer. Thus, they contain information about the seismic properties of the specific layer. We illustrate the technique’s potential using numerically modelled data for a subsurface model with a low-velocity layer, which is also pinching out, and near-surface field data. We apply SI by cross-correlation and auto-correlation. Both resulting non-physical reflections are sensitive to the physical rock properties of the layer that causes them to appear in the SI results. Moreover, non-physical reflections in zero-offset gathers that result from SI by auto-correlation show very good conformity with the geometry of the subsurface layers.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:188dfaea-8d07-4d0a-8ce7-04cd53f51903","http://resolver.tudelft.nl/uuid:188dfaea-8d07-4d0a-8ce7-04cd53f51903","Physics Informed Neural Networks Based on Sequential Training for CO2 Utilization and Storage in Subsurface Reservoir","Mansour Pour, K. (TU Delft Reservoir Engineering)","","2022","The energy transition is unavoidable because energy production accounts for roughly two-thirds of current global emissions. To achieve this goal, active carbon emission reduction is required. carbon dioxide capture, utilization, and storage (CCUS) is a promising technology to mitigate global warming. In order to operate CCUS intelligently, there must be a robust simulation technology that captures physics and the expected scenario. Machine learning (ML) techniques have recently been applied to a wide range of nonlinear computational problems. Recently, Physics informed neural network (PINN) has been proposed for solving partial differential equations. Unlike typical ML algorithms that require a large dataset for training, PINN can train the network with unlabelled data. The applicability of this method has been explored for flow and transport of multiphase in porous media. However, for strongly nonlinear hyperbolic transport equation, the solution degrades significantly. In this work, we propose a sequential training PINN to simulate two-phase transport in porous media. The main concept is to retrain neural network to solve the PDE over successive time segments rather than train for the entire time domain at once. We observe that, sequential training can capture the solution more accurately with respect to standard training method.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Reservoir Engineering","","",""
"uuid:852d598c-774b-4355-aefb-dd3f93616592","http://resolver.tudelft.nl/uuid:852d598c-774b-4355-aefb-dd3f93616592","Asymmetric Stall Modeling of the Cessna Citation II Aircraft","Delfosse, A. (Student TU Delft); de Visser, C.C. (TU Delft Control & Simulation); Pool, D.M. (TU Delft Control & Simulation)","","2022","As of 2019, the FAA and EASA require all airline pilots to complete stall and recovery training as integral part of their training. To mitigate risks, this training takes place in ground-based simulators. To enable this, realistic models of aircraft behaviour in the stall regime need to be developed. In this paper, a newaerodynamic stall modelling methodology is proposed that combines classical aerodynamic model identification techniques with a novel adaptation of Kirchhoffs theory of flow separation that considers flow separation over both wings separately. This new model is called the 2X model, as it contains 2 independent flow separation variables, i.e. one for each wing. The model parameters are estimated based on flight experiments in the stall regime conducted with a Cessna Citation II laboratory aircraft operated by the TU-Delft. The developed model for the first time allows accurate prediction of lateral-directional dynamics encountered during stall such as e.g. wing-dip. In addition, it was found that the 2X model also improved predictions of longitudinal stall dynamics leading to a new extended envelope aerodynamic model for the Cessna Citation II that now also includes stall entry and post-stall aerodynamics.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:2027ed55-c4a7-4c3b-ae58-44637f6d7a8a","http://resolver.tudelft.nl/uuid:2027ed55-c4a7-4c3b-ae58-44637f6d7a8a","Motion Cueing Quality Comparison of Driving Simulators using Oracle Motion Cueing","Kolff, M.J.C. (TU Delft Control & Simulation; BMW Group); Venrooij, Joost (BMW Group); Schwienbacher, Markus (BMW Group); Pool, D.M. (TU Delft Control & Simulation); Mulder, Max (TU Delft Control & Simulation)","","2022","BMW’s new driving simulation center operates multiple motion-base simulators – each with a different kinematic configuration – to serve various experiment use-cases and requirements of simulator users. The selection of a simulator for each experiment should ideally be based on their relative strengths and weaknesses. To support this decision-making process, subjective and objective predictions of motion cueing quality can be used. This paper provides an example comparison of four motion-base driving simulators. The kinematic configurations of the simulators considered differed in the additional presence of a yaw-drive and/or a linear xy-drive. The comparison is made by calculating offline, optimization-based motion cueing with perfect prediction capabilities (the ‘Oracle’) for nine urban drives. A prediction of subjective motion incongruence ratings is made for each simulator. In addition, an error type identification method is used (identifying scaling, missing cue, false cue and false direction cue errors) and evaluated per simulator. As Oracle can fully utilize the available workspace, the employed evaluation methods provide an insight in the fundamental capabilities of each simulator. Both the modelled ratings and the error type analysis show the benefits of adding a xy-drive in urban use-cases: predicted ratings reduce by 19% (i.e., better), while scaling and missing cue errors in the yaw rate are reduced when adding a yaw-drive. The presence of both of these additional motion systems allow for practically one-to-one and therefore error-free motion cueing. The proposed methods provide a straight-forward, yet insightful basis for simulator selection. The presented methods can be extended towards the analysis of multiple motion cueing algorithms and/or other usecases for systematically selecting the best-suited motion cueing method.","Motion cueing; simulator comparison; quality comparison; objective assessment","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:5550c9bc-5eca-4945-a084-2eeebcfbb4fe","http://resolver.tudelft.nl/uuid:5550c9bc-5eca-4945-a084-2eeebcfbb4fe","Gaussian-process emulation for integrating data-driven aerosol-cloud physics from simulation, satellite, and ground-based data","Glassmeier, F. (TU Delft Atmospheric Remote Sensing); Hoffmann, Fabian (University of Colorado; Ludwig Maximilians University); Feingold, Graham (National Oceanic and Atmospheric Administration); Gryspeerdt, Edward (Imperial College London); van Hooft, J.A. (TU Delft Atmospheric Remote Sensing); Yamaguchi, Takanobu (University of Colorado; National Oceanic and Atmospheric Administration); Johnson, Jill S. (University of Leeds); Carslaw, Ken S. (University of Leeds)","","2022","Data-driven quantification and parameterization of cloud physics in general, and of aerosol-cloud interactions in particular, rely on input data from observations or detailed simulations. These data sources have complementary limitations in terms of their spatial and temporal coverage and resolution; simulation data has the advantage of readily providing causality but cannot represent the full process complexity. In order to base data-driven approaches on comprehensive information, we therefore need ways to integrate different data sources.
We discuss how the classical statistical technique of Gaussian-process emulation can be combined with specifically initialized ensembles of detailed cloud simulations (large-eddy simulations, LES) to provide a framework for evaluating data-driven descriptions of cloud characteristics and processes across different data sources. We specifically illustrate this approach for integrating LES and satellite data of aerosol-cloud interactions in subtropical stratocumulus cloud decks. We furthermore explore the extension of our framework to ground-based observations of Arctic mixed-phase clouds.","","en","conference paper","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:77462a13-79c1-46a4-9669-9193ff673d73","http://resolver.tudelft.nl/uuid:77462a13-79c1-46a4-9669-9193ff673d73","N24News: A New Dataset for Multimodal News Classification","Wang, Zhen (Student TU Delft); Shan, X. (TU Delft Water Resources); Zhang, Xiangxie (Student TU Delft); Yang, J. (TU Delft Web Information Systems)","Calzolari, Nicoletta (editor); Bechet, Frederic (editor); Blache, Philippe (editor); Choukri, Khalid (editor); Cieri, Christopher (editor); Declerck, Thierry (editor); Goggi, Sara (editor); Isahara, Hitoshi (editor); Maegaard, Bente (editor); Mariani, Joseph (editor); Mazo, Helene (editor); Odijk, Jan (editor); Piperidis, Stelios (editor)","2022","Current news datasets merely focus on text features on the news and rarely leverage the feature of images, excluding numerous essential features for news classification. In this paper, we propose a new dataset, N24News, which is generated from New York Times with 24 categories and contains both text and image information in each news. We use a multitask multimodal method and the experimental results show multimodal news classification performs better than text-only news classification. Depending on the length of the text, the classification accuracy can be increased by up to 8.11%. Our research reveals the relationship between the performance of a multimodal classifier and its sub-classifiers, and also the possible improvements when applying multimodal in news classification. N24News is shown to have great potential to prompt the multimodal news studies.","Multimodal Dataset; News Article; Text Classification","en","conference paper","European Language Resources Association (ELRA)","","","","","","","","","","Water Resources","","",""
"uuid:dc1bc33e-793c-44cc-8b09-4c4a27ac77ea","http://resolver.tudelft.nl/uuid:dc1bc33e-793c-44cc-8b09-4c4a27ac77ea","Balanced Magnetic Antenna for Partial Discharge Measurements in Gas-Insulated Substations","Mier Escurra, C. (TU Delft High Voltage Technology Group); Mor, A. R. (Universitat Politécnica de Valencia)","","2022","Recent research has found that Magnetic loop antennas can detect partial discharges (PD) in gas-insulated substations (GIS); however, unbalanced shielded loop (UBSL) antennas are affected by electric field coupling. This research proposes a balanced shielded loop (BSL) adapted for GIS PD sensing with different designs. The frequency response and PD charge estimation for BSL and UBSL antennas are analyzed and compared in a matched test bench using a very-fast pulse calibrator. The results confirmed a better common-mode noise rejection and thus a better charge estimation by using the balanced magnetic antenna. An alternative BSL design improved the antenna's gain by a factor of two, and by using a balun transformer, the gain was increased by a factor of 4. The results showed the criticality in the shielded gap placement and the influence of the antenna's parasitic elements on the balun's frequency response. This paper shows an improvement in the noise rejection using magnetic antennas, which leads to the possibility of better PD sensing in GIS.","Partial discharge; gas-insulated substation; GIS; magnetic antenna; common-mode noise; balanced shielded loop","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-02","","","High Voltage Technology Group","","",""
"uuid:f20e7bff-e7ba-4607-9458-6776bf94f0b5","http://resolver.tudelft.nl/uuid:f20e7bff-e7ba-4607-9458-6776bf94f0b5","Steady-state nonlinearity of open-loop reset systems","Zhang, X. (TU Delft Mechatronic Systems Design); Kaczmarek, M.B. (TU Delft Mechatronic Systems Design); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2022","In this paper, we introduce a new representation for open-loop reset systems. We show that at steady-state a reset integrator can be modelled as a parallel interconnection of the base-linear system and piece-wise constant nonlinearity. For sinusoidal input signals, this nonlinearity takes a form of a square wave. Subsequently, we show how the behaviour of a general open-loop reset system is related to the nonlinearity of a reset integrator. The proposed approach simplifies the analysis of reset elements in the frequency domain and provides new insights into the behaviour of reset control systems.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mechatronic Systems Design","","",""
"uuid:56f00241-c895-47ee-8b3e-202ddcc5f1be","http://resolver.tudelft.nl/uuid:56f00241-c895-47ee-8b3e-202ddcc5f1be","Functional diversity in circular building projects: A novel perspective to study actors, roles and circular results","van Staveren, D. (TU Delft Real Estate Management); Cuppen, Eefje (Universiteit Leiden); Heurkens, E.W.T.M. (TU Delft Urban Development Management); Vos, Marije","","2022","Construction sectors have a long way to go to realize a circular economy. Many organizational barriers and institutional characteristics inhibit the sector’s transition to circular practices. Nevertheless, within this early phase of the transition, several building projects were realized. This research aims to learn from these frontrunners, in order to distill insights on how to improve conditions at project level. Drawing on ecological systems metaphor, circular building projects in this research are regarded as a system of multiple actors that each perform one or multiple functions: these functions together make up the functional diversity of circular building project. The sum of these functions produces a system service (i.e. circular building elements). Using this perspective to analyze four circular cases, we uncovered five functions that are crucial to realize circular buildings: 1) connecting though vision; 2) matching supply and demand; 3) providing used materials; 4) constructing circular building elements and 5) controlling safety and quality. The functional diversity perspective reveals that functions are to large extent interchangeable between actors. Further applications of the functional diversity perspective could reveal its relevance to support the transition to a circular construction practice and possibly other transition themes.","circular economy; construction; projects; actor analysis; innovation; case study; functional diversity","en","conference paper","Ecocity Builders","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Urban Development Management","","",""
"uuid:3c9a4f8c-2bf9-4637-af50-e8520cba87e8","http://resolver.tudelft.nl/uuid:3c9a4f8c-2bf9-4637-af50-e8520cba87e8","Shunt Capacitor Bank Fault Detection and Localization Using Sub-Cycle Algorithm","Tajdinian, Mohsen (Shiraz University); Behdani, B. (TU Delft Intelligent Electrical Power Grids); Goodarzi, Ali (Fars Electricity Distribution Company); Chamorro, Harold R. (KTH Royal Institute of Technology); Sood, Vijay K. (Ontario Tech University)","","2022","Fast internal detection and location in Shunt Ca-pacitor Banks (SCBs) can lead to the prevention of damages to other SCBs' elements and consequently avoid undesirable performance and effects in power system operation. This paper targets the performance of phasor-based algorithms of failure detection and fault location of SCBs. Being dependent on the fundamental phasor components which usually are calculated based on the Discrete Fourier Transform (DFT), the failure detection and fault location algorithms suffer from almost one-cycle delay. This paper provides sub-cycle phasor estimation based on the least-square technique. The proposed algorithm is evaluated for different configurations of SCBs considering different fuse protection designs. The proposed method provides a criterion for relay decision-making in the case of multiple faulty phases condition. The proposed method is designed to monitor and detect consecutive failures based on the existing data of commercial relays. Performance evaluations are conducted under different circumstances namely voltage unbalance conditions and multiple internal fault locations.","Fault Detection; Internal Fault; Phasor Estimation; Protection; Shunt Capacitor Banks","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-05","","","Intelligent Electrical Power Grids","","",""
"uuid:64f7c5fb-7122-46e3-96e4-a6ccf91a0814","http://resolver.tudelft.nl/uuid:64f7c5fb-7122-46e3-96e4-a6ccf91a0814","Improved Understanding of Naturally Fractured Reservoirs Using Data Assimilation","MR. SERRAO SEABRA, G.S.S. (TU Delft Reservoir Engineering; Petrobras Research & Development Center); de Hoop, S. (TU Delft Reservoir Engineering); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University); Vossepoel, F.C. (TU Delft Reservoir Engineering)","","2022","Naturally fractured reservoirs can pose challenges for energy operations such as hydrocarbon production, CO2 storage, and geothermal energy production. Fluid flow in these reservoirs is greatly affected by fracture properties such as orientation and aperture, whose magnitude is mainly influenced by the stresses on the reservoir rocks. Simulating fractures and their behavior tends to be computationally intensive, but recent advances in Discrete Fracture Models (DFM) have successfully overcome computational complexity and allow for the explicit inclusion of discrete fractures in reservoir simulations. However, there are still challenges in dealing with uncertainties, including fracture aperture and the effect of in-situ stresses on the fracture surface and their effect on the fluid behavior. This study explores the use of data-assimilation techniques to help quantify these uncertainties. We combine a recent implementation of DFM on the Delft Advanced Research Terra Simulator (DARTS) with both ensemble and gradientbased data-assimilation methods. Our results show that data assimilation can help to understand the dynamic behavior of fluids in fractured reservoirs. Using this technique, we obtain a more accurate representation of the stresses acting on the reservoir and how they affect the fracture aperture. This information is essential for more efficient reservoir management.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Reservoir Engineering","","",""
"uuid:aa5aa602-d6c9-4657-9321-9946a85c0fee","http://resolver.tudelft.nl/uuid:aa5aa602-d6c9-4657-9321-9946a85c0fee","Near-surface Monitoring of CO2 Storage Sites: Case Study from CaMI FRS","Butcher, A. (University of Bristol); Zhou, W. (TU Delft Applied Geophysics and Petrophysics); Kendall, J. (University of Oxford); Stork, A. (Silixa); Vandeweijer, V. (TNO); Macquet, M. (University of Calgary); Lawton, D. (University of Calgary)","","2022","","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:b3c28bc7-2a91-4d7a-bd40-9971e6744322","http://resolver.tudelft.nl/uuid:b3c28bc7-2a91-4d7a-bd40-9971e6744322","Accelerating RRAM Testing with a Low-cost Computation-in-Memory based DFT","Singh, A. (TU Delft Computer Engineering); Fieback, M. (TU Delft Computer Engineering); Bishnoi, R.K. (TU Delft Computer Engineering); Bradarić, Filip (Student TU Delft); Gebregiorgis, A.B. (TU Delft Computer Engineering); Joshi, R.V. (IBM Thomas J. Watson Research Centre); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","Ceballos, Cristina (editor)","2022","Emerging non-volatile resistive RAM (RRAM) device technology has shown great potential to cultivate not only high-density memory storage, but also energy-efficient computing units. However, the unique challenges related to RRAM fabrication process render the traditional memory testing solutions inefficient and inadequate for high product quality. This paper presents low-cost design-for-testability (DFT) solutions that augment the testing process and improve the fault coverage. A computation-in-memory (CIM) based DFT is realized to expedite the detection and diagnosis of faults by developing logic designs involving multi-row activation. A novel addressing scheme is introduced to facilitate the diagnosis of faults. Reconfigurable logic designs are developed to detect unique RRAM faults that offer features such as programmable reference generations, period, and voltage of operation. DFT implementations are validated on a post-layout extracted platform and testing sequences are introduced by incorporating the proposed DFTs. Results show that more than 2.3× speedup and better coverage are achieved with 6× area reduction when compared with state-of-the-art solutions.","Design-for-testability (DFT); Testing RRAM; computation-in-memory (CIM); binary logic; RRAM defects","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:25029375-fe23-4922-981e-8ebd44ae1993","http://resolver.tudelft.nl/uuid:25029375-fe23-4922-981e-8ebd44ae1993","Generalizing Hybrid Integrator-Gain Systems Using Fractional Calculus","Hosseini, S. Ali (Sharif University of Technology); Tavazoei, Mohammad Saleh (Sharif University of Technology); Van Eijk, Luke F. (ASM Pacific Technology); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2022","The Hybrid Integrator-Gain System (HIGS) has recently gained a lot of attention in control of precision motion systems. HIGS is a nonlinear low pass filter/integrator with a 52° phase advantage over its linear counterpart. This property allows us to avoid the limitations typically associated with linear controllers, like the waterbed effect and Bode's gain-phase relation. In this paper, we generalize HIGS by replacing the involved integer-order integrator by a fractional-order one to adapt the phase lead from 0° (linear low pass filter) to 52° (HIGS). To analyze this filter in the frequency domain, the describing function of the proposed filter, i.e., the fractional-order HIGS, is obtained using the Fourier expansion of the output signal. In addition, this generalized HIGS is implemented in a PID structure controlling a double integrator system to validate the performance of the proposed filter in the time domain, in which by changing the fractional variable from zero to one, the output varies from the response of a linear control system to a nonlinear one.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mechatronic Systems Design","","",""
"uuid:952d4345-19c2-499f-b919-9000116a0db7","http://resolver.tudelft.nl/uuid:952d4345-19c2-499f-b919-9000116a0db7","Distributed Radar-based Human Activity Recognition using Vision Transformer and CNNs","Zhao, Yubin (Student TU Delft); Guendel, Ronny (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2022","The feasibility of classifying human activities measured by a distributed ultra-wideband (UWB) radar system using Range-Doppler (RD) images as the input to classifiers is investigated. Kinematic characteristics of different human activities are expected to be captured in high-resolution range-Doppler images measured by UWB radars. To construct the dataset, 5 distributed monostatic Humatics P410 radars are used to record 15 participants performing 9 activities in arbitrary directions along a designated trajectory. For the first time a convolution-free neural network based on the novel multi-head attention mechanism (the Vision Transformer architecture) is adopted as the classifier, attaining an accuracy of 76.5 %. A comparison between Vision Transformer and more conventional CNN-based architectures, such as ResNet and AlexNet, is also conducted. The robustness of Vision Transformer and the other networks against unseen participants is also validated by testing via Leave One Participant Out validation.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-02","","","Microwave Sensing, Signals & Systems","","",""
"uuid:345185cc-8259-4faa-bf27-e25851224a7b","http://resolver.tudelft.nl/uuid:345185cc-8259-4faa-bf27-e25851224a7b","Classification of Unmanned Aerial Vehicles (UAVs) Carrying Payloads with Polarimetric Radar","Sethuraman, H. Visvanathan (Student TU Delft); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2022","The ability of a fully polarimetric radar to discriminate between payloads carried by UAVs is demonstrated. A novel approach has been employed in the feature extraction algorithm, where features from individual and combined polarimetric channels are extracted for classification. Decision and ensemble fusions on the respective extracted features proved to enhance the classification performance. The method is validated on experimental radar data acquired in scenarios where the UAVs carrying payloads (a quadcopter and a hexacopter) are hovering, flying back and forth, and flying along rectangular waypoints. Initial results for the fusion methods provide 90%-95% classification accuracy.","polarimetry; radar; UAVs; payloads; feature extraction; classification","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-02","","","Microwave Sensing, Signals & Systems","","",""
"uuid:ffb0d401-fff6-407c-a1cf-0905711fb000","http://resolver.tudelft.nl/uuid:ffb0d401-fff6-407c-a1cf-0905711fb000","An Approach for Sleep Apnea Detection based on Radar Spectrogram Envelopes","Han, Y. (Student TU Delft); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2022","This research aims to develop a contactless, radar-based sleep apnea detection method. A novel identification approach for this is proposed, based on the envelope of UWB radar spectrograms and machine learning. The envelope of the spectrogram is extracted by an image-based method, followed by signal smoothing via variational mode decomposition (VMD). The method is validated via simulations, and experimental data collected on 14 volunteers in controlled conditions, including supine, side and prone positions and the presence of a blanket. Initial results show that the proposed approach provides over 90% accuracy, precision and recall.","UWB radar; contactless vital sign detection; sleep apnea; machine learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-02","","","Microwave Sensing, Signals & Systems","","",""
"uuid:fe4c4e6f-5765-49bb-8e4e-6be2c1b79381","http://resolver.tudelft.nl/uuid:fe4c4e6f-5765-49bb-8e4e-6be2c1b79381","Autonomous Intraluminal Navigation of a Soft Robot using Deep-Learning-based Visual Servoing","Lazo, J. F. (Politecnico di Milano; University of Strasbourg); Lai, C. (TU Delft Medical Instruments & Bio-Inspired Technology; Politecnico di Milano); Moccia, S. (The BioRobotics Institute; Scuola Superiore Sant’Anna); Rosa, B. (University of Strasbourg); Catellani, M. (University of Strasbourg); Mathelin, M. de (European Institute of Oncology IRCCS); Ferrigno, G. (Politecnico di Milano); Breedveld, P. (TU Delft Medical Instruments & Bio-Inspired Technology); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Momi, E. De (Politecnico di Milano)","","2022","Navigation inside luminal organs is an arduous task that requires non-intuitive coordination between the movement of the operator's hand and the information obtained from the endoscopic video. The development of tools to automate certain tasks could alleviate the physical and mental load of doctors during interventions allowing them to focus on diagnosis and decision-making tasks. In this paper we present a synergic solution for intraluminal navigation consisting of a 3D printed endoscopic soft robot that can move safely inside luminal structures. Visual servoing based on Convolutional Neural Networks (CNNs) is used to achieve the autonomous navigation task. The CNN is trained with phantoms and in-vivo data to segment the lumen and a model-less approach is presented to control the movement in constrained environments. The proposed robot is validated in anatomical phantoms in different path configurations. We analyze the movement of the robot using different metrics such as task completion time smoothness error in the steady-state mean and maximum error. We show that our method is suitable to navigate safely in hollow environments and conditions which are different than the ones the network was originally trained on.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-26","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:7de976b0-3c3f-4956-9d43-d295a03be983","http://resolver.tudelft.nl/uuid:7de976b0-3c3f-4956-9d43-d295a03be983","Foot-operated Tele-impedance Interface for Robot Manipulation Tasks in Interaction with Unpredictable Environments","Klevering, S. (Student TU Delft); Mugge, W. (TU Delft Biomechatronics & Human-Machine Control); Abbink, D.A. (TU Delft Human-Robot Interaction); Peternel, L. (TU Delft Human-Robot Interaction)","","2022","Tele-impedance increases interaction performance between a robotic tool and unstructured/unpredictable en-vironments during teleoperation. However, the existing tele-impedance interfaces have several ongoing issues, such as long calibration times and various obstructions for the human operator. In addition, they are all designed to be controlled by the operator's arms, which can cause difficulties when both arms are used, as in bi-manual teleoperation. To resolve these issues, we designed a novel foot-based tele-impedance control method inspired by the human limb stiffness ellipse modulation. The proposed mechanical interface design includes a disc and a foot pressure sensor that controls the orientation and size/shape of the stiffness ellipse, respectively. We evaluated the disc interface control method in an experimental study with 12 participants, who performed a complex drilling task in a virtual environment. The results show the ability of the operator to use the proposed interface in order to dynamically adapt to different phases of the task and changes in the environment. In addition, a comparison with low and high uniform impedance modes demonstrates a superior interaction performance of the proposed method.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Biomechatronics & Human-Machine Control","","",""
"uuid:144871f5-ad3b-42fb-aa26-709f065c58ae","http://resolver.tudelft.nl/uuid:144871f5-ad3b-42fb-aa26-709f065c58ae","Flexible Collision-free Platooning Method for Unmanned Surface Vehicle with Experimental Validations","Du, B. (TU Delft Transport Engineering and Logistics; Shanghai Jiao Tong University; Harbin Engineering University); Lin, Bin (Shanghai Jiao Tong University; Hainan University); Xie, Wei (Shanghai Jiao Tong University; Harbin Engineering University); Zhang, Weidong (Shanghai Jiao Tong University; Hainan University); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Pang, Y. (TU Delft Transport Engineering and Logistics)","","2022","This paper addresses the flexible formation problem for unmanned surface vehicles in the presence of obstacles. Building upon the leader-follower formation scheme, a hybrid line-of-sight based flexible platooning method is proposed for follower vehicle to keep tracking the leader ship. A fusion artificial potential field collision avoidance approach is tailored to generate optimal collision-free trajectories for the vehicle to track. To steer the vehicle towards and stay within the neighborhood of the generated collision-free trajectory, a nonlinear model predictive controller is designed. Experimental results are presented to validate the efficiency of proposed method, showing that the unmanned surface vehicle is able to track the leader ship without colliding with the surrounded static obstacles in the considered experiments.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport Engineering and Logistics","","",""
"uuid:51a77fa5-1ceb-4ee1-afe1-a13a6b4220f8","http://resolver.tudelft.nl/uuid:51a77fa5-1ceb-4ee1-afe1-a13a6b4220f8","Dynamic Road Surface Signatures in Automotive Scenarios","Bouwmeester, W. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","A method to compute road surface signatures in the automotive scenario is presented. This method is subsequently applied to a rough asphalt surface without undulations. It is shown that, due to the observation geometry, the road surface signature experiences significant spreading along the Doppler-axis, resulting in a distinct range-Doppler map that could be used for classification purposes. This was also confirmed with an experimental recording from a car driving around the university campus. The variation of Doppler-shift and incident angle within a range bin and over a coherent processing interval is also evaluated.","Autonomous vehicles; Advanced driver assistance; Radar cross-sections; Rough surfaces scattering","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public","","2022-12-02","","","Microwave Sensing, Signals & Systems","","",""
"uuid:04952ecb-34ba-44d7-9329-9932b57c409c","http://resolver.tudelft.nl/uuid:04952ecb-34ba-44d7-9329-9932b57c409c","Radar Calibration by Corner Reflectors with Mass-production Errors","Petrov, N. (TU Delft Microwave Sensing, Signals & Systems); Yiğit, Erkut (Student TU Delft); Krasnov, O.A. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","The paper presents the statistical analysis of trihedral corner reflectors RCS in presence of mass production and installation errors. It is shown that the degradation of RCS from its nominal value can be modeled by Beta distribution. The derived probability density functions (PDF) of corner reflector RCS is further exploited to design an optimal procedure for the radar power calibration technique, taking the aforementioned effect into account. This procedure can be used for real-time estimation of radar sensor healthiness parameter that characterises the sensing quality for awareness of human driver or automated driving system.","Radar; calibration; trihedral corner reflector","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public","","2022-12-02","","","Microwave Sensing, Signals & Systems","","",""
"uuid:997ace35-065a-46d2-8b5d-a82cb8082455","http://resolver.tudelft.nl/uuid:997ace35-065a-46d2-8b5d-a82cb8082455","OpenDR: An Open Toolkit for Enabling High Performance, Low Footprint Deep Learning for Robotics","Passalis, N. (Aristotle University of Thessaloniki); Pedrazzi, S. (Cyberbotics); Babuska, R. (TU Delft Learning & Autonomous Control); Burgard, W. (University of Freiburg); Ferro, F. (PAL Robotics); Gabbouj, M. (Tampere University); Kayacan, E. (Aarhus University); Kober, J. (TU Delft Learning & Autonomous Control); Pieters, RRGM (TU Delft Joining and Mechanical Behavior); Valada, A. (University of Freiburg)","","2022","Existing Deep Learning (DL) frameworks typically do not provide ready-to-use solutions for robotics, where very specific learning, reasoning, and embodiment problems exist. Their relatively steep learning curve and the different methodologies employed by DL compared to traditional approaches, along with the high complexity of DL models, which often leads to the need of employing specialized hardware accelerators, further increase the effort and cost needed to employ DL models in robotics. Also, most of the existing DL methods follow a static inference paradigm, as inherited by the traditional computer vision pipelines, ignoring active perception, which can be employed to actively interact with the environment in order to increase perception accuracy. In this paper, we present the Open Deep Learning Toolkit for Robotics (OpenDR). OpenDR aims at developing an open, non-proprietary, efficient, and modular toolkit that can be easily used by robotics companies and research institutions to efficiently develop and deploy AI and cognition technologies to robotics applications, providing a solid step towards addressing the aforementioned challenges. We also detail the design choices, along with an abstract interface that was created to overcome these challenges. This interface can describe various robotic tasks, spanning beyond traditional DL cognition and inference, as known by existing frameworks, incorporating openness, homogeneity and robotics-oriented perception e.g., through active perception, as its core design principles.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-26","","","Learning & Autonomous Control","","",""
"uuid:894deaba-1d35-4766-be9f-46be9b69e805","http://resolver.tudelft.nl/uuid:894deaba-1d35-4766-be9f-46be9b69e805","Multigrid Reduced in Time for Isogeometric Analysis","Tielen, R.P.W.M. (TU Delft Numerical Analysis); Möller, M. (TU Delft Numerical Analysis); Vuik, Cornelis (TU Delft Delft Institute of Applied Mathematics)","Schwarz, Carina (editor); Morganti, Simone (editor)","2022","Isogeometric Analysis (IgA) can be seen as the natural extension of the Finite Element Method (FEM) to high-order B-spline basis functions. Combined with a time inte- gration scheme within the method of lines, IgA has become a viable alternative to FEM for time-dependent problems. However, as processors' clock speeds are no longer increasing but the number of cores are going up, traditional (i.e., sequential) time integration schemes become more and more the bottleneck within these large-scale computations. The Multigrid Reduced in Time (MGRIT) method is a parallel-in-time integration method that enables exploitation of parallelism not only in space but also in the temporal direction. In this paper, we apply MGRIT to discretizations arising from IgA for the _rst time in the literature. In particular, we investigate the (parallel) performance of MGRIT in this context for a variety of geometries, MGRIT hierarchies and time integration schemes. Numerical results show that the MGRIT method converges independent of the mesh width, spline degree of the B-spline basis functions and time step size _t and is highly parallelizable when applied in the context of IgA.","Multigrid Reduced in Time; Isogeometric Analysis; Multigrid","en","conference paper","","","","","","","","","","","Numerical Analysis","","",""
"uuid:77d377f1-314c-4dbe-9c4b-ee759479e15d","http://resolver.tudelft.nl/uuid:77d377f1-314c-4dbe-9c4b-ee759479e15d","A socio-spatial analysis of vulnerability to climate change","Goncalves, J. E. (TU Delft Spatial Planning and Strategy); Verma, T. (TU Delft Policy Analysis); Arab, R. (Student TU Delft)","","2022","In urban areas, climate change effects are often associated with increasing risks of flood, heat waves, and sagging. Increasing climate risks exacerbate existing urban inequalities, poverty levels, and environmental degradation. Global urban policy is reacting to these urban issues by calling for making cities more inclusive, safe, resilient, and sustainable. In this paper, social impact assessment is used in combination with spatial analysis in a framework to support the operationalisation of urban polices. The framework is employed to investigate how climate change effects are distributed across the city and to identify which social groups are more vulnerable to climate risks.","Climate change; Cities; Social Impact Assessment; Spatial Planning; Vulnerability","en","conference paper","Zenodo","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:1c85cb92-0425-4fc9-91bc-f166e767d1af","http://resolver.tudelft.nl/uuid:1c85cb92-0425-4fc9-91bc-f166e767d1af","System Strength: Classification, Evaluation Methods, and Emerging Challenges in IBR-dominated Grids","Boricic, Aleksandar (TU Delft Intelligent Electrical Power Grids); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2022","To facilitate the increasing penetration of inverter-based resources, understanding and evaluating system strength becomes one of the central questions for the resilient operation of power systems. However, this is a very challenging and nuanced task, currently without a clear consensus in the industry and academia. This paper provides a comprehensive review of the proposed notion for system strength, followed by a consequent introduction of a novel classification. Furthermore, an exhaustive examination of present system strength evaluation methods is performed. Finally, a critical outlook on remaining and emerging challenges of system strength evaluation is presented, with several key recommendations for future research directions.","System Strength; Inverter-based Resources; Classification; Voltage Stability; Weak Grids","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-11","","","Intelligent Electrical Power Grids","","",""
"uuid:081dad8c-85ba-427a-88bf-2628d6aeb07f","http://resolver.tudelft.nl/uuid:081dad8c-85ba-427a-88bf-2628d6aeb07f","Zonal Day-Ahead Energy Market: A Modified Version of the IEEE 39-bus Test System","Tricarico, Gioacchino (Polytechnic University of Bari); Wagle, Raju (The Arctic University of Norway); Dicorato, Maria (Polytechnic University of Bari); Forte, Giuseppe (Polytechnic University of Bari); Gonzalez-Longatt, Francisco (University of South-Eastern Norway); Rueda, José L. (TU Delft Intelligent Electrical Power Grids)","","2022","The increasing penetration of renewable energy resources (RES) in transmission system operating conditions require a suitable test system and a dataset to cope with current issues. RES penetration remarkably affects day-ahead market outcomes regarding zonal prices and dispatched generation levels. For this purpose, zonal day-ahead energy market models in the presence of RES in the generation mix need to be implemented. In this paper, the IEEE 39-bus system has been suitably modified to include solar and wind generation in the traditional generation mix. Hourly time series are used to define load profiles and wind and solar power generation. The zonal day-ahead market (ZDAM) resolution is simulated by solving a Linear Programming optimization problem employing Pyomo. Furthermore, steady-state nodal analysis is carried out using DIgSILENT PowerFactory, performed over a year horizon.","IEEE 39-bus system; Day-Ahead Market; Renewable Energy Resources; DIgSILENT PowerFactory","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-11","","","Intelligent Electrical Power Grids","","",""
"uuid:da8fc9b5-b3ab-40e4-8487-3892bfe2efdf","http://resolver.tudelft.nl/uuid:da8fc9b5-b3ab-40e4-8487-3892bfe2efdf","Cyber-Physical Co-Simulation Testbed for Real-Time Reactive Power Control in Smart Distribution Network","Wagle, Raju (The Arctic University of Norway); Tricarico, Gioacchino (Politecnico di Bari); Sharma, Pawan (The Arctic University of Norway); Sharma, Charu (The Arctic University of Norway); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Gonzalez-Longatt, Francisco (University of South-Eastern Norway)","","2022","Existing electric power distribution systems are evolving and changing as a result of the high renewable energy sources integration. Hence, future smart distribution networks will involve various technical challenges; one of them is real-time monitoring and controlling the network to operate it effectively and efficiently. This paper develops and analyzes a cyber-physical co-simulation testbed for real-time reactive power control in the smart distribution network. The testbed is a two-layer system, with Typhoon HIL 604 representing the physical layer and the other layer as a cybernetic layer. The cybernetic layer is used to model a test system and control reactive power from smart inverters in real-time. The implementation of real-time reactive power control of smart inverters on a CIGRE MV distribution network is shown in this study. The proposed testbed's usefulness in real-time reactive power control is demonstrated through simulation results.","Cyber-physical testbed; Reactive power control; Real-time co-simulation; Smart distribution networks","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-11","","","Intelligent Electrical Power Grids","","",""
"uuid:120ea53c-25e5-47f6-8b91-73dd4fc9c104","http://resolver.tudelft.nl/uuid:120ea53c-25e5-47f6-8b91-73dd4fc9c104","Enabling Patient- and Teleoperator-led Robotic Physiotherapy via Strain Map Segmentation and Shared-authority","Balvert, S. (Student TU Delft); Prendergast, J.M. (TU Delft Human-Robot Interaction); Belli, I. (TU Delft Human-Robot Interaction); Seth, A. (TU Delft Biomechatronics & Human-Machine Control); Peternel, L. (TU Delft Human-Robot Interaction)","","2022","In this work, we propose a method for monitoring and managing rotator-cuff (RC) tendon strains in human-robot collaborative physical therapy for shoulder rehabilitation. We integrate a high-resolution biomechanical model with a collaborative industrial robot arm and an impedance controller to provide feedback to a human subject, therapist or both, which prevents the subject from entering unsafe poses during rehabilitation. The biomechanical model estimates RC tendon strain as a function of human shoulder configuration, muscle activation and applied external forces. Subject- and injury-specific data are model estimates of strain that compose strain maps, which capture the relationship between the RC strains and movement of the shoulder degrees of freedom (DoF). High-strain regions of the strain map are identified as unsafe zones by clustering and ellipse fitting to smoothly demarcate these zones. These unsafe areas, which reflect increased risks of (re-)injury, are used to define parameters of an impedance controller and reference pose for real-time biomechanical safety control. Using strain maps we demonstrate both safe patient-led movements and teleoperated movements that prevent the subject from entering unsafe zones. In the teleoperated case, the physical therapist leads the patient remotely using a haptic device. The proposed method has the potential to improve the safety, range of motion, and volume of activity that a patient receives through robot-mediated physical therapy. We validated our approach using three experiments that demonstrate shoulder joint torques of less than 1 Nm during free motion with larger torques occurring only when the subject was asked to actively push into the unsafe boundary or, in the case of teleoperation, to resist the physical therapist.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Human-Robot Interaction","","",""
"uuid:498db66a-163b-40b5-9319-d75d72606b3f","http://resolver.tudelft.nl/uuid:498db66a-163b-40b5-9319-d75d72606b3f","Energy-Aware Scheduling of Virtualized Base Stations in O-RAN with Online Learning","Kalntis, M. (TU Delft Embedded Systems); Iosifidis, G. (TU Delft Embedded Systems)","","2022","The design of Open Radio Access Network (O-RAN) compliant systems for configuring the virtualized Base Stations (vBSs) is of paramount importance for network operators. This task is challenging since optimizing the vBS scheduling procedure requires knowledge of parameters, which are erratic and demanding to obtain in advance. In this paper, we propose an online learning algorithm for balancing the performance and energy consumption of a vBS. This algorithm provides performance guarantees under unforeseeable conditions, such as non-stationary traffic and network state, and is oblivious to the vBS operation profile. We study the problem in its most general form and we prove that the proposed technique achieves sub-linear regret (i.e., zero average optimality gap) even in a fast-changing environment. By using real-world data and various trace-driven evaluations, our findings indicate savings of up to 74.3% in the power consumption of a vBS in comparison with state-of-the-art benchmarks.","O-RAN; Scheduling; Network Optimization; Green Mobile Networks; Virtualization","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-11","","","Embedded Systems","","",""
"uuid:adc73ecc-1dba-459a-8d20-a3543aa18e17","http://resolver.tudelft.nl/uuid:adc73ecc-1dba-459a-8d20-a3543aa18e17","Challenges in Virtual Testing of Autonomous Vehicles","Piazzoni, Andrea (ERI@N; Nanyang Technological University); Vijay, Roshan (Nanyang Technological University); Cherian, Jim (Nanyang Technological University); Chen, Lyu (Nanyang Technological University); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","","2022","The worldwide development of Autonomous Vehicles (AVs) has also encouraged the use of software simulators for virtual testing of AVs. However, the effectiveness of the AV simulators is constrained by numerous challenges, such as their computational cost and lack of fidelity in specific areas. In this paper, we describe the modality of virtual testing and its benefits for AV development and validation. Moreover, we summarize and provide an overview of the state-of-the-art AV simulators, their limitations, and the current directions toward improvement.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Signal Processing Systems","","",""
"uuid:2fc22b87-aa7e-4364-a6b2-c5150c1593f1","http://resolver.tudelft.nl/uuid:2fc22b87-aa7e-4364-a6b2-c5150c1593f1","ARCH-COMP22 Category Report: Stochastic Models","Abate, Alessandro (University of Oxford); Blom, H.A.P. (TU Delft Air Transport & Operations); Delicaris, Joanna (University of Münster); Haesaert, Sofie (Eindhoven University of Technology); Hartmanns, Arnd (University of Twente); van Huijgevoort, Birgit (Eindhoven University of Technology); Lavaei, Abolfazl (Newcastle University); Ma, H. (TU Delft Air Transport & Operations); Niehage, Mathis (University of Münster); Remke, Anne (University of Münster)","Frehse, Goran (editor); Althoff, Matthias (editor)","2022","This report presents the results of a friendly competition for formal verification and policy synthesis of stochastic models. It also introduces new benchmarks and their properties within this category and recommends next steps for this category towards next year’s edition of the competition. In comparison with tools on non-probabilistic models, the tools for stochastic models are at the early stages of development that do not allow full competition on a standard set of benchmarks. We report on an initiative to collect a set of minimal benchmarks that all such tools can run, thus facilitating the comparison between efficiency of the implemented techniques. The friendly competition took place as part of the workshop Applied Verification for Continuous and Hybrid Systems (ARCH) in Summer 2022.","","en","conference paper","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:23374bf0-18c8-4f49-811e-078145dd1925","http://resolver.tudelft.nl/uuid:23374bf0-18c8-4f49-811e-078145dd1925","Autonomous RC Cars for Control Research and Education: Implementation of Virtual Potential Based Navigation and Platooning","De Jager, T. R. (Student TU Delft); Meinders, N. K. (Student TU Delft); Van Vugt, T. A. (Student TU Delft); Zomerdijk, W. (TU Delft Intelligent Electrical Power Grids); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari)","","2022","Autonomous and collaborative vehicles not only are seen as a possible solution to reducing congestion and traffic related fatalities. They also provide an excellent multi-domain test bench for engineering education at undergraduate and graduate level. Yet, the use of real scale platforms for experimental educational activities bears prohibitive costs and complexity. While several small scale autonomous platforms have been developed in recent years to address this issue, still they require a significant investment of time and money, which is not always ideal for undergraduate education. Furthermore, none of the available platforms are specifically developed for platooning experiments. In this paper, we detail the results of an undergraduate student's project where a pair of relatively low-cost, off-the-shelf small scale RC cars have been used to implement and test a well known platooning algorithm from the literature. Furthermore, a Virtual Potential Field (VPF) based lateral controller has been included in order to allow the cars to navigate a prescribed closed-circuit track. Self-location of each car has been obtained via a ceiling-mounted motion capture system. Results have shown that, even using a relatively low sampling rate of 10 Hz, accuracies in the order of 1 cm can be obtained when platooning at 0.5 m/s along a circuit of 4 by 3 m. As further improvements to the platform, apart from higher sampling rates for the control law, the inclusion of onboard perception is being explored, in order to eliminate the need for an external motion capture system.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-08","","","Intelligent Electrical Power Grids","","",""
"uuid:711e72ff-8392-401c-93c4-6ec43807aeec","http://resolver.tudelft.nl/uuid:711e72ff-8392-401c-93c4-6ec43807aeec","Modeling of Non-Newtonian Polymer Flooding with Adsorption and Retention Using Parametrization Approach","Lyu, X. (TU Delft Reservoir Engineering; China University of Petroleum - Beijing); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University); Mao, J. (Student TU Delft)","","2022","Polymer flooding is one efficient EOR technology by overcoming non-uniform and unstable displacement caused by water injection. Polymer flooding in reservoirs is a complicated process that involves strongly nonlinear physics, e.g., non-Newtonian rheology in porous media with retention and adsorption. In the presence of multi-scale heterogeneity, high-fidelity simulations are usually required to capture such nonlinear behavior, which is a time-consuming process for conventional reservoir modelling.
In this study, we extend an advanced linearization strategy, called the Operator-Based Linearization (OBL) approach, to simulate non-Newtonian polymer flooding with retention and adsorption mechanisms using the fully implicit method. A velocity-dependent viscosity multiplier compliments the operator form of governing equations to represent the non-Newtonian rheology of the high-molecular-compound polymer. The retention of polymer, reducing the porosity, is represented by a Langmuir-type adsorption model. Several simplified models have been used for validation of the developed numerical framework. The numerical results show good agreement with both the analytical solutions and the coreflood experimental data though some negligible discrepancies can be observed in simulation results.
A highly resolved near-well model is used to test the performance of polymer flooding in realistic reservoir conditions. Both shear-thinning and thickening regimes, depending on the injection velocity and polymer concentration, are recognized in the near-wellbore zone. The injected polymer concentration and brine salinity significantly affect the shear viscosity, and consequently, polymer injectivity. Polymer retention and adsorption have a substantial effect on the rate of polymer propagation through porous media. Overall, polymer flooding shows its advantages to mitigate water fingering in field-scale operations and improves the ultimate sweep of the reservoir. However, optimal injectivity is one essential factor that affects the performance of polymer flooding. The computational superiority of the proposed model allows us to optimize the parameters of polymer flooding in realistic reservoirs and operational settings.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Reservoir Engineering","","",""
"uuid:09766798-8e25-44df-a5ff-96eb1fb1e426","http://resolver.tudelft.nl/uuid:09766798-8e25-44df-a5ff-96eb1fb1e426","Accurate Modeling of Near-Wellbore Effects Induced by Supercritical CO2 Injection","Wapperom, M.B. (TU Delft Reservoir Engineering); Lyu, X. (TU Delft Reservoir Engineering; China University of Petroleum - Beijing); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University)","","2022","During injection of supercritical CO2 into saline aquifers or depleted gas reservoirs, the complex interaction of CO2 and impurities with reservoir fluids plays a very important role and can significantly alternate the injectivity. Brine evaporation into the CO2-rich phase can lead to salt precipitation which will reduce the effective permeability of the porous rock. A tangible cooling of the near-wellbore region due to the Joule-Thomson effect can lead to hydrate formation which will reduce injectivity even more. Complex phase behavior of supercritical CO2 with brine and hydrocarbon components in highly heterogeneous porous media accompanied by all these phenomena will strongly affect pressure distribution which is in turn related to mechanical risks.
In this work, we present a unified simulation framework for modelling near-wellbore effects induced by supercritical CO2 injection developed in the Delft Advanced Research Terra Simulator (DARTS) platform. This framework uses the Operator-Based Linearization (OBL) technique for incorporating all complex physical phenomena in a fully coupled fully implicit manner. A general multicomponent multiphase flash based on a combination of classic cubic equations of state (e.g., Peng-Robinson) for hydrocarbon/CO2-rich phases and an activity model for the aqueous phase is implemented. Hydrate phase behavior is modelled using a modified Van der Waals-Platteeuw hydrate equation of state. Formation dry-out and salt precipitation are incorporated by using the Element Balance approach coupled with thermodynamics. Thermophysical property correlations relevant to the thermodynamic conditions of interest are implemented and validated against lab experiments.
We demonstrate that all important physical phenomena, such as the Joule-Thomson effect, hydrate formation and salt precipitation can be effectively captured by the OBL approach. We use several existing numerical benchmarks to validate the accuracy of the developed framework in the dynamic representation of all these effects. The interplay between these complex phenomena and reservoir heterogeneity is demonstrated in an unstructured heterogeneous near-wellbore reservoir model.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Reservoir Engineering","","",""
"uuid:27019437-00be-411b-814d-ba47ab73b2d4","http://resolver.tudelft.nl/uuid:27019437-00be-411b-814d-ba47ab73b2d4","Direct P-Wave Seismic Noise Interferometry for Groundwater Monitoring: A Modelling Study","Aguzzoli, A. (Università Degli Studi di Modena e Reggio Emilia); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Arosio, D. (Università Degli Studi di Modena e Reggio Emilia)","","2022","In this study, we monitor the depth variation of an unconfined aquifer by applying seismic noise interferometry to synthetic data modelled with a 2D finite-difference software. We consider two models with the same subsurface geological structure, but with different water table levels representing two monitoring periods. The receivers are placed at the topographic surface and collect the seismic signals generated by a source located at the bottom of the aquifer to simulate a pumping system. First, cross-correlation of seismic traces with a reference one is used to produce interferograms (i.e., virtual surveys) for both the tested models. Then, direct P-wave arrivals identified in the two interferograms are compared through the stretching technique in order to estimate the relative velocity changes (dv/v). Finally, the estimated dv/v values are related to theoretical ones obtained using a reference subsurface model to produce the water level depth in the considered monitoring period.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:10b7ce9a-53ae-470f-86c4-f5012f6b0629","http://resolver.tudelft.nl/uuid:10b7ce9a-53ae-470f-86c4-f5012f6b0629","Ēngines of Ēternity: An Artistic Inquiry into Space Settlement Ideology Using Rotifer Experiments on Board the ISS","Vermeulen, A.C.J. (TU Delft System Engineering; SEADS (Space Ecologies Art and Design)); Maranan, Diego (University of the Philippines Open University; SEADS (Space Ecologies Art and Design)); Steyaert, Pieter (Universiteit Antwerpen; University of Copenhagen; SEADS (Space Ecologies Art and Design)); Versbraegen, Nassim (Universite' Libre de Bruxelles (ULB); SEADS (Space Ecologies Art and Design)); Peeters, Ann (Erasmushogeschool Brussel; SEADS (Space Ecologies Art and Design)); Verschuren, Jeroen (SEADS (Space Ecologies Art and Design)); Wan, Arise (SEADS (Space Ecologies Art and Design)); Pereira, Frederico D.A.S. (SEADS (Space Ecologies Art and Design)); Faber, Nils (SEADS (Space Ecologies Art and Design)); Mirzada, Fattana (Katholieke Universiteit Leuven; SEADS (Space Ecologies Art and Design)); Hespeels, Boris (University of Namur); Van Doninck, Karine (Universite' Libre de Bruxelles (ULB); SEADS (Space Ecologies Art and Design))","","2022","Ēngines of Ēternity is a transdisciplinary project that takes the biological phenomena of cloning and DNA repair as metaphorical departure points for an art installation about humanity’s enthrallment with cultural immortality. Cultural immortality has long fascinated humankind, with such diverse examples as Ancient Egypt, the Roman Empire, Hindu kingdoms, and Mayan civilization, all assuming perpetuity through monumental works in art and architecture. This aspiration of cultural immortality is also deeply embedded in the imaginary of space exploration. Space settlements are often presented as the culmination of technological and cultural evolution. However, the quest for cultural immortality is often imbued with conflict because of convictions of superiority and impulses of colonialism, and this will be no different in outer space. Ēngines of Ēternity explores these human tendencies through the lens of the smallest animals on Earth, rotifers. On the surface, rotifers seem an unchanging biological culture, perfected through evolution, cloning itself endlessly, and surviving extreme conditions such as complete drying or freezing. However, during drying and rehydration, genetic material gets broken and repaired again, and in the process, diversity is generated. Moreover, DNA from totally different organisms such as fungi, bacteria and plants were discovered inside the rotifer’s genome. This horizontal gene transfer is another mechanism through which rotifers seek out diversity. In Ēngines of Ēternity it’s precisely this contrast between stasis and flux that is used as a metaphorical device to reflect critically on the aspirations of humankind in space. What concept of culture and identity will we develop in space? Who will have a say in this? And if we end up with a rich diversity of cultures and identities, how will we maintain cohesion? Ēngines of Ēternity is a joint effort between SEADS and the laboratory of Karine Van Doninck (UNamur/ULB). In a series of space biology experiments, rotifers were sent to the ISS in 2019 and 2020. SEADS sent a series of thumb-printed glyphs along with the rotifers. This code formed the algorithmic seed for an evolving artwork. After each space mission genetic data of the rotifers was used to parametrically evolve the art. As such, Ēngines of Ēternity engenders new forms of co-creation between humans, biological organisms, algorithms, and outer space. In this paper, the core concepts of the Ēngines of Ēternity project are presented, together with reflections on transdisciplinary research and the need for a more holistic perspective on our future in outer space.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-22","","","System Engineering","","",""
"uuid:83f12b23-24e5-4d36-b367-b87a98f96df3","http://resolver.tudelft.nl/uuid:83f12b23-24e5-4d36-b367-b87a98f96df3","Using space syntax to assess accessibility of multimodal urban hubs and seamless mobility within the hubs Case study Delft-Campus train station","Torabi Kachousangi, F. (TU Delft Transport and Planning); Mokhtarzadeh, Safoora (Daneshpajoohan Higher Education Institute (DHEI), Isfahan); van Nes, Akkelies (Western Norway University of Applied Sciences); van Oort, N. (TU Delft Transport and Planning); Hoogendoorn, S.P. (TU Delft Transport and Planning)","van Nes, Akkelies (editor); de Koning, Remco E. (editor)","2022","Multimodal hubs connect different modes, allowing people to switch from one mode to another and seamlessly access/egress to/from their origin/destinations. However, there are limited methods to estimate the impact of future measures on the accessibility of multimodal hubs. These future measures could be wide reaching, among others, introduction of different schemes in the planning of the hub or introduction of new modes at multimodal hubs. This study provides interdisciplinary cross-cutting method including transport and urbanism literatures, by using Space Syntax method. This method allows the transport planners to analyse and compare the current situation and the proposed development plan. In this research, we show how changes made in urban spatial configuration can impact the accessibility of intermodal hubs. To learn about the impacts of the spatial configuration of a city on station design, we performed a case study at Delft Campus train station in the Netherlands, which is under construction to become a multimodal hub. Firstly, we analyse the current situation and then the proposed development plan in terms of, integration, choice, accessibility and intelligibility, using segment analyses. Furthermore, the immediate surrounding areas of the station have been analysed by Visual Graphic Analysis to find visual accessibility within the hub. Although the municipality proposed plans claim that the station’s accessibility would be enhanced, our method indicate otherwise and the proposed changes are not highly effective in increasing accessibility. Finally, some design recommendations for multimodal hubs are proposed. This study is a prerequisite for designing the multimodal hubs considering emerging modes.","Accessibility; multimodal hubs; segment; space syntax; VGA","en","conference paper","Western Norway University of Applied Sciences (HVL)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Transport and Planning","Transport and Planning","","",""
"uuid:da222cc1-8de4-47e7-9564-f35adcef8a7c","http://resolver.tudelft.nl/uuid:da222cc1-8de4-47e7-9564-f35adcef8a7c","Social inclusion through the urban lens: a comparative analysis of neighbourhoods of residential racial homogeneity and heterogeneity in Cape Town, South Africa","Nelson, R.J. (TU Delft Policy Analysis)","van Nes, Akkelies (editor); de Koning, Remco E. (editor)","2022","The world is increasingly urbanising, more than half of the global population live within cities. The impact of COVID-19 is having devastating effects. The United Nations estimates that the pandemic will most likely elevate poverty and inequalities at a global scale. The World Bank’s twin goals of ending extreme poverty and promoting prosperity and the United Nations’ Sustainable Development Goals have deemed inclusive, resilient, and sustainable cities as global imperatives. Despite wide recognition, building inclusive cities remains a challenge. Many studies of social inclusion are conducted at an individual or household scale, with little emphasis on the interaction between human dynamics and the spatial characteristics of cities. This article proposes a data driven framework for examining urban social inclusion through the profiling of neighbourhoods by combining spatial network measurements, transport, land use and socio-economic indicators in Cape Town, South Africa. The spatial unit of the neighbourhood is considered an important building block within cities and has especially historically important social and cultural connotations in South Africa. The results show that there are 4 types of neighbourhoods, Economically disadvantaged and marginalised, Affluent and exclusive, Semi residentially heterogeneous and Residentially heterogeneous. Neighbourhoods with increased residential racial heterogeneity, additionally, have access to higher levels of mixed land use, transport, and global closeness centrality. Furthermore, neither extremely high nor low-income neighbourhoods are found to be related to racial heterogeneity. The results enable the profiling and comparison of neighbourhoods, and it is envisioned that this evidence-based approach could support policy makers and urban planners within decision making processes.","Cities; Clustering; Neighbourhoods; Racial heterogeneity; Social inclusion","en","conference paper","Western Norway University of Applied Sciences (HVL)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Policy Analysis","","",""
"uuid:2c10e3f8-9833-4462-bc07-ebbb454c523e","http://resolver.tudelft.nl/uuid:2c10e3f8-9833-4462-bc07-ebbb454c523e","Student perceptions on a collaborative engineering design course","van Helden, G. (TU Delft Space Systems Egineering); Zandbergen, B.T.C. (TU Delft Space Systems Egineering); Specht, M.M. (TU Delft Web Information Systems); Gill, E.K.A. (TU Delft Space Systems Egineering)","Jarvinen, Hannu-Matti (editor); Silvestre, Santiago (editor); Llorens, Ariadna (editor); Nagy, Balazs Vince (editor)","2022","To adequately prepare engineering students for their professional career, educational institutions offer projects in which students collaboratively solve engineering design problems. It is known from research these projects can lead to a variety of learning outcomes and student experiences. However, studies that provide insights in the influence of different features of an educational design are rare. In the current study we use Cultural Historical Activity Theory (CHAT) as analytical framework to understand how different elements of an educational design affect students’ experience. Additionally, we use the notion of contradictions to identify opportunities for structural course improvement. Focus groups were conducted with 12 Master students in Aerospace Engineering, that participated in a collaborative engineering design course. During the course, students applied Systems Engineering (SE) and Concurrent Engineering (CE) and worked in the Collaborative Design Laboratory (CDL), which is a state-of-the-art facility that holds a variety of industry relevant tools. It was found that students valued the guidance of their coach and experts, co-located collaboration and the freedom to structure their own process. However, they perceived challenges with regard to adoption of tools in the CDL, sharing their progress with their supervisor, coordination of collaborative efforts and scheduling issues. An analysis using CHAT revealed what contradictions caused these challenges. Finally, recommendations are given on how course structure can be structurally improved.","focus groups; course design; team based learning; project based learning; learning technology","en","conference paper","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:c7e8002e-711b-4a5f-961c-18059d52dd51","http://resolver.tudelft.nl/uuid:c7e8002e-711b-4a5f-961c-18059d52dd51","Cross curricular Comparison of Professional Capabilities in Engineering Education","Klaassen, R.G. (TU Delft Teaching & Learning Services); Bossen, R.H. (TU Delft Education and Student Affairs); Sies, P.H.J. (TU Delft Teaching & Learning Services); Hellendoorn, J. (TU Delft Cognitive Robotics)","Järvinen, Hannu-Matti (editor); Silvestre, Santiago (editor); Llorens, Ariadna (editor); Nagy, Balàzs (editor)","2022","In this paper we studied the student’s perception of the acquisition of professional capabilities in Challenge based learning environments with a strong reflective component.
The results show students feel the relevance of personnel development from the very moment the enter their master studies. However, they only truly acquire all the relevant professional capabilities when working in interdisciplinary teams on real life problems in interaction with stakeholders.","Challenge Based Education; Reflection; Professional Capabilities; Deliberate professional","en","conference paper","","","","","","","","","","Education and Student Affairs","Teaching & Learning Services","","",""
"uuid:ee480e9b-7ee1-4ca6-93e7-140d6fcf89ae","http://resolver.tudelft.nl/uuid:ee480e9b-7ee1-4ca6-93e7-140d6fcf89ae","Using peer assessment in inclusive digital education","Saunders-Smits, Gillian (TU Delft Aerospace Structures & Materials); van Helden, G. (TU Delft Space Systems Egineering); van der Werf, V. (TU Delft Web Information Systems); Specht, M.M. (TU Delft Web Information Systems)","Jarvinen, Hannu-Matti (editor); Silvestre, Santiago (editor); Llorens, Ariadna (editor); Nagy, Balazs Vince (editor)","2022","This workshop is part of the ERASMUS+ project: RAPIDE: on Relevant Assessment and pedagogies for Inclusive Digital Education (https://rapide-project.eu) and is open to anyone who is interested in implementing or improving peer assessment in their courses. At the end of the workshop, participants will be able to make an informed decision on a suitable form of Peer Assessment for their courses. Over the past few years, many of us have faced operating in a frequently changing teaching environment which has made evaluating and assessing students’ learning outcomes and more importantly giving students feedback on their learning much more complicated. One pedagogical tool that has been increasingly used is that of peer assessments where students give each other feedback and assess each other’s work. In this workshop, participants will be introduced to many different types of peer assessment that can be used in engineering education, such as peer reviewing (each other’s work), peer grading(continuous feedback on mastery), and peer evaluation (group work) whether face-to-face, hybrid or in a fully online environment and how to do so in an inclusive way thus maintaining the important safe place that education should be. Participants will then in small groups discuss what types of peer evaluations they use or want to use in their courses and brainstorm on ideas for implementation in their own specific case or for one of the general cases that the facilitators will have available. At the end of the workshop participants will present their main findings back to the whole group so that they may also learn from each other. We aim for participants to leave feeling inspired at the end of the workshop to implement or improve peer assessment in their own courses. The aggregated main findings and ideas contrived in the workshop on how to implement peer assessment will also be shared with a wider audience through the conference proceedings and the RAPIDE project website.","focus groups; course design; team based learning; project based learning; learning technology","en","conference paper","","","","","","","","","","Aerospace Structures & Materials","Space Systems Egineering","","",""
"uuid:69a11d25-8f83-4a6e-94e8-ca34d0a90597","http://resolver.tudelft.nl/uuid:69a11d25-8f83-4a6e-94e8-ca34d0a90597","The Future of Women Aerospace Engineers in Academia: a numbers game","Saunders-Smits, Gillian (TU Delft Aerospace Structures & Materials)","Jarvinen, Hannu-Matti (editor); Silvestre, Santiago (editor); Llorens, Ariadna (editor); Nagy, Balazs Vince (editor)","2022","The gender divide for women in the engineering domain in academia is still very large today, even though most institutions are committed to changing this. Although there are slow improvements in the number of women working in academic positions in Engineering, the Netherlands, in particular, is still lagging badly behind the rest of Europe with women making up only 17.6% of all full professors in the engineering domain and for 25.7% in the entire academic domain. This is despite many efforts across the board to improve this situation. The situation is even worse in the field of Aerospace Engineering and within this field, the lack of progress is not unique to the Netherlands with similar issues being reported in the United States of America and wider afield. This paper reports on research on the capacity building among women required within the aerospace engineering domain to reach the commonly defined critical mass percentage of 30% of women full professors using metrics on career progress and on as well as labour market data on the career development of Aerospace graduates to show where potential new interventions can be made.","","en","conference paper","","","","","","","","","","Aerospace Structures & Materials","","","",""
"uuid:18e8190e-4852-468d-aee5-ade4bced742e","http://resolver.tudelft.nl/uuid:18e8190e-4852-468d-aee5-ade4bced742e","Research on mathematical competencies in engineering education: Where are we now?","Wong, L.Y.J. (TU Delft Statistics); Papageorgiou, E. (TU Delft Statistics); Klaassen, R.G. (TU Delft Teaching & Learning Services); van der Wal, N.J. (TU Delft Statistics); Menschaart, L.E. (TU Delft Statistics); Cabo, A.J. (TU Delft Statistics)","Järvinen, Hannu-Matti (editor); Silvestre, Santiago (editor); Llorens, Ariadna (editor); Nagy, Balàzs (editor)","2022","In tertiary mathematics education for engineers (hereafter called service mathematics education, SME), there is a long-lasting controversy on what and how to teach. The goal of SME is to provide a base for engineering-specific courses and to develop mathematical competencies needed for academic success and professional practice. A leading question in engineering education is how to take mathematical competencies into account when designing content. Mathematical competencies are employed to understand, judge, do, and use mathematics in a variety of mathematical contexts and situations in which mathematics could play a role [1]. Although mathematical competencies have been introduced for about two decades, Alpers [2] noted that research in engineering higher education had focused chiefly on the modelling competency and less on other competencies. By means of a scoping review, the current study aims to examine how mathematical competencies are investigated in higher education research. The main research question is “To what extent and in what ways have mathematical competencies been examined in higher engineering education research?” Papers were retrieved and qualitatively reviewed using the Preferred Reporting Items for Systematic Reviews and Meta- Analyses (PRISMA) guidelines. A systematic search yielded 166 records, of which, 65 unique records were relevant to engineering education and screened for eligibility. A synthesis of 23 studies reviewed showed that problem-solving and modelling were the most investigated mathematical competencies and were often investigated together or with other mathematical competencies. The inconsistencies in the terminologies used suggest a need for clearer conceptualizations to advance research and inform practice on mathematical competencies.","service mathematics; engineering education; mathematical competencies; scoping review; curriculum development","en","conference paper","","","","","","","","","","","Statistics","","",""
"uuid:c725169d-6752-4209-b379-ce406065406a","http://resolver.tudelft.nl/uuid:c725169d-6752-4209-b379-ce406065406a","An investigation on integration of computational thinking into engineering curriculum at delft university of technology","Zhang, X. (TU Delft Web Information Systems); Valle Torre, M. (TU Delft Web Information Systems); Specht, M.M. (TU Delft Web Information Systems)","Järvinen, Hannu-Matti (editor); Silvestre, Santiago (editor); Llorens, Ariadna (editor); Nagy, Balàzs (editor)","2022","Our life is surrounded by digital devices. Engineering education is one of the cornerstones in higher education for future generations and computational thinking (CT) is deemed as a core component in various engineering curricula. The Delft University of Technology (TU Delft), is the largest technical university in the Netherlands and computing; computational concepts and activities have been integrated into curriculum for years at TU Delft. However, there is not a comprehensive investigation on integration of CT into Engineering Curriculum, this paper presents a case study of Master’s level engineering curricula investigating: 1) to what extend CT components are integrated; 2) in what way CT is interpreted and integrated in the curriculum; 3) what educational and assessment methods have been used. The results show that CT has been largely integrated into the investigated curriculum mostly with lectures being the educational method and programming assignments as a method for the assessment. Our analysis shows that understanding the context and patterns in problems and solutions was important in different courses and engineering disciplines, indicating possible directions for integration of CT into curriculum.","Engineering Education; Computational Thinking; Curriculum; Case Study","en","conference paper","","","","","","","","","","","Web Information Systems","","",""
"uuid:1384f801-c863-470e-b359-dec3b2f9963b","http://resolver.tudelft.nl/uuid:1384f801-c863-470e-b359-dec3b2f9963b","Augmented reality for learning mathematics: A pilot study with webxr as an accessible tool","Wong, L.Y.J. (TU Delft Statistics); Bayoumy, S. (TU Delft New Media Centre); Freeke, A. (TU Delft New Media Centre); Cabo, A.J. (TU Delft Statistics)","Järvinen, Hannu-Matti (editor); Silvestre, Santiago (editor); Llorens, Ariadna (editor); Nagy, Balàzs (editor)","2022","One of the concerns in service mathematics courses, such as calculus for engineering, is students’ interest in these studies. Research suggests that engineering undergraduates’ lack of awareness about the importance of mathematics for their study success and for their careers contributes to their low motivation for mathematics. An approach to increasing student motivation is to take advantage of technological tools to provide students with more engaging learning experiences. Recent studies showed that augmented reality (AR) enhances student engagement, motivation, and knowledge retention. However, implementing AR can be challenging since it can be quite costly and technically complex. The current paper describes a case study in which an AR application was designed and developed using WebXR, in the context of a service mathematics course for teaching calculus. The AR content involves drawing of level curves and the visualization of a volcano and the flow of lava to support students’ learning of directional derivatives. A pilot study was conducted to examine engineering undergraduates’ perceptions of using AR for learning mathematics. Results show that students perceived using AR for learning math as enjoyable and motivating. Students reported that AR content adds value to their classes by making the mathematical concepts clearer and helping them apply what they have learned to real life. However, the AR content did not work well on all mobile phones and all versions of web browsers. Lessons learned from the design and development of AR using WebXR as well as recommendations for future studies are discussed in this paper.","service mathematics; augmented reality; student engagement; motivation; technology-enhanced learning","en","conference paper","","","","","","","","","","","Statistics","","",""
"uuid:effd752e-1864-405f-8aab-d6426fbe21f4","http://resolver.tudelft.nl/uuid:effd752e-1864-405f-8aab-d6426fbe21f4","Shaping the embedding of reflection in engineering education","Hermsen, P.E.A. (TU Delft Education and Student Affairs); van Dommelen, S. (TU Delft Internet of Things); Hueso Espinosa, P. (TU Delft Support 3mE; TU Delft Education and Student Affairs)","Jarvinen, Hannu-Matti (editor); Silvestre, Santiago (editor); Llorens, Ariadna (editor); Nagy, Balazs Vince (editor)","2022","In tertiary mathematics education for engineers (hereafter called service mathematics education, SME), there is a long-lasting controversy on what and how to teach. The goal of SME is to provide a base for engineering-specific courses and to develop mathematical competencies needed for academic success and professional practice. A leading question in engineering education is how to take mathematical competencies into account when designing content. Mathematical competencies are employed to understand, judge, do, and use mathematics in a variety of mathematical contexts and situations in which mathematics could play a role [1]. Although mathematical competencies have been introduced for about two decades, Alpers [2] noted that research in engineering higher education had focused chiefly on the modelling competency and less on other competencies. By means of a scoping review, the current study aims to examine how mathematical competencies are investigated in higher education research. The main research question is “To what extent and in what ways have mathematical competencies been examined in higher engineering education research?” Papers were retrieved and qualitatively reviewed using the Preferred Reporting Items for Systematic Reviews and Meta- Analyses (PRISMA) guidelines. A systematic search yielded 166 records, of which, 65 unique records were relevant to engineering education and screened for eligibility. A synthesis of 23 studies reviewed showed that problem-solving and modelling were the most investigated mathematical competencies and were often investigated together or with other mathematical competencies. The inconsistencies in the terminologies used suggest a need for clearer conceptualizations to advance research and inform practice on mathematical competencies.","Reflection; implementation; co-creation; local embedding; longevity","en","conference paper","","","","","","","","","","Education and Student Affairs","Internet of Things","","",""
"uuid:39cfbff2-b88e-40d9-9c52-f1de9038c3f3","http://resolver.tudelft.nl/uuid:39cfbff2-b88e-40d9-9c52-f1de9038c3f3","A Call for Scaling Literacy: On strategic dimensions and directions of systemic scaling","Mulder, I. (TU Delft Design Conceptualization and Communication); Buckenmayer, M.B. (Student TU Delft); Murphy, Ryan J.A. (Memorial University of Newfoundland)","","2022","Scaling is a motif describing the proportionate growth of innovation. Over the past decade, scholars have adapted the original idea of scaling from business to differentiate different ways to scale that are more appropriate in the context of social innovation. Scaling is sometimes thought of as a panacea: it is the end result, purpose, or answer to what we are trying to achieve. However, scaling remains ill-defined in systems change: we do not have a commonly agreed-upon
language for what we are scaling, where we are scaling, or how we are scaling change in social systems. Instead, systemic designers refer to a mix of jargon from (social) innovation, design, systemic change, and/or transition design.
Although these fields share similar ambitions for scaling, we argue that systemic designers need advanced scaling strategies for systemic innovation. The complexity of issues addressed by systemic design requires a better understanding of how scaling systems change happens and demands building capabilities for designing for these different dimensions and directions of scale. The context of the study is a Master-level course building the capacity designers need to understand when maturing systemic social innovations. Students demonstrated a richness in their scaling strategies distinguishing different dimensions and layers of scale. The current work unfolds dimensions of scaling and scaling strategies necessary for systemic scaling and elaborates upon a 2 multi-level framework for scaling literacy. We conclude with a call for scaling literacy to further advance systemic design’s methodological practices and expand the capabilities and action repertoire of future generations of systemic designers.","design capabilities; design curricula; scaling literacy; scaling strategies; social innovation; systems change; systemic impact","en","conference paper","Systemic Design Association","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:c331038e-40f4-4ecb-a08d-d84db9199f4a","http://resolver.tudelft.nl/uuid:c331038e-40f4-4ecb-a08d-d84db9199f4a","The Future of Technology Education in the ‘Low Lands’: Experts’ Views in Flanders and the Netherlands","Ardies, Jan (Universiteit Antwerpen); de Vries, M.J. (TU Delft Science Education and Communication)","Gill, David (editor); Tuff, Jim (editor); Kennedy, Thomas (editor); Jamil, Sana (editor); Pendergast, Shawn (editor)","2022","In 2020 an article about USA experts’ opinions on the future of technology education was published. Several concerns were expressed by the experts in the Delphi study that had been conducted, such as a shortage of teachers and funding. From the start of the study in USA the idea was to conduct similar studies in other countries. In Finland such a study has also been done but the outcomes have not been published yet. It is interesting to see to what extent the outcomes are USA specific or more broadly valid. To find that out a similar study was done also in Flanders (the Dutch-speaking part of Belgium) and the Netherlands. It became clear that there are similarities between the USA outcomes but also differences. Most of those differences can be explained by taking into account the local developments in the different countries","Delphi study; impact factors; expectations for technology education","en","conference paper","","","","","","","","","","","Science Education and Communication","","",""
"uuid:bd41e26b-0d7d-4e59-a55d-671a2a02b8c7","http://resolver.tudelft.nl/uuid:bd41e26b-0d7d-4e59-a55d-671a2a02b8c7","Are Concept Drift Detectors Reliable Alarming Systems?: A Comparative Study","Poenaru-Olaru, L. (TU Delft Software Engineering); Cruz, Luis (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Technology); Rellermeyer, Jan S. (Leibniz University Hannover)","Tsumoto, Shusaku (editor); Ohsawa, Yukio (editor); Chen, Lei (editor); Van den Poel, Dirk (editor); Hu, Xiaohua (editor); Motomura, Yoichi (editor); Takagi, Takuya (editor); Wu, Lingfei (editor); Xie, Ying (editor); Abe, Akihiro (editor); Raghavan, Vijay (editor)","2022","As machine learning models increasingly replace traditional business logic in the production system, their lifecycle management is becoming a significant concern. Once deployed into production, the machine learning models are constantly evaluated on new streaming data. Given the continuous data flow, shifting data, also known as concept drift, is ubiquitous in such settings. Concept drift usually impacts the performance of machine learning models, thus, identifying the moment when concept drift occurs is required. Concept drift is identified through concept drift detectors. In this work, we assess the reliability of concept drift detectors to identify drift in time by exploring how late are they reporting drifts and how many false alarms are they signaling. We compare the performance of the most popular drift detectors belonging to two different concept drift detector groups, error rate-based detectors and data distribution-based detectors. We assess their performance on both synthetic and real-world data. In the case of synthetic data, we investigate the performance of detectors to identify two types of concept drift, abrupt and gradual. Our findings aim to help practitioners understand which drift detector should be employed in different situations and, to achieve this, we share a list of the most important observations made throughout this study, which can serve as guidelines for practical usage. Furthermore, based on our empirical results, we analyze the suitability of each concept drift detection group to be used as an alarming system.","concept drift detection; machine learning lifecycle management","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-26","","Software Technology","Software Engineering","","",""
"uuid:9d338d09-cad9-4ed4-a4ef-f28c149a9444","http://resolver.tudelft.nl/uuid:9d338d09-cad9-4ed4-a4ef-f28c149a9444","Methodology for the identification of hydrogen gas permeation path in damaged laminates","Hosseini, S.M.A. (TU Delft Aerospace Manufacturing Technologies); den Otter, Arjan (TNO); Zevenbergen, John (TNO); Atli-Veltin, B. (TU Delft Aerospace Manufacturing Technologies); Dransfeld, C.A. (TU Delft Aerospace Manufacturing Technologies)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","The main bottleneck of using composites for cryogenic storage of clean hydrogen fuel is the permeation of gas molecules. In this work, the permeation of hydrogen gas through thermally cycled thermoplastic composite laminates with two different stacking sequence is investigated. The experimental study is based on a methodology of cryogenically cycling the composite specimen and measuring the permeability in a dedicated hydrogen permeation setup. An optical microscope and X-ray computed tomography scanner are employed to investigate the existence of cracks. The results reveal that thermal cycling does not have a profound influence on permeability, while the stacking sequence has a considerable effect. Laminates with dispersed 0° layers resulted in lower permeation values compared to the laminate with grouped 0° layers at the laminate’s core. The imaging techniques did not reveal any observable crack which supports the hypothesis that permeation is mostly driven by bulk diffusion in the polymer.","Cryogenic storage; Thermoplastic composite; Hydrogen; Permeation","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:60718ddc-2ced-482f-a6cd-f162408906e1","http://resolver.tudelft.nl/uuid:60718ddc-2ced-482f-a6cd-f162408906e1","Removing dependencies from large software projects: Are you really sure?","Chuang, Ching-Chi (Student TU Delft); Cruz, Luis (TU Delft Software Engineering); van Dalen, Robbert (ING); Mikovski, Vladimir (ING); van Deursen, A. (TU Delft Software Technology)","Ceballos, C. (editor)","2022","When developing and maintaining large software systems, a great deal of effort goes into dependency management. During the whole lifecycle of a software project, the set of dependencies keeps changing to accommodate the addition of new features or changes in the running environment. Package management tools are quite popular to automate this process, making it fairly easy to automate the addition of new dependencies and respective versions. However, over the years, a software project might evolve in a way that no longer needs a particular technology or dependency. But the choice of removing that dependency is far from trivial: one cannot be entirely sure that the dependency is not used in any part of the project. Hence, developers have a hard time confidently removing dependencies and trusting that it will not break the system in production. In this paper, we propose a decision framework to improve the detection of unused dependencies. Our approach builds on top of the existing dependency analysis tool DepClean. We start by improving the support of Java dynamic features in DepClean. We do so by augmenting the analysis with the state-of-the-art call graph generation tool OPAL. Then, we analyze the potentially unused dependencies detected by classifying their logical relationship with the other components to decide on follow-up steps, which we provide in the form of a decision diagram. Results show that developers can focus their efforts on maintaining bloated dependencies by following the recommendations of our decision framework. When applying our approach to a large industrial software project, we can reduce one-third of false positives when compared to the state-of-the-art. We also validate our approach by analyzing dependencies that were removed in the history of open-source projects. Results show consistency between our approach and the decisions taken by open-source developers.","unused dependencies; call graph generation; static analysis","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-12","","Software Technology","Software Engineering","","",""
"uuid:8bde4cb2-1d8f-449d-b2a2-e9ca0ef9dfa8","http://resolver.tudelft.nl/uuid:8bde4cb2-1d8f-449d-b2a2-e9ca0ef9dfa8","Exploring visual language and typologies in Dutch midrise residential neighbourhoods","Spoormans, L.G.K. (TU Delft Heritage & Architecture); de Jonge, W. (TU Delft Heritage & Architecture); Czischke, D.K. (TU Delft Real Estate Management); Pereira Roders, A. (TU Delft Heritage & Architecture)","Such, Carmen Jorda (editor); Figueres, Maite Palomares (editor); Tostoes, Ana (editor); Pottgiesser, Uta (editor)","2022","Dutch residential neighbourhoods built after 1965 (Post 65) are characterised by a varied range of housing and living environments. As a reaction to the post-war Reconstruction period, architects and urban designers focussed on quality of life and identification with the living environment. Midrise housing was the compromise between high-rise and low-rise, combining quality and efficiency. Today, Post 65 residential neighbourhoods are not recognised as valuable architecture or cultural heritage. Although academic interest in Post 65 architecture is increasing, attributes of midrise typologies are understudied. Research is necessary to document and assess them, to inform stakeholders and contribute to decision making in renovation processes. The central question in this paper is: What are the urban and architectural attributes (tangible and intangible) of Dutch midrise residential neighbourhoods built after 1965? The paper discusses a comparative analysis of five residential midrise examples, focussing on building typology and visual language. The research applied mixed methods and integrates fieldwork, archival and literature research and uses 2D-matrices, juxtaposing urban and architectural attributes. Results show a variety in terms of typology and use of visual language. Two spatial organisational concepts are identified. A 'snake' shapes the urban space, and creates a front. It refers to a formal urban model in which the urban form is the starting point and the development of the building block a means to that end. A 'mesh' arranges housing units and urban space in a sprawling structure, in which a human scale living environment is the starting point. Regarding visual language, the projects show referencing to various architectural movements. This pluralism applies to the 'collection' of Post 65 midrise complexes but also to single neighbourhoods. The urban and architectural attributes are diverse, with diversity as the common denominator. Following Jencks' definitions, the Post 65 midrise neighbourhoods can therefore be regarded as Post-Modern.","","en","conference paper","DOCOMOMO","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Heritage & Architecture","","",""
"uuid:fb689d01-38a2-4752-847c-c38edad08a40","http://resolver.tudelft.nl/uuid:fb689d01-38a2-4752-847c-c38edad08a40","Cross-Coupled Iterative Learning Control for Complex Systems: A Monotonically Convergent and Computationally Efficient Approach","Aarnoudse, Leontine (Eindhoven University of Technology); Kon, Johan (Eindhoven University of Technology); Classens, Koen (Eindhoven University of Technology); van Meer, Max (Eindhoven University of Technology); Poot, Maurice (Eindhoven University of Technology); Tacx, Paul (Eindhoven University of Technology); Strijbosch, Nard (IBS Precision Engineering); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2022","Cross-coupled iterative learning control (ILC) can achieve high performance for manufacturing applications in which tracking a contour is essential for the quality of a product. The aim of this paper is to develop a framework for norm-optimal cross-coupled ILC that enables the use of exact contour errors that are calculated offline, and iteration-and time-varying weights. Conditions for the monotonic convergence of this iteration-varying ILC algorithm are developed. In addition, a resource-efficient implementation is proposed in which the ILC update law is reframed as a linear quadratic tracking problem, reducing the computational load significantly. The approach is illustrated on a simulation example.","Simulation; Computational modeling; Aerospace electronics; Manufacturing; Computational efficiency; Printers; Complex systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Team Jan-Willem van Wingerden","","",""
"uuid:a8e3f2d2-f39d-4de5-8cf3-92f34d828844","http://resolver.tudelft.nl/uuid:a8e3f2d2-f39d-4de5-8cf3-92f34d828844","A Story Unfolding - Productive Mistakes in Making Design Learning Visible in an International Context","Klapwijk, R.M. (TU Delft Science Education and Communication); Stables, Kay (University of London); Blom, Nicolaas (University of Limerick); Canty, Donal (University of Limerick); Dagan, Osnat (Beit Berl College); Hartell, Eva (KTH Royal Institute of Technology); Khunyakari, Ritesh (Tata Institute of Social Sciences)","Gill, David (editor); Tuff, Jim (editor); Kennedy, Thomas (editor); Jamil, Sana (editor); Pendergast, Shawn (editor)","2022","This paper reports on a pilot project focused on the use of the formative assessment resource Make Design Learning Visible (MDLV) in different national settings. The MDLV resource centers on a design model involving seven interactive design skills and a formative assessment model involving five strategies. A team of researchers from seven countries in three continents, are working collaboratively with a teacher practitioner from each country to develop the structure of the research project and trial of a design activity that utilises the MDLV skills and approach to formative assessment. This paper reports on ongoing exploratory early work with the teacher practitioners trialing a short design project with an overarching theme of sustainability, a focus on developing two design skills (Empathy and Sharing ideas) and two formative assessment strategies (‘Activating learners as resources for one another’ and ‘Activating learners as owners of their learning’). The project centers on a design brief customised for each national setting and relevant for learners aged between 10 and 15 years of age. A structured portfolio supporting an iterative design process forms the basis of tangible evidence of learner responses. The pilot is providing insights into the effectiveness of the MDLV resource in developing design skills and formative assessment across national settings. Additionally, the pilot contributes understandings of comparative participatory research involving teacher practitioners across countries. The exploratory nature of the early stages of the project intentionally allows some aspects to be customised locally by teachers as we seek to understand rather than dictate how the resource is best used in the local educational contexts. To this end, the overarching structure and pedagogy of the activity is fixed, but aspects such as lesson timings, choices around the use of MDLV tools and the further MDLV design skills are flexible.","Design learning; formative assessment; comparative participatory research; practitioner researchers; peer feedback","en","conference paper","","","","","","","","","","","Science Education and Communication","","",""
"uuid:2f4f4e82-ffda-4c42-991e-c355937a4b4b","http://resolver.tudelft.nl/uuid:2f4f4e82-ffda-4c42-991e-c355937a4b4b","Frequency Domain Identification of Multirate Systems: A Lifted Local Polynomial Modeling Approach","van Haren, Max (Eindhoven University of Technology); Blanken, Lennart (Eindhoven University of Technology; Sioux Technologies); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2022","Frequency-domain representations of multirate systems are essential for controller design and performance evaluation of multirate systems and sampled-data control. The aim of this paper is to develop a time-efficient closed-loop identification approach for multirate systems in the frequency-domain. The developed method utilizes local polynomial modeling for lifted representations of LPTV systems, which enables direct identification of closed-loop multirate systems in a single identification experiment. Unlike LTI identification techniques, the developed method does not suffer from bias due to ignored LPTV dynamics. The developed approach is demonstrated on a multirate example, resulting in accurate and fast identification in the frequency domain.","Performance evaluation; Linear systems; Time-frequency analysis; Transfer functions; Estimation; Benchmark testing; Control systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Team Jan-Willem van Wingerden","","",""
"uuid:554edeb2-cfa1-4cf7-913a-300b28fb6503","http://resolver.tudelft.nl/uuid:554edeb2-cfa1-4cf7-913a-300b28fb6503","Convex Model Predictive Control for Down-regulation Strategies in Wind Turbines","Gonzalez Silva, J. (TU Delft Team Riccardo Ferrari); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2022","Wind turbine (WT) controllers are often geared towards maximum power extraction, while suitable operating constraints should be guaranteed such that WT components are protected from failures. Control strategies can be also devised to reduce the generated power, for instance to track a power reference provided by the grid operator. They are called down-regulation strategies and allow to balance power generation and grid loads, as well as to provide ancillary grid services, such as frequency regulation. Although this balance is limited by the wind availability and grid demand, the quality of wind energy can be improved by introducing down-regulation strategies that make use of the kinetic energy of the turbine dynamics. This paper shows how the kinetic energy in the rotating components of turbines can be used as an additional degree-of-freedom by different down-regulation strategies. In particular we explore the power tracking problem based on convex model predictive control (MPC) at a single wind turbine. The use of MPC allows us to introduce a further constraint that guarantees flow stability and avoids stall conditions. Simulation results are used to illustrate the performance of the developed down-regulation strategies. Notably, by maximizing rotor speeds, and thus kinetic energy, the turbine can still temporarily guarantee tracking of a given power reference even when occasional saturation of the available wind power occurs. In the study case we proved that our approach can guarantee power tracking in saturated conditions for 10 times longer than with traditional down-regulation strategies.","Target tracking; Wind energy; Wind power generation; Wind farms; Aerodynamic; Stability analysis; Wind turbines","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Team Riccardo Ferrari","","",""
"uuid:2f272860-30f9-456d-9234-8b8dcc0649b9","http://resolver.tudelft.nl/uuid:2f272860-30f9-456d-9234-8b8dcc0649b9","A Fully Homomorphic Encryption Scheme for Real-Time Safe Control","Stobbe, P.J. (Student TU Delft); Keijzer, T. (TU Delft Team Riccardo Ferrari); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari)","","2022","Fully Homomorphic Encryption (FHE) has made it possible to perform addition and multiplication operations on encrypted data. Using FHE in control thus has the advantage that control effort for a plant can be calculated remotely without ever decrypting the exchanged information. FHE in its current form is however not practically applicable for real-time control as its computational load is very high compared to traditional encryption methods. In this paper a reformulation of the Gentry FHE scheme is proposed and applied on an FPGA to solve this problem. It is shown that the resulting FHE scheme can be implemented for real-time stabilization of an inverted double pendulum using discrete time control.","Ciphers; Dams; Control systems; Real-time systems; Large-scale systems; Homomorphic encryption; Computational complexity","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Team Riccardo Ferrari","","",""
"uuid:1a458777-00fe-4ae0-b09a-f2b2ac9a80c0","http://resolver.tudelft.nl/uuid:1a458777-00fe-4ae0-b09a-f2b2ac9a80c0","Embedding Adaptive Features in the ArduPilot Control Architecture for Unmanned Aerial Vehicles","Li, Peng (Southeast University); Liu, Di (Technische Universität München; Southeast University); Xia, Xin (Southeast University); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","","2022","The operation of Unmanned Aerial Vehicles (UAVs) is often subject to state-dependent alterations and unstructured uncertainty factors, such as unmodelled dynamics, environmental weather disturbances, aerodynamics gradients, or changes in inertia and mass due to payloads. While a large number of autopilot solutions have been proposed to operate UAVs, none of these solutions is able to counteract the effects of state-dependent and unstructured uncertainties online by parameter estimation and adaptive control techniques. This work presents a systematic integration of adaptive control into ArduPilot, a popular open-source autopilot suite maintained by a large community of UAV developers. Adaptation features are embedded in the ArduPilot control structure without altering the original architecture, to allow users to use the autopilot suite as usual. Tests show that the proposed adaptive ArduPilot provides consistent improved performance in several uncertain flight conditions. The source code of the proposed adaptive ArduPilot is released at https://github.com/Friend-Peng/Adaptive-ArduPilot-Autopilot.","Uncertainty; Systematics; Parameter estimation; Source coding; Autonomous aerial vehicles; Aerodynamics; Autopilot","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Team Bart De Schutter","","",""
"uuid:a4a3eb8e-716b-4439-9ef3-c57c6ce6abfa","http://resolver.tudelft.nl/uuid:a4a3eb8e-716b-4439-9ef3-c57c6ce6abfa","Scheduling Operator Assistance for Shared Autonomy in Multi-Robot Teams","Cai, Yifan (University of Waterloo); Dahiya, Abhinav (University of Waterloo); Wilde, N. (TU Delft Learning & Autonomous Control); Smith, Stephen L. (University of Waterloo)","","2022","In this paper, we consider the problem of allocating human operator assistance in a system with multiple autonomous robots. Each robot is required to complete independent missions, each defined as a sequence of tasks. While executing a task, a robot can either operate autonomously or be teleoperated by the human operator to complete the task at a faster rate. We formulate our problem as a Mixed Integer Linear Program, which can be used to optimally solve small to moderate sized problem instances. We also develop an anytime algorithm that makes use of the problem structure to provide a fast and high-quality solution of the operator scheduling problem, even for larger problem instances. Our key insight is to identify blocking tasks in greedily-created schedules and iteratively remove those blocks to improve the quality of the solution. Through numerical simulations, we demonstrate the benefits of the proposed algorithm as an efficient and scalable approach that outperforms other greedy methods.","Schedules; Numerical simulation; Scheduling; Task analysis; Autonomous robots","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Learning & Autonomous Control","","",""
"uuid:aa8d6077-d30b-4422-962a-6096ccc6dc4a","http://resolver.tudelft.nl/uuid:aa8d6077-d30b-4422-962a-6096ccc6dc4a","Distributionally Robust Optimization via Haar Wavelet Ambiguity Sets","Boskos, D. (TU Delft Team Dimitris Boskos); Cortes, Jorge (University of California); Martinez Sandez, S. (TU Delft Design for Sustainability; University of California)","","2022","This paper introduces a spectral parameterization of ambiguity sets to hedge against distributional uncertainty in stochastic optimization problems. We build an ambiguity set of probability densities around a histogram estimator, which is constructed by independent samples from the unknown distribution. The densities in the ambiguity set are determined by bounding the distance between the coefficients of their Haar wavelet expansion and the expansion of the histogram estimator. This representation facilitates the computation of expectations, leading to tractable minimax problems that are linear in the parameters of the ambiguity set, and enables the inclusion of additional constraints that can capture valuable prior information about the unknown distribution.","Histograms; Costs; Uncertainty; Probabilistic logic; Optimization; Wavelet coefficients","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Team Dimitris Boskos","","",""
"uuid:527386b7-96c6-4b0a-8284-8135fcb5e52c","http://resolver.tudelft.nl/uuid:527386b7-96c6-4b0a-8284-8135fcb5e52c","Uncertain uncertainty in data-driven stochastic optimization: towards structured ambiguity sets","Chaouach, L. (TU Delft Team Dimitris Boskos); Boskos, D. (TU Delft Team Dimitris Boskos); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2022","Ambiguity sets of probability distributions are a prominent tool to hedge against distributional uncertainty in stochastic optimization. The aim of this paper is to build tight Wasserstein ambiguity sets for data-driven optimization problems. The method exploits independence between the distribution components to introduce structure in the ambiguity sets and speed up their shrinkage with the number of collected samples. Tractable reformulations of the stochastic optimization problems are derived for costs that are expressed as sums or products of functions that depend only on the individual distribution components. The statistical benefits of the approach are theoretically analyzed for compactly supported distributions and demonstrated in a numerical example.","Uncertainty; Costs; Cost function; Probability distribution; Random variables","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Team Dimitris Boskos","","",""
"uuid:39571554-a145-4fa8-85dc-c3094c9a7ecf","http://resolver.tudelft.nl/uuid:39571554-a145-4fa8-85dc-c3094c9a7ecf","Nash equilibrium seeking under partial-decision information: monotonicity, smoothness and proximal-point algorithms","Bianchi, M. (TU Delft Team Sergio Grammatico); Grammatico, S. (TU Delft Team Bart De Schutter; TU Delft Team Sergio Grammatico)","","2022","We consider Nash equilibrium problems in a partial-decision information scenario, where each agent can only exchange information with some neighbors, while its cost function possibly depends on the strategies of all agents. We characterize the relation between several monotonicity and smoothness assumptions postulated in the literature. Furthermore, we prove convergence of a preconditioned proximal-point algorithm, under a restricted monotonicity property that allows for a non-Lipschitz, non-continuous game mapping.","Games; Nash equilibrium; Cost function; Convergence","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Sergio Grammatico","","",""
"uuid:5a0d8cd6-3489-4e3c-85f5-37732c87835c","http://resolver.tudelft.nl/uuid:5a0d8cd6-3489-4e3c-85f5-37732c87835c","Hierarchical Cyber-Attack Detection in Large-Scale Interconnected Systems","Keijzer, T. (TU Delft Team Riccardo Ferrari); Gallo, A.J. (TU Delft Team Riccardo Ferrari); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari)","","2022","In this paper we present a hierarchical scheme to detect cyber-attacks in a hierarchical control architecture for large-scale interconnected systems (LSS). We consider the LSS as a network of physically coupled subsystems, equipped with a two-layer controller: on the local level, decentralized controllers guarantee overall stability and reference tracking; on the supervisory level, a centralized coordinator sets references for the local regulators. We present a scheme to detect attacks that occur at the local level, with malicious agents capable of affecting the local control. The detection scheme is computed at the supervisory level, requiring only limited exchange of data and model knowledge. We offer detailed theoretical analysis of the proposed scheme, highlighting its detection properties in terms of robustness, detectability and stealthiness conditions.","Couplings; Estimation error; Regulators; Industrial control; Redundancy; Computer architecture; Interconnected systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Team Riccardo Ferrari","","",""
"uuid:ca3d75ce-e3df-4d0d-9dbd-916e29382e6b","http://resolver.tudelft.nl/uuid:ca3d75ce-e3df-4d0d-9dbd-916e29382e6b","Informative Path Planning in Random Fields via Mixed Integer Programming","Dutta, Shamak (University of Waterloo); Wilde, N. (TU Delft Learning & Autonomous Control); Smith, Stephen L. (University of Waterloo)","","2022","We present a new mixed integer formulation for the discrete informative path planning problem in random fields. The objective is to compute a budget constrained path while collecting measurements whose linear estimate results in minimum error over a finite set of prediction locations. The problem is known to be NP-hard. However, we strive to compute optimal solutions by leveraging advances in mixed integer optimization. Our approach is based on expanding the search space so we optimize not only over the collected measurement subset, but also over the class of all linear estimators. This allows us to formulate a mixed integer quadratic program that is convex in the continuous variables. The formulations are general and are not restricted to any covariance structure of the field. In simulations, we demonstrate the effectiveness of our approach over previous branch and bound algorithms.","Integer programming; Measurement uncertainty; Prediction algorithms; Approximation algorithms; Path planning; Optimization; Robots","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Learning & Autonomous Control","","",""
"uuid:30a7003c-822f-4230-854f-4fcee52c1230","http://resolver.tudelft.nl/uuid:30a7003c-822f-4230-854f-4fcee52c1230","Data-Driven Stability Verification of Homogeneous Nonlinear Systems with Unknown Dynamics","Lavaei, Abolfazl (Newcastle University); Mohajerin Esfahani, P. (TU Delft Team Peyman Mohajerin Esfahani); Zamani, Majid (University of Colorado)","","2022","In this work, we propose a data-driven approach for the stability analysis of discrete-time homogeneous nonlinear systems with unknown models. The proposed framework is based on constructing Lyapunov functions via a set of data, collected from trajectories of unknown systems, while providing an a-priori guaranteed confidence on the stability of the system. In our data-driven setting, we first cast the original stability problem as a robust optimization program (ROP). Since unknown models appear in the constraint of the proposed ROP, we collect a finite number of data from trajectories of unknown systems and provide two variants of scenario optimization program (SOP) associated to the original ROP. We discuss that the proposed ROP, and its corresponding SOPs, are not convex due to having a bilinearity between decision variables. We also show that while one of the proposed SOPs is more efficient in terms of computational complexity, the other one provides Lyapunov functions with a much better performance for the original ROP. We then establish a probabilistic closeness between the optimal value of (non-convex) SOP and that of ROP, and subsequently, formally provide the stability guarantee for unknown systems with a guaranteed confidence level. We illustrate the efficacy of our proposed results by applying them to two physical case studies with unknown dynamics including (i) a DC motor and (ii) a (homogeneous) nonlinear jet engine compressor. We collect data from trajectories of unknown systems and verify their global asymptotic stability (GAS) with desirable confidence levels.","Asymptotic stability; Probabilistic logic; DC motors; Stability analysis; Data models; Trajectory; Nonlinear systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Team Peyman Mohajerin Esfahani","","",""
"uuid:14aa8431-a180-4cfd-857b-cdecaf6ecae8","http://resolver.tudelft.nl/uuid:14aa8431-a180-4cfd-857b-cdecaf6ecae8","Scalability analysis of radical technologies to various aircraft class: Part 1: initial designs","Hoogreef, M.F.M. (TU Delft Flight Performance and Propulsion); Bonnin, V.O. (TU Delft Flight Performance and Propulsion)","","2022","Various research initiatives in hybrid-electric/sustainable aviation typically address only a single vehicle or single vehicle class. However, novel propulsion and energy solutions can be expected to be differently applied in different vehicle classes. The objective of the EU funded research project CHYLA (Credible HYbrid eLectric Aircraft) is to identify areas suitable for scaling, as well as limitations or challenges for development for the applications of key radical technologies on different classes of aircraft. This article provides an overview of the design approach followed for the CHYLA project, as well as initial radical designs and comparison to the CHYLA baselines. These provide the starting point for both the sensitivity study which will be presented in a later scalability assessment and economical assessments in the CHYLA project. A variety of regional, short medium range and large aircraft has been designed, all according to the same TLAR yet without detailed tuning of important power control variables. Results are distinguishable between concepts and provide sufficient detail to capture the necessary effects. The reduction of fuel consumption will require detailed assessment and fine tuning, though reductions may be achievable for regional and possibly SMR aircraft.","Hybrid-electric aircraft; Distributed electric propulsion; Aircraft design","en","conference paper","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:024b5acb-287e-4fcb-8c5b-a8f8891ed75d","http://resolver.tudelft.nl/uuid:024b5acb-287e-4fcb-8c5b-a8f8891ed75d","Predicting traction return current in electric railway systems through physics-informed neural networks","Kapoor, T. (TU Delft Railway Engineering); Wang, H. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering)","Ishibuchi, Hisao (editor); Kwoh, Chee-Keong (editor); Tan, Ah-Hwee (editor); Srinivasan, Dipti (editor); Miao, Chunyan (editor); Trivedi, Anupam (editor); Crockett, Keeley (editor)","2022","This paper addresses the problem of determining the distribution of the return current in electric railway traction systems. The dynamics of traction return current are simulated in all three space dimensions by informing the neural networks with the Partial Differential Equations (PDEs) known as telegraph equations. In addition, this work proposes a method of choosing optimal activation functions for training the physics-informed neural network to solve higher-dimensional PDEs. We propose a Monte Carlo based framework to choose the activation function in lower dimensions, mitigating the need for ensemble training in higher dimensions. To further strengthen the applicability of the Monte Carlo based framework, experiments are presented under two loss functions governed by L2 and L∞ norms. The presented method efficiently simulates the traction return current for electric railway systems, even for three-dimensional problems.","Traction return current; electric railway systems; physics-informed neural networks; Monte Carlo; activation functions","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-30","","","Railway Engineering","","",""
"uuid:ef28de38-028a-4e66-b418-c3f8dc659020","http://resolver.tudelft.nl/uuid:ef28de38-028a-4e66-b418-c3f8dc659020","Scalability analysis of radical technologies to various aircraft class: Part 2: Sensitivity Analysis","Bonnin, V.O. (TU Delft Flight Performance and Propulsion); Hoogreef, M.F.M. (TU Delft Flight Performance and Propulsion)","","2022","This study aims at providing a landscape of opportunities and limitations for hybrid-electric aircraft (HEA) and hydrogen-powered aircraft by investigating several technological combinations applied to three aircraft classes: Regional (REG), Short-Medium Range (SMR) and Large Passenger Aircraft (LPA). The preliminary sizing of HEA using different hybrid-electric powertrain architectures, combined with various distributed propulsion layouts is conducted. The resulting HEA are then compared to a conventional design, on the basis of several performance metrics, for variations in harmonic range and passenger capacity. Throughout the design space considered, it is found that opportunities for radical aircraft design are scarce and offer limited prospective.
for people with dementia, and added visuals helped with understanding the recipe. The level of initiative shown by the participants with dementia seemed to depend on the amount of trust shown by the caregiver. We found that collaboration between participants during cooking as facilitated by the tool was
enjoyable and highly suited for both at-home and meeting centre settings. We offer several suggestions for designing step-by-step tools and encourage facilitating more collaborative, non-intimidating activities for people with
dementia and their caregivers.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-17","","","Design Conceptualization and Communication","","",""
"uuid:1475bd63-9f2b-4bd9-989f-3e7a160c287a","http://resolver.tudelft.nl/uuid:1475bd63-9f2b-4bd9-989f-3e7a160c287a","Model-Based Reinforcement Learning with State Abstraction: A Survey","Starre, R.A.N. (TU Delft Interactive Intelligence); Loog, M. (TU Delft Pattern Recognition and Bioinformatics); Oliehoek, F.A. (TU Delft Interactive Intelligence)","Calders, Toon (editor); Goethals, Bart (editor); Vens, Celine (editor); Lijffijt, Jefrey (editor)","2022","Model-based reinforcement learning methods are promising since they can increase sample efficiency while simultaneously improving generalizability. Learning can also be made more efficient through state abstraction, which delivers more compact models. Model-based reinforcement learning methods have been combined with learning abstract models to profit from both effects. We consider a wide range of state abstractions that have been covered in the literature, from straightforward state aggregation to deep learned representations, and sketch challenges that arise when combining model-based reinforcement learning with abstraction. We further show how various methods deal with these challenges and point to open questions and opportunities for further research.","Model-Based RL; State Abstraction; MDPs","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-26","","","Interactive Intelligence","","",""
"uuid:7aebe9f5-a752-4df2-a6fa-238df0ed779d","http://resolver.tudelft.nl/uuid:7aebe9f5-a752-4df2-a6fa-238df0ed779d","A Cross-Field Review of State Abstraction for Markov Decision Processes","Congeduti, E. (TU Delft Computer Science & Engineering-Teaching Team; TU Delft Interactive Intelligence); Oliehoek, F.A. (TU Delft Interactive Intelligence)","","2022","Complex real-world systems pose a significant challenge to decision making: an agent needs to explore a large environment, deal with incomplete or noisy information, generalize the experience and learn from feedback to act optimally. These processes demand vast representation capacity, thus putting a burden on the agent’s limited computational and storage resources. State abstraction enables effective solutions by forming concise representations of the agents world. As such, it has been widely investigated by several research communities which have produced a variety of different approaches. Nonetheless, relations among them still remain unseen or roughly defined. This hampers potential applications of solution methods whose scope remains limited to the specific abstraction context for which they have been designed. To this end, the goal of this paper is to organize the developed approaches and identify connections between abstraction schemes as a fundamental step towards methods generalization. As a second contribution we discuss general abstraction properties with the aim of supporting a unified perspective for state abstraction.","State Abstraction; Model Irrelevance; Robust Reinforcement Learning; Bounded Parameters Markov Decision Processes","en","conference paper","","","","","","","","","","","Computer Science & Engineering-Teaching Team","","",""
"uuid:27e21b20-a62c-43db-abce-8d5d2285a2ae","http://resolver.tudelft.nl/uuid:27e21b20-a62c-43db-abce-8d5d2285a2ae","Bullet Time Taylor-Couette: Unwrapping the 360 Degree Field of View for Rheoscopic Flow Visualization","Muller, K. (TU Delft Multi Phase Systems); Greidanus, A.J. (TU Delft Fluid Mechanics); Dash, A. (TU Delft Multi Phase Systems); Poelma, C. (TU Delft Process and Energy)","","2022","The circular Taylor-Couette flow is one of the archetypical model systems for the study of flow transitions and dynamic pattern formation in experimental fluid dynamics. The emergence of the internal vortical flow structures are commonly visualized through a rheoscopic flow visualization, while their spatio-temporal dynamics can be extracted by the construction of a space-time diagram using a single camera. Although the latter is an effective method to map the various flow regimes for different inner and outer cylinder rotations, it suffers from limitations in the frame rate while the full extent of the azimuthal vortex structure along the circumference, together with its dynamic evolution through space and time, remains unclear. In this work, we perform the full 360-degree field of view panorama imaging for the rheoscopic flow visualization of the azimuthal vortex structure that wraps around the circumference. We use a set of 12 GoPro cameras that are commercially available and can be triggered remotely. We calibrate and position our cameras using methods from computer vision while we synchronize their audio channels at an inter-frame precision much greater than the frame rate. We unwrap the physical coordinates along the circumference of the outer cylinder through texture mapping its surface using a spatially weighted image interpolation and present a single representation of the azimuthal vortex structure from the rheoscopic flow visualization. We validate our methods within a submillimeter precision and showcase the application to study the steady-state and transient dynamics of a single- phase wavy vortex flow. Furthermore, we discuss the current limitations as we add neutrally buoyant PMMA particles at increasing volume fractions up to 30 %. Our methods allow us to fully decouple space and time, and study the dynamic pattern formation at bullet time accuracy.
The purpose of this research is to analyze social foresights in collective sense-making about corvid-19 futures. The research will be used to inform (new) strategies of innovation and better inform response efforts of organizations. The first stage is to build the theoretical framework that informs the NLP tool for the design research on social foresights.
In this paper, we show how to develop a NLP tool for strategic design research through conceptualizing a theoretical model how to analyze social foresights in collectively coping with the corvid-19 outbreak.","AI; Collective intelligence; Community; Covid-19; NLP","en","conference paper","ACM CI","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Methodologie en Organisatie van Design","","",""
"uuid:e9b6644b-d038-40cc-8eb1-ebb0c5eda606","http://resolver.tudelft.nl/uuid:e9b6644b-d038-40cc-8eb1-ebb0c5eda606","Scaling Circular Collaborations in Cities through engagement","Odayakulam Balasubramaniam, D. (Student TU Delft); Brown, P.D. (TU Delft Design for Sustainability); Calderon Gonzalez, A. (TU Delft Design Conceptualization and Communication); Mulder, I. (TU Delft Design Conceptualization and Communication)","Fitzpatrick, C. (editor)","2022","Circular economy has gained traction within companies resulting in many exploring new product and business model combinations. Yet, to transition towards a circular economy on a societal level requires going beyond new product and market-based opportunities. To enable societal level change, ecosystem-level innovations are important and so collaboration plays a key role. Cities are considered in this paper as hubs of innovation playing a key role in transitioning to a circular economy. They are responsible for 80% of global resource consumption, with a high concentration of capital, data and talent spread over a relatively small geographic area; making them an important part of societal level transitions. The current work stresses the need to understand and support collaborations in transitioning towards a circular economy. This paper explores what factors influence collaborations and how organizations collaborate for a circular economy in the context of cities. An initial literature review resulted in a framework for exploration, which informed the set-up of the questionnaire. This helped in conducting semi-structured interviews with people ranging from founders, designers to engineers from six circular start-ups, which operate and utilize the resources in cities; to understand how different organisations collaborate in cities. Results showed they focus on operationalizing their innovation through engagement with various stakeholders. As they increased their visibility in cities showcasing their value, increasing the ways and number of engagements, the organisation engaged with people and organisations having similar values and grew by scaling through engagement. This paper elaborates the idea of scaling through engagement as a way for circular organisations to scale.","Circular Economy; Cities; Collaboration; Engagement; Scaling Innovation","en","conference paper","University of Limerick","","","","","","","","","","Design for Sustainability","","",""
"uuid:4e64e552-8af5-415a-b990-129d823c9e53","http://resolver.tudelft.nl/uuid:4e64e552-8af5-415a-b990-129d823c9e53","Review on Power Quality Issues in EV Charging","Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Wang, L. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","Electric vehicles (EVs) are playing a crucial role in achieving the carbon neutral goal. To make the charging experience comparable with the refueling of the gasoline cars, more and more chargers are installed and connected to the grid. Meanwhile, the charging power is going up. As a result, more and more power quality issues associated with EV charging events have already been reported. In this paper, the power quality issues that are relevant to EV charging, including flicker, harmonics, and supraharmonics, are summarized. Their generation mechanisms, harm to the grid, and the promising mitigation measures are discussed. Case studies are also done to mimic the power quality issues in EV charging, and verify the analysis.","Power Quality; EV Cahrging; Harmonics; voltage fluctuations; Power electronic converter","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-05","","","DC systems, Energy conversion & Storage","","",""
"uuid:0146c9ef-fd37-4f57-8b59-06d763bbc47c","http://resolver.tudelft.nl/uuid:0146c9ef-fd37-4f57-8b59-06d763bbc47c","3D Registration of Apartment Rights Using BIM/IFC: Comparing the Cases of the Netherlands, Saudi Arabia, and Turkey","Guler, Dogus (Istanbul Technical University); Alattas, A.F.M. (King Abdulaziz University); Broekhuizen, Marjan (Student TU Delft); Kalogianni, E. (TU Delft Digital Technologies); Kara, A. (TU Delft Digital Technologies); van Oosterom, P.J.M. (TU Delft Digital Technologies)","","2022","Τhe built environment has a vast and ever-growing number of complex and multi-layered buildings and other structures. The number of those is growing because of the increasing pressure on the limited space in cities. It is important to note that different professional sectors are involved in the realization of a new building. These sectors are mainly the Architecture, Engineering, Construction, and Owner Operator (AECOO), and land administration, which covers the cadastral registration, spatial or zoning plans, as well as property valuation. Noteworthy to mention at this point is, that today the subdivision plans regarding apartment rights in buildings are to be provided on the floor plans as submitted with the building/construction permit request. These plans show the apartment boundaries as twodimensional (2D) representations, which are insufficient to clearly and completely describe the ownership rights in multi-storey buildings. What is more, the building parts obtained from 2D representations are also inadequate to estimate the valuation of these apartments in both taxation and selling/buying processes. Considering that digitalization and consequently digital data are becoming more and more the norm in the AECOO industry, including the building permit requests, there is an opportunity to exploit Building Information Model (BIM), specifically Industry Foundation Classes (IFC), in the registration of apartment rights in three-dimensional (3D) representations. To investigate the opportunity, this study will further analyze the cases of the Netherlands, Saudi Arabia, and Turkey by revealing the similarities and discrepancies with respect to the registration of apartment rights in terms of legislative basis and current practice and extrapolating the current 2D practices into fully 3D representations. In earlier work, ISO19152 LADM-based models for the 3D building legal spaces have been developed and are related to BIM/IFC. The main objective of the study is to highlight the possibility of providing an internationally standardized modeling specification for 3D registration of legal rights within buildings, based on the earlier detected information model overlaps. What is more, it is expected to increase the awareness in other sectors than land administration with regards to legal spaces in the buildings. Finally, this study endeavors to provide concrete guidelines for the other sectors, most specifically the Architects, regarding the type of information that BIM/IFC models should have, in order to facilitate the 3D registration of apartment rights.","3D Land Administration; LADM; BIM; IFC; Apartment Rights","en","conference paper","International Federation of Surveyors (FIG)","","","","","","","","","","Digital Technologies","","",""
"uuid:a61918b0-4e20-4aca-95bb-f20229f965f7","http://resolver.tudelft.nl/uuid:a61918b0-4e20-4aca-95bb-f20229f965f7","Point clouds and Hydroinformatics","Diaz, Vitali (TU Delft Digital Technologies); Liu, H. (TU Delft GIS Technologie); van Oosterom, P.J.M. (TU Delft Digital Technologies); Meijers, B.M. (TU Delft Digital Technologies); Verbree, E. (TU Delft Digital Technologies); Baart, F. (Deltares); Pronk, M.J. (Deltares); Van Lankveld, T. (Netherlands eScience Center)","","2022","Point cloud is made up of a multitude of three-dimensional (3D) points with one or more attributes attached. Point cloud is the third data paradigm in addition to the well-established object (vector) and gridded (raster) representations, since point cloud data can be directly collected, computed, stored, and analyzed without converting to other types. Modern ways of data acquisition, including laser scanning from airborne, mobile, or static platforms, multi-beam echo-sounding, and dense image matching from photos, generate millions to trillions of 3D points with attached attributes. If the collection is carried out in different periods, one of the essential attributes is precisely time, allowing spatiotemporal analysis to be performed. Its use is widespread in some fields such as metrology and quality inspection, virtual reality, indoor/outdoor navigation, object detection, vegetation monitoring, building modeling, cultural heritage, and diverse visualization applications. There are some examples in fields related to hydroinformatics, mainly related to terrain modeling. Due to its nature of big data, over the past decades, a series of developments have been carried out in the different processing chains for the optimal use of point cloud. This research seeks to introduce the various point cloud developments from which the hydroinformatics community and research could benefit. A review of recent advances is made, mainly including the analysis and visualization of point cloud for dealing with water-related problems. Potential areas of application and development in hydroinformatics are identified. These include, for example, the topics of coastal monitoring, coastal erosion, shallow water assessment, ice sheet change analysis, sea-level rise assessment, monitoring of levels in water bodies, crop and vegetation monitoring, analysis of the effects of groundwater depletion, detail tracing of basins and channels, analysis of floods with detailed terrain models, and drought monitoring in crops and forests. The challenges to overcome and ongoing developments regarding point cloud application in hydroinformatics are also discussed.","","en","conference paper","","","","","","Abstract from EGU General Assembly 2022, Vienna, Austria, 23–27 May 2022","","","","","Digital Technologies","","",""
"uuid:d9fd33e5-b517-4abf-b03a-610b4274cf0b","http://resolver.tudelft.nl/uuid:d9fd33e5-b517-4abf-b03a-610b4274cf0b","Evaluating Aviation Emission Inefficiencies and Reduction Challenges with Electric Flights","Sun, Junzi (TU Delft Control & Simulation); Olive, Xavier (ONERA Centre de Toulouse); Delahaye, Daniel (Ecole Nationale de l’Aviation Civile (ENAC))","","2022","Inefficiencies in flight operations, like deviations and non-optimal flight speed or altitude, are directly linked to flight emission inefficiencies. Quantifying these emission inefficiencies and studying potential mitigation strategies is certainly beneficial for the sustainability of the aviation industry. In this paper, we analyze emission inefficiencies in Dutch and French airspaces using flight data from 2019. The emission inefficiency analysis quantifies the excess carbon emissions for each flight by comparing its emissions with a set of optimal alternative trajectories. We find that around 19% of excess emissions existed in 2019 within the airspace of interest. We also study the potential reduction of emissions by replacing short-range flights with electric aircraft. We propose a simple electric aircraft energy model and relate that to emissions in electric generations in different countries. We find that besides the significant increase in air traffic demand caused by the limited capacities of electric flights, the emissions caused by electricity generation cannot be neglected. Significant reductions can only be achieved when emissions caused by electricity generation are low, as is the case currently in France. However, more emissions can be indirectly generated if the electricity used to power the future electric aircraft is itself produced from high emission sources, as is the case currently in the Netherlands. The paper also provides further insights and recommendations on the data sources, research approach, and future research for aviation sustainability.","flight emission; data analysis; emission inefficiencies; trajectory optimization; electric flight","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-10","","","Control & Simulation","","",""
"uuid:edae6ce7-e633-44d3-99f4-f2f2f0ce0193","http://resolver.tudelft.nl/uuid:edae6ce7-e633-44d3-99f4-f2f2f0ce0193","Examining Contrail Formation Models with Open Flight and Remote Sensing Data","Roosenbrand, E.J. (TU Delft Control & Simulation); Sun, Junzi (TU Delft Control & Simulation); Hoekstra, J.M. (TU Delft Control & Simulation)","","2022","One of the biggest challenges facing the aerospace industry today is its sustainability. As the number of flights is expected to rise globally, aviation’s climate impact will continue to increase. Current research has extensively addressed the rerouting of aircraft through wind-optimization in order to minimize fuel burn and emissions. Such optimization is currently implemented for flight planning. Although this strategy is optimized for fuel burn and emissions, it does not necessarily minimize the overall climate impact. Navigating optimally through wind fields could mean flying through regions with a higher climate impact, where warming contrails are formed. This can occur when contrails trap outgoing terrestrial radiation and so contribute to global warming. This warming contrail creation could potentially forfeit the climate gain of the reduced emissions from the wind-optimized route. In order to implement such a climate-optimized routing model, knowledge about the atmospheric conditions under which contrails form is required. One existing theorem is the Schmidt-Appleman Criterion, which uses the air temperature, relative humidity and ambient air pressure to determine whether contrail formation is possible. In addition, the ice-supersaturation criterion model indicates contrail persistence. In this paper, multiple open data sources are used to examine the use of this established criterion, to evaluate the appropriateness of these data sources for future use in a climate-optimized routing model. Based on the obtained results, we show that, with these data sources, the combination of Schmidt-Appleman and the ice-supersaturation criterion can produce a more reliable determination of contrail formation. The results can be used for an improved unified and data-driven model for the purposes of climate-optimized routing.","Sustainability; Contrails; Remote Sensing; Atmospheric Science; OpenSky; Aircraft Surveillance Data","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-10","","","Control & Simulation","","",""
"uuid:91397e14-5eb7-443c-851f-c63cb3ffb88d","http://resolver.tudelft.nl/uuid:91397e14-5eb7-443c-851f-c63cb3ffb88d","A Nano-power Wake-up Circuit for Energy-driven IoT Applications","Teng, Li (ShanghaiTech University); Liang, Junrui (ShanghaiTech University); Du, S. (TU Delft Electronic Instrumentation)","","2022","Owing to the advancement of vibration energy harvesting technology, many motion-powered battery-free Internet of things (IoT) applications have been reported in recent years. Since the ambient energy is usually weak, these IoT devices (a) mostly operate in intermittent or burst mode. The operation of these devices heavily depends on the level of stored energy. Conventional energy-aware solutions are carried out based on discrete general-purpose comparators or analog-to-digital converters (ADC) inside the system on chip (SoC) to monitor the storage level. Their power consumption is considerable in a low-power system. This paper presents a new energy-aware circuit, which can wake up or turn off the IoT devices according to the stored energy. Its standby quiescent current is at the sub-$\mu$A level. The proposed circuit also provides a regulated output voltage for IoT applications. This design is built with discrete analog components. It is low cost and has high feasibility. It is compatible with different kinds of micro-power generators.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:a1a3d642-5743-4f71-aa25-1ffcee3a4933","http://resolver.tudelft.nl/uuid:a1a3d642-5743-4f71-aa25-1ffcee3a4933","A Parasitic Resistance Extraction Tool Leveraged by Image Processing","Dias, Diogo (University of Lisbon); Goes, Joao (University of Lisbon); Lopes Marta da Costa, T.M. (TU Delft Bio-Electronics)","","2022","Most academic and commercial tri-dimensional (3D) parasitic resistance extraction EDA/CAD tools rely on finite element methods (FEM) and are mainly suited to digital circuitry. In analog and mixed-signal (AMS) circuits, such as power converters and radio-frequency analog front-ends, the layout structures used for the metal interconnections become much more diversified and complex. This paper proposes an EDA/CAD tool, based on an innovative methodology for 3D parasitic resistance extraction, leveraged by image processing techniques and algorithms. Some practical examples are shown to demonstrate the attractiveness of the proposed tool. Moreover, since our tool efficiently works in the domains of 2D image processing, if an extensive database of layouts is provided and enough training is carried out, advanced deep-learning techniques can be straightforwardly employed, speeding up parasitic resistance extraction in highly complex AMS layouts.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-Electronics","","",""
"uuid:526873f8-0696-4610-83b1-98a6836e8b6a","http://resolver.tudelft.nl/uuid:526873f8-0696-4610-83b1-98a6836e8b6a","A 10-mV-Startup-Voltage Thermoelectric Energy Harvesting System With a Piezoelectric Starter","Wang, Ruizhi (Student TU Delft); Liang, Yansong (Student TU Delft); Du, S. (TU Delft Electronic Instrumentation)","","2022","An ultra-low-startup-voltage thermoelectric energy harvesting system assisted by a piezoelectric generator (PEG) is presented in this paper. When the energy harvesting system is implemented in a place where there is mechanical vibration, the associated PEG can generate a stable clock signal and drive the boost converter to start from the cold state even at extremely low thermoelectric generator (TEG) voltage. The proposed system is designed and simulated in a 180-nm BCD process. The simulations show that the proposed system can start the TEG system from the cold state from as low as 10 mV of TEG voltage while keeping a 63.9% efficiency. The peak power conversion efficiency is achieved at 74.9% when the TEG voltage is 50 mV.","Thermoelectric energy harvesting; piezoelectric generator; cold-startup; maximum power point tracking","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:29570950-1c62-419c-b3e8-e474d10412ae","http://resolver.tudelft.nl/uuid:29570950-1c62-419c-b3e8-e474d10412ae","Arbitration of Authority in Physical Human-Robot Collaboration with Combined Preventive and Reactive Fatigue Management","Andres, Alvaro Gil (Student TU Delft); Beckers, N.W.M. (TU Delft Human-Robot Interaction); Abbink, D.A. (TU Delft Human-Robot Interaction); Peternel, L. (TU Delft Human-Robot Interaction)","","2022","We present a method for arbitration between human and robot involvement in a collaborative physical task execution based on ergonomic metrics. The existing methods for ergonomic control of physical human-robot collaboration perform the real-Time arbitration primarily based on a single type of ergonomic metric. The novelty of our approach is twofold. First, the system enables real-Time arbitration based on combining two types of ergonomic metrics: preventive and reactive. Second, we use a preventive metric to prevent worker fatigue and discomfort due to overexertion in the future and a reactive metric to avoid immediate fatigue and discomfort. To this end, we considered two metrics respectively: human arm manipulability and muscle fatigue. The developed multi-metric arbitration method translates the human multi-metric state to a robot control level over a collaborative task execution using a finite state machine. We demonstrate the proposed method on a Kuka LWR iiwa robotic arm in a collaborative human-robot polishing task that requires a specific force production.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Human-Robot Interaction","","",""
"uuid:0b22f23b-8f09-4992-abb0-6554d667d138","http://resolver.tudelft.nl/uuid:0b22f23b-8f09-4992-abb0-6554d667d138","Closed Form HJB Solution for Path Planning of a Robot Manipulator with Warehousing Applications","Prakash, R. (TU Delft Learning & Autonomous Control); Mohanta, Jayant Kumar (Indian Institute of Technology Jodhpur); Behera, Laxmidhar (Indian Institute of Technology Kanpur)","","2022","Real-time optimal path planning for robotic manipulations in task space is a very fundamental and important problem. In this paper, the problem of generating robot trajectories in an obstacle-ridden environment is formulated under an optimal control framework using Hamilton-Jacobi-Bellman (HJB) equation. The novel contribution of this paper is that a closed form HJB control solution (a necessary and sufficient condition for global optimality of a control solution with respect to a cost function) has been achieved for generating real-time optimal trajectories for a robot manipulator. In contrast with the decoupled end-effector path planning and subsequent trajectory generation, the proposed scheme can exploit sensory input for real-time trajectory generation where the end-effector path as well as the joint trajectory is recomputed online while satisfying the real-time constraints. The stability and the performance of the proposed control framework is shown theoretically via Lyapunov approach and also verified experimentally using a 6 degrees of freedom (DOF) Universal Robot (UR) 10 robot manipulator. It is shown that a significant saving in cost metrics can be obtained over similar trajectory generation approaches from the state-of-the-art with obstacle-ridden environment and also has better performance in high speed tracking applications. Warehouse applications of the proposed scheme in case of static and dynamic targets with respect to the robot manipulator is also included.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Learning & Autonomous Control","","",""
"uuid:c444de4e-b653-49b7-94e0-8b7fd7057831","http://resolver.tudelft.nl/uuid:c444de4e-b653-49b7-94e0-8b7fd7057831","Mixed-initiative story co-creation with TaleMaker","Bueno Perez, M.R. (TU Delft Computer Graphics and Visualisation); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","Karpouzis, Kostas (editor); Gualeni, Stefano (editor); Pirker, Johanna (editor); Fowler, Allan (editor)","2022","Most storytelling games bring people together to co-create stories. However, they often require considerable creative effort and skills from all players, possibly discouraging less resourceful participants and impairing stories' quality. Moreover, most stories created within these games are usually only kept in players' minds rather than on storage, despite being a valuable and original asset, with a large potential for the narrative research community. We address these challenges with a novel mixed-initiative approach aimed at supporting a group of players to incrementally co-create a story, one sentence at a time. Our method features a hand generator that offers a unique set of tokens (words) to each player in each turn. This generator carefully combines tokens relevant to the ongoing story, to each individual player, to the group as a whole and random. We implemented this method in TaleMaker, a multiplayer online game that stimulates playful co-creation of a story. TaleMaker gives players considerable creative freedom to compose their sentences, combining a gentle structural steering with the wisdom of the group to determine the best direction for the story. The collected output of TaleMaker consists of annotated stories, with slots (e.g. action, character, location) filled with words associated with a WordNet synset. From a preliminary evaluation, players reported that TaleMaker effectively stimulated story authoring, and perceived TaleMaker-created stories of considerable quality. In addition, a first analysis of the collected tokens confirms that players mostly collected story-related tokens, rather than those randomly offered.","collaborative storytelling; hand generation; mixed-initiative; story co-creation; storytelling game; synset vector space","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:3c35302a-92a9-440b-acb8-26bbfdd1d499","http://resolver.tudelft.nl/uuid:3c35302a-92a9-440b-acb8-26bbfdd1d499","The unfolding of textileness in animated textiles:: An exploration of woven textile-forms","Buso, A. (TU Delft Emerging Materials); McQuillan, H.L. (TU Delft Emerging Materials); Jansen, K.M.B. (TU Delft Emerging Materials); Karana, E. (TU Delft Emerging Materials)","Lockton, Dan (editor); Lenzi, Sara (editor); Hekkert, Paul (editor); Oak, Arlene (editor); Sádaba, Juan (editor); Lloyd, Peter (editor)","2022","Designers of textile-based interactive systems tend to treat woven fabrics as static materials and lack deeper understandings of how the textile can be designed for responsive behaviours in artefacts. As a result, in most studies across design and HCI, textiles are employed as substrates for computational, biological, or smart materials. This narrow view limits the potential of textiles that can be programmed to express responsive behaviour through their inherent material qualities. Our paper aims at bridging this gap in the design of animated textile artefacts. We present woven textile-forms where textile structures are programmed to tune the behaviour of low-melt polyester yarn that shrinks when heat is applied, resulting in complex topological and textural woven forms that can change over time. Foregrounding woven-forms as a medium for animated textiles, our work calls for design and HCI researchers to pay attention to textileness for prolonged relationships between users and animated textile artefacts while eliminating waste from production and end of life.","animated textiles; textileness; woven textile-forms; smart textiles","en","conference paper","Design Research Society","","","","","","","","","","Emerging Materials","","",""
"uuid:5611175a-7e93-4f2e-9dfb-d2a52ae104b6","http://resolver.tudelft.nl/uuid:5611175a-7e93-4f2e-9dfb-d2a52ae104b6","Participatory approaches in the adaptive reuse of two Dutch private-led cultural heritage projects: role of the local communities","Chen, Y. (TU Delft Urban Development Management)","Schrenk, M. (editor); Popovich, V. (editor); Zeile, P. (editor); al., et. (editor)","2022","There is increasing debate concerning citizen participation in the reuse and transformation of heritage sites. However, the question of why and how participatory approaches are explored in private-led heritage adaptive reuse receives limited attention. The paper shows why the communities should play an essential role in the adaptive reuse of heritage sites in the two Dutch cases. The article is theoretically based on debates on social sustainability and community participation in the adaptive reuse of heritage sites. The qualitative investigation consisted of interviews with different actors. The study shows that the adaptive reuse ambitions of the two Dutch heritage sites face difficulty in receiving the support of the local communities. The findings show interest, expectations, and needs gaps between the private heritage and local communities. The investigation indicates that the participation of the local community is lacking, and the mutual understanding between the two is problematic, which has led to the stagnation of the adaptive reuse process of the heritage sites. The paper suggests that the multi-stakeholder processes can identify the key stakeholders and address how to activate key stakeholders to collaborate with available means on shared goals and interests.","Heritage; adaptive reuse; participatory approaches; private-led; community","en","conference paper","Real Corp","","","","","","","","","","Urban Development Management","","",""
"uuid:1bcaa1af-a025-4d3f-a370-fcede1bffc2e","http://resolver.tudelft.nl/uuid:1bcaa1af-a025-4d3f-a370-fcede1bffc2e","Behavioural Analysis in a 6-DoF VR System: Influence of Content, Quality and User Disposition","Rossi, Silvia (Centrum Wiskunde & Informatica (CWI)); Viola, Irene (Centrum Wiskunde & Informatica (CWI)); Cesar, Pablo (TU Delft Multimedia Computing)","","2022","This work presents an explorative behavioural analysis of users navigating in an immersive space aimed at enabling the next-generation multimedia systems. Our main goal is to understand how the user experience of immersive content with 6-Degrees-of-Freedom (DoF) is affected not only by the visual content and its quality but also by the disposition of the user. We based our investigations on traditional statistical metrics, on techniques that have been already used for 6-DoF, as well as adapted 3-DoF tools to be used in this new context. We show the limitation of each metric in giving a complete interpretation of user behaviour, and we draw insights on important factors to be considered when analysing and predicting navigation trajectories. Specifically, we have noticed in our behavioural investigations that the user disposition plays an important role in the way of interacting with the immersive content. This opens the gate to user profiles (i.e., a collection of key information that describes the behavioural features of a single or group of users) that would be beneficial for different purposes in future immersive applications such as enabling new modalities for live streaming services optimised per user profiles but also for user-based quality assessment methods.","6-dof; point cloud; user behavioural analysis; virtual reality; volumetric content","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Multimedia Computing","","",""
"uuid:10a36a0d-da13-460a-91f4-be950c32bf3c","http://resolver.tudelft.nl/uuid:10a36a0d-da13-460a-91f4-be950c32bf3c","Minimizing the climate impact of the next generation aircraft using novel climate functions for aircraft design","Radhakrishnan, Kaushik (Institute of Air Transportation Systems); Deck, K.T. (TU Delft Aircraft Noise and Climate Effects); Proesmans, P. (TU Delft Flight Performance and Propulsion); Linke, Florian (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Yin, F. (TU Delft Aircraft Noise and Climate Effects); Grewe, V. (TU Delft Aircraft Noise and Climate Effects); Vos, Roelof (TU Delft Flight Performance and Propulsion); Lührs, Benjamin (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Niklaβ, Malte (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Dedoussi, I.C. (TU Delft Aircraft Noise and Climate Effects)","","2022","The aircraft’s environmental performance on fleet level is so far completely decoupled from the design process. The climate impact from aviation arising from non-CO2 effects are largely independent from CO2 emissions, but rather depend on the atmospheric state. Previously complex climate-chemistry models were used to evaluate the non-CO2 emissions impact on climate. This is far too computationally demanding for a multidisciplinary design optimisation (MDO) process, requiring a multitude of climate impact evaluations. The question then is, how to efficiently design the next generation climate optimal aircraft? In this paper, a new concept for designing aircraft with minimum climate impact using Climate Functions for Aircraft Design (CFAD) is presented. The content of this paper provides an overview of the development of these innovative CFAD and demonstrates the ability to be integrated in an existing MDO framework. The mitigation potential by optimising aircraft design using CFAD is analysed with respect to different cruise conditions and by minimizing the overall climate impact. To validate the CFAD, a higher fidelity assessment is carried out. Finally, the key performance indicators, i.e. fuel consumption, flight time and operating cost, of the optimised aircraft design are compared to that of the reference aircraft.","Aircraft design; Climate functions; CFAD; Multidisciplinary design optimisation; MDO; Climate impact","en","conference paper","International Council of the Aeronautical Science (ICAS)","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:e65f596c-2e17-48b8-a561-abf507e9b8e1","http://resolver.tudelft.nl/uuid:e65f596c-2e17-48b8-a561-abf507e9b8e1","Assessment of existing concrete bridges by load testing","Lantsoght, E.O.L. (TU Delft Concrete Structures)","Ramon Casas, Joan (editor); Frangopol, Dan M. (editor); Turmo, Jose (editor)","2022","One of the methods for the assessment of existing bridges is to subject the bridge to a load test. What is still lacking is a reflection of these recent advances in the codes and guidelines used for load testing of bridges. Two approaches are being used to address this lack. The first approach attempts to answer fundamental questions with regard to bridge load testing, and in particular proof load testing of concrete bridges through research. The second approach is to coordinate efforts, and facilitate collaboration and exchange of ideas internationally through the creation of the IABMAS Technical Committee on Bridge Load Testing. It is expected that these efforts will lie at the basis of improved recommendations for the assessment of concrete bridges by load testing to be included in codes and guidelines and to serve the community of engineers faced with the increased task of assessing ageing infrastructure.","","en","conference paper","CRC Press","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Concrete Structures","","",""
"uuid:fd570765-74c1-4a74-b5df-97c401a06419","http://resolver.tudelft.nl/uuid:fd570765-74c1-4a74-b5df-97c401a06419","A study on strategic activities to foster design practices in a local government organization","Kim, A. (TU Delft Methodologie en Organisatie van Design); van der Bijl-Brouwer, M. (TU Delft Methodologie en Organisatie van Design); Mulder, I. (TU Delft Design Conceptualization and Communication); Lloyd, P.A. (TU Delft Methodologie en Organisatie van Design)","","2022","In recent years, governments have increasingly pursued innovation by embed-ding design into their organizations. One particularly common approach to em-bedding design in government organization is to establish public sector innova-tion labs. These labs are described as contributors and facilitators of innovation in policymaking processes; however, less light has been shed on the role of in-house designers (including these labs) in fostering and managing the changes made by design practices within government organizations. In the current study, design management has been used as a theoretical lens to study the strategic activities of in-house designers in a Dutch municipality to embed design within the organization. The findings show the importance of strategic activity by in-house designers to foster design practice and resulting organizational changes and the need for participation of more organizational members in this activity. We conclude with setting an agenda for more research and practices on strategic activities to foster design practices and organizational changes in government.","design for policy; embedding design; design management; Local government","en","conference paper","","","","","","","","","","","Methodologie en Organisatie van Design","","",""
"uuid:7efb3e60-112f-43d8-9b41-86b2c43891a2","http://resolver.tudelft.nl/uuid:7efb3e60-112f-43d8-9b41-86b2c43891a2","Determining the decomposition voltage of Cu(In1-xGax)Se2","Bakker, N.J. (TU Delft Photovoltaic Materials and Devices; DIANA FEA; Institut des Matériaux Jean Rouxel); Matas, Joaquin Coll (DIANA FEA); Bosman, J.G. (TU Delft Analysis; DIANA FEA); Barreau, Nicolas (Institut des Matériaux Jean Rouxel); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices; TNO Energy Transition); Theelen, M.J. (TU Delft Photovoltaic Materials and Devices; DIANA FEA)","","2022","Partial shading of CIGS modules can lead to permanent damage of the module in the shaded area. This is caused by harmful reverse bias voltages in the shaded area which lead to reverse bias induced defects, also known as wormlike defects. A lot is already known about the origin and propagation of wormlike defects. However, the fundamental question; why is CIGS so sensitive to reverse bias damage? has not yet been answered. In this study we show that CIGS semiconductor material in the presence of an electric field will spontaneously decompose.","CIGS; decomposition; partial shading; reliability; reverse bias","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Photovoltaic Materials and Devices","","",""
"uuid:87809cd1-9d44-4ba0-8829-a24d7c4e206a","http://resolver.tudelft.nl/uuid:87809cd1-9d44-4ba0-8829-a24d7c4e206a","Open Data Based Model of the Dutch High-Voltage Power System","Zomerdijk, W. (TU Delft Intelligent Electrical Power Grids); Gusain, D. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Intelligent Electrical Power Grids); Cvetkovic, M. (TU Delft Intelligent Electrical Power Grids)","","2022","A numerical model of a power system can be used to get accurate insights into the impact of policies and investment decisions regarding the transformation of the energy system, while also helping in identifying bottlenecks in implementing decisions. Spatial aggregation, especially for generation and load, must be carefully approached to obtain such a valid model of a power system. The two main contributions of this paper are introducing a valid model of the Dutch high-voltage power system based on open data and open-source software, and proposing a method for spatially aggregating generation and load capacities to high-voltage nodes of the power system. The representative model will enable interdisciplinary research on policy-making and investment decisions specific to the Netherlands.","open data; open-source; power system model; spatial aggregation; renewable energy integration","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-28","","","Intelligent Electrical Power Grids","","",""
"uuid:69215c2b-2d07-4abc-9d1e-e738400e9676","http://resolver.tudelft.nl/uuid:69215c2b-2d07-4abc-9d1e-e738400e9676","Performance Comparison of Deep RL Algorithms for Energy Systems Optimal Scheduling","Shengren, H. (TU Delft Intelligent Electrical Power Grids); Salazar, Edgar Mauricio (Eindhoven University of Technology); Vergara Barrios, P.P. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Intelligent Electrical Power Grids)","","2022","Taking advantage of their data-driven and model-free features, Deep Reinforcement Learning (DRL) algorithms have the potential to deal with the increasing level of uncertainty due to the introduction of renewable-based generation. To deal simultaneously with the energy systems’ operational cost and technical constraints (e.g, generation-demand power balance) DRL algorithms must consider a trade-off when designing the reward function. This trade-off introduces extra hyperparameters that impact the DRL algorithms’ performance and capability of providing feasible solutions. In this paper, a performance comparison of different DRL algorithms, including DDPG, TD3, SAC, and PPO, are presented. We aim to provide a fair comparison of these DRL algorithms for energy systems optimal scheduling problems. Results show DRL algorithms’ capability of providing in real-time good-quality solutions, even in unseen operational scenarios, when compared with a mathematical programming model of the energy system optimal scheduling problem. Nevertheless, in the case of large peak consumption, these algorithms failed to provide feasible solutions, which can impede their practical implementation.","Energy management; Machine learning; Deep learning; Reinforcement learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-28","","","Intelligent Electrical Power Grids","","",""
"uuid:72e1887f-a55d-47f6-a875-d38d135aaca5","http://resolver.tudelft.nl/uuid:72e1887f-a55d-47f6-a875-d38d135aaca5","An Algorithm for Automatic Acoustic Alarm Recognition in the Neonatal Intensive Care Unit","Spagnol, S. (University IUAV of Venice); Goos, T.G. (Erasmus MC); Reiss, Irwin (Erasmus MC); Ozcan Vieira, E. (TU Delft Design Aesthetics)","","2022","Inside the Neonatal Intensive Care Unit (NICU), exposure to loud sounds such as acoustic medical alarms can have adverse effects on neonates, parents, and medical staff. With the aim of having an accurate overview of which and how often acoustic medical alarms occur, this paper presents a simple signal processing-based approach for detecting and recognizing automatically and permanently patient monitoring alarms inside the NICU. The proposed algorithm leverages from prior knowledge of the spectro-temporal structures of alarms to first detect each single occurrence of an alarm tone, and then group the detected tones into a known alarm pattern. A preliminary evaluation of the algorithm on a small set of 4-channel recordings capturing a simulated NICU soundscape shows that around 99% of the acoustic alarms are correctly recognized, and that around 99% of the recognized alarms are true alarms. The algorithm lends itself to efficient real-time implementation and to generalization to other alarm patterns as defined by the IEC 60601-1-8 standard.","acoustic alarm; alarm detection; alarm recognition; neonatal intensive care unit","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Aesthetics","","",""
"uuid:e4fde8b6-3582-4fab-aa3e-7e73495140fe","http://resolver.tudelft.nl/uuid:e4fde8b6-3582-4fab-aa3e-7e73495140fe","Perceived challenges and opportunities of machine learning applications in governmental organisations: an interview-based exploration in the Netherlands","Delfos, J. (TU Delft Transport and Logistics); Zuiderwijk-van Eijk, A.M.G. (TU Delft Information and Communication Technology); van Cranenburgh, S. (TU Delft Transport and Logistics); Chorus, C.G. (TU Delft Industrial Design Engineering)","Amaral, Luis (editor); Soares, Delfina (editor); Zheng, Lei (editor)","2022","As the application of machine learning (ML) algorithms becomes more widespread, governmental organisations try to benefit from this technology. While ML has the potential to support public services, its application also introduces challenges. Several scholars have described the possible opportunities and challenges of ML applications in the public sector conceptually. However, it is not yet investigated if and how these concepts materialise and are perceived by end-users in the public sector when ML is applied. Therefore, it is neither clear whether these concepts are valid, nor what regulation could be introduced to address them effectively. This empirical study's objective is to shed light on how challenges and opportunities of governmental use of ML algorithms are perceived by Dutch professionals in the public sector. We attain our objective by conducting interviews with twelve professionals from Dutch executive and supervisory organisations in the public sector that respectively use ML and supervise the use of ML. Results show that ML is used primarily for improvements in the accuracy and speed of public task execution. Furthermore, interviewed professionals experience several barriers for ML implementation as well as risks following from the use of ML. The implications of these findings for practice are discussed, as well as opportunities for further research.","Artificial Intelligence; Challenges; Government; Interviews; Machine Learning; Opportunities; Public Sector","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","Industrial Design Engineering","","Transport and Logistics","","",""
"uuid:799dd815-ed98-4b83-8750-f73d4aefad8b","http://resolver.tudelft.nl/uuid:799dd815-ed98-4b83-8750-f73d4aefad8b","The elements of the Peer-to-peer (P2P) lending system: A Systematic Literature Review","Sulastri, R. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","Amaral, Luis (editor); Soares, Delfina (editor); Zheng, Lei (editor)","2022","Peer-to-peer (P2P) lending systems have gained governments' attention to create an inclusive society, but establishing such systems remain challenging. Specifically, the elements making up such a system are not known. This research aims to understand the main elements of P2P lending systems and their interconnections. For this, we conducted a Systematic Literature Review to investigate the elements that build the complex arrangement of the P2P lending system. Our review identified five categories of elements that build an integral part of the P2P lending system: Data and Processing, Business, Organizational, Policy and Governance, and Culture. Although technical aspects have gained much attention, social aspects need to be considered carefully. We conclude that P2P lending systems are context-dependent. Moreover, the interaction and the combination of each element influence the whole design of the system. These elements can assist the government in designing a socially accepted P2P lending system that contributes to an inclusive society.","Credit Scoring; Financial inclusion; Inclusive society; P2P lending system","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:3d7d5dd8-faf0-477d-9d8b-5ba2188401ed","http://resolver.tudelft.nl/uuid:3d7d5dd8-faf0-477d-9d8b-5ba2188401ed","Framing resilience in public transportation systems, inspired by biomimicry","Gomez Beldarrain, G. (TU Delft Marketing and Consumer Research); Carvajal Ortega, Camilo Andrés (Student TU Delft); Baan, A. (TU Delft Marketing and Consumer Research); Kim, E.Y. (TU Delft Marketing and Consumer Research)","","2022","Resilience is a concept that describes the capability to be restored after unprecedented events, originally emerged from biology and human sciences. This paper aims to explore what a resilient public transportation system is and how nature’s wisdom can be used as an inspiration for the creation of resilience in the area of mobility, by linking public transportation systems, biomimicry and resilience together. To this end, qualitative co-creative workshops were conducted with eleven domain experts from public transportation, biomimicry, and biology. The experts addressed several factors contributing to resilience in public transport that could be categorized into four aggregated dimensions: resilience through system organization, resilience through information management, resilience through operating performance, and resilience through subsystem integration. Finally, a conceptual wheel framework on factors of resilient public transportation systems is proposed, aiming to shed light on future public transport developments, where a systemic perspective is to be adopted.
before. Many businesses, organizations, and even governments have recognized
wellbeing as a formal policy goal. This paper addresses the question of how to design
complex systems to improve the wellbeing of their stakeholders. We present a case of
helping a university adopt a systematic approach to wellbeing assessment and
improvement during the COVID-19 crisis. To support the improvement of student and
staff wellbeing, we adopted a cybernetic perspective. Practically, this involved focusing
on the design of a feedback loop that used wellbeing assessments to inform
organizational actions. We argue that “off-the-shelf” assessments of wellbeing are
often insufficient for supporting a systemic response to data because they lack
context-sensitivity and actionability. While a “cybernetic perspective” may evoke a
sense of the inhuman or mechanical in the optimization of wellbeing, our case study
suggests otherwise. At least from our perspective, a society that aims to improve
wellbeing may look more like a deliberative or dialogical democracy than an
automated AI system.","cybernetics; wellbeing; governance; pandemic; design for wellbeing","en","conference paper","Design Research Society","","","","","","","","","","Design Aesthetics","","",""
"uuid:636f540a-996e-4fdf-8b27-b2b8160380d7","http://resolver.tudelft.nl/uuid:636f540a-996e-4fdf-8b27-b2b8160380d7","Monitoring Co2 Injection into Basaltic Reservoir Formations at the HellisheiÐi Geothermal Site in Iceland: Laboratory Experiments","Janssen, M.T.G. (TU Delft Applied Geophysics and Petrophysics); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Bos, Jordan (Seismic Mechatronics BV); Farina, B. (Istituto Nazionale di Oceanografia e Geofisica Sperimentale); Barnhoorn, A. (TU Delft Applied Geophysics and Petrophysics); Poletto, F. (Istituto Nazionale di Oceanografia e Geofisica Sperimentale); Van Otten, G. (Seismic Mechatronics BV); Wolf, K.H.A.A. (TU Delft Applied Geophysics and Petrophysics); Durucan, S. (Imperial College London)","","2022","In the ACT Consortium funded project SUCCEED, researchers study the potential for monitoring the process of (re-)injecting produced and captured CO2 into the Hellisheiði geothermal field for the aid of enhancing geothermal deployment as well as permanently storing CO2 through mineralization. The Hellisheiði site provides an excellent opportunity for demonstrating an innovative seismic monitoring technique. Prior to conducting an active-source monitoring survey, we perform acoustic transmission measurements, on Hellisheiði rock samples, at field-representative stress conditions to obtain the seismic-response characteristics of all present formations. Subsequently, we use the acquired velocity data as an input for simulating 2D seismic surveys using a subsurface model representing the Hellisheiði site. Results show that the impact of increasing depth, i.e., stress, on seismic velocities is most apparent for the porous basalt layers due to their relatively large portion of open pore space, allowing for substantial compaction, increasing their bulk density and thus velocity. The poorly-consolidated hyaloclastites reveal a negligible effect of increasing depth on their velocity as the material already reached its maximum compaction at low stresses, thus at shallow depths. Comparison of synthetic and field geophone data reveal that the velocity profiles have to be updated for the shallow depths in the model.","","en","conference paper","European Association of Geoscientists & Engineers","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:3df04653-b8fd-4684-aa6d-bb2ec614e621","http://resolver.tudelft.nl/uuid:3df04653-b8fd-4684-aa6d-bb2ec614e621","OpenSky Report 2022: Evaluating Aviation Emissions Using Crowdsourced Open Flight Data","Sun, Junzi (TU Delft Control & Simulation); Basora, Luis (Université de Toulouse); Olive, Xavier (Université de Toulouse); Strohmeier, Martin (University of Oxford; Armasuisse); Schafer, Matthias (Technische Universität Kaiserslautern); Martinovic, Ivan (University of Oxford); Lenders, Vincent (Armasuisse)","","2022","The environmental impact of aviation has become the focus of increased concerns for policymakers around the world. The recent pandemic provided many interesting case studies on the impact of aviation on the environment. Following the initial COVID-19 containment measures and hard lockdowns, the sharp decrease in aircraft movements caused a measurably improved air quality worthy of further study.The OpenSky Network has acted as an important open data source for aviation research since 2013. In this paper, we analyze one year of fine-grained pre-COVID air traffic trajectories (comprising the entire year 2018) to estimate fuel consumption and pollutant emissions in the aviation industry. We compare this large-scale big data processing approach to a reduced model approach based solely on global commercial aircraft movement schedules collected from airlines and airports, aggregated by a commercial provider.Our study quantifies the impact of commercial aviation on global emissions. The numbers reveal that aviation's CO2 emissions contribute to 2% of global emissions and that commercial aviation contribution remains a proxy for countries' wealth.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-01","","","Control & Simulation","","",""
"uuid:05057cef-0f2c-4211-9c92-ee81a03d22e3","http://resolver.tudelft.nl/uuid:05057cef-0f2c-4211-9c92-ee81a03d22e3","Comparison of Radar Receivers for OFDM and OTFS waveforms","Correas Serrano, A. (Fraunhofer FHR - Cognitive Radar); Petrov, N. (TU Delft Microwave Sensing, Signals & Systems; NXP Semiconductors); Gonzalez-Huici, Maria (Fraunhofer FHR - Cognitive Radar); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","A generic description for common multi-carrier radar receivers is proposed. Two multi-carrier waveforms - orthogonal frequency division multiplexing (OFDM) and orthogonal time-frequency spacing (OTFS) - which could be used for joint radar and communication (JRC) applications - are considered. Sensing performances of different waveform-receiver pairs are compared theoretically. It is shown that while qualitatively, both waveforms perform similarly under the same receiver, performance differences exist between them.","integrated side lobe ratio; inter-carrier interference; multicarrier radar; Orthogonal frequency division multiplexing; Orthogonal time-frequency spacing","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-25","","","Microwave Sensing, Signals & Systems","","",""
"uuid:bf40eb58-c618-42e7-b885-99caacf7d948","http://resolver.tudelft.nl/uuid:bf40eb58-c618-42e7-b885-99caacf7d948","A Highly Efficient Fully Integrated Active Rectifier for Ultrasonic Wireless Power Transfer","Yue, X. (TU Delft Electronic Instrumentation); Chen, Zhelun (Student TU Delft); Zou, Yiwei (Student TU Delft); Du, S. (TU Delft Electronic Instrumentation)","","2022","Ultrasonic wireless power transfer (WPT) has been proved to be a promising approach to power biomedical implants. To extract the energy generated from the transducer, a rectifier is typically required. Previous inductor-based rectifiers (SSHI and SECE) require a large off-chip inductor to achieve good performance, which is not desired for miniaturization and safety reasons. Synchronized switch harvesting on capacitors (SSHC) rectifiers have been proved to achieve high performance without inductors; however, they are mainly designed for low-frequency kinetic energy harvesting. In this paper, an improved SSHC rectifier is designed to achieve a fully integrated design with all flying capacitors implemented on-chip. The proposed SSHC rectifier can properly operate at ultrasonic excitation frequency (100 KHz) with precise switching time control and ultrafast voltage flipping techniques. In addition, an on-chip ultralow-power LDO allows the system to be self-sustained. The system is designed in a TSMC 180nm BCD technology and post-layout simulation results are presented.","Biomedical application; energy harvesting; fully integrated; integrated circuit; SSHC rectifier; ultrasonic transducer","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:f2fb382a-e45c-4c89-8c69-7d4403bd0797","http://resolver.tudelft.nl/uuid:f2fb382a-e45c-4c89-8c69-7d4403bd0797","Performance Enhancement with a Capacitor-Scaling Design for SSHC Piezoelectric Energy Harvesting Interfaces","Zou, Yiwei (Student TU Delft); Du, S. (TU Delft Electronic Instrumentation)","","2022","Piezoelectric energy harvesting (PEH) has attracted much attention as an approach to exploit ambient vibrational energy to power self-sustained devices. Among the proposed interface circuits for PEH, Synchronized Switch Harvesting on Capacitor (SSHC) rectifier distinguishes itself since it achieves high power efficiency while requires no inductor. The power SSHC can extract is a function of the voltage flip efficiency. In previous studies the flip efficiency is given only under particular condition, which limits the analysis and design of SSHC circuits. This paper presents the derivation of a generic flip efficiency expression. From the result, a novel capacitor-scaling design is proposed which can reduce the total switched capacitance by up to 50% while achieving the same performance (or to enhance performance while maintaining the total capacitance). This is particularly preferred for a fully integrated design and can validated by simulations implemented in a 0.18 m. CMOS BCD technology.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:68e29455-4297-440f-a6be-e645bcbc45fd","http://resolver.tudelft.nl/uuid:68e29455-4297-440f-a6be-e645bcbc45fd","A Nanopower 95.6% Efficiency Voltage Regulator with Adaptive Supply-Switching for Energy Harvesting Applications","Zou, Yiwei (Student TU Delft); Yue, X. (TU Delft Electronic Instrumentation); Du, S. (TU Delft Electronic Instrumentation)","","2022","A nanopower highly efficient low-dropout (LDO) regulator for energy harvesting (EH) applications is presented in this paper. The LDO is fully autonomous with a bandgap reference (BGR) featuring a novel bandgap supply-switching (SS) topology, an over-voltage protection (OVP), a under-voltage lockout (UVLO) and control block to obtain stable output and robust cold-start. The system provides configurable voltage supply (1.1 \sim2V) for potential loads, while consuming as low as 66 nW power. The entire system achieves a peak power efficiency of 95.6% at Vout=2V and I-{\iota-{oad}}=100\muA.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:50666409-96bd-4eba-a9ab-19eaa096de97","http://resolver.tudelft.nl/uuid:50666409-96bd-4eba-a9ab-19eaa096de97","Transforming Airport Hubs into Future-Proof Multimodal Transport Hubs","Toet, A.S. (TU Delft Marketing and Consumer Research; Royal Schiphol Group); van Kuijk, J.I. (TU Delft Applied Ergonomics and Design); Santema, S.C. (TU Delft Marketing and Consumer Research)","","2022","Developments in sustainability and digitisation outline a future of mobility, with multimodal transport becoming the new normal. Travel modalities will no longer be the focal point of mobility, but passenger experiences and the services that provide these will. In a mobility landscape where the passenger experience is key, and multi-leg trips are the norm, Multimodal Transport Hubs are essential players as they can facilitate high-quality intermodal transfers. However, this advanced application of Multimodal Transport Hubs does not yet exist in practice.
By employing a scoping review, this research aims to investigate the position of airport hubs as Multimodal Transport Hubs in the future of mobility, as airport hubs physically unite several transport infrastructures but only offer transfers with high-quality services within air traffic and not – to, from and between other modalities. To become future-proof, airport hubs should transform into truly Multimodal Transport Hubs that provide transfers with high-quality services from at least ultra-long to long and medium-range modalities.
However, airport hubs have features such as a complex stakeholder landscape, long development times, reliance on transport operators and uncertainty about the added value of integrating new travel modalities that make modality innovation at airport hubs a systemic design challenge. This research identified a lack of theoretical knowledge regarding harnessing and integrating alternative and new modalities at airport hubs to transform them into fully integrated Multimodal Transport Hubs. In particular, how to assess and select new and alternative modalities, how to determine the appropriate level of engagement in different stages, and how to integrate new modalities at airport hubs should be investigated in future research.","mobility; transport; multimodal hubs; airport hubs; innovation","en","conference paper","","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:12513bc2-de1f-405b-ad7a-5ab854beaf32","http://resolver.tudelft.nl/uuid:12513bc2-de1f-405b-ad7a-5ab854beaf32","miWFC - Designer Empowerment through mixed-initiative Wave Function Collapse","Langendam, Thijmen S. L. (Student TU Delft); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","Karpouzis, Kostas (editor); Gualeni, Stefano (editor); Pirker, Johanna (editor); Fowler, Allan (editor)","2022","Wave Function Collapse (WFC) is a powerful generative algorithm, able to create locally-similar output based on a single example input. One of the inherent limitations of the original WFC is that it often requires users to understand its inner workings, and possibly make their own ad-hoc mods, to achieve satisfactory results. Besides distracting from your creative task, this strongly reduces the algorithm's effective usefulness to a small group of technical users. We propose miWFC, a novel mixed-initiative approach to WFC aimed at overcoming these drawbacks. Its main focus is on providing intuitive control to its users, in a way that matches their usual creative workflow. Among its main features, this approach provides (i) interactive navigation through design history, including controlled backtracking, (ii) precise manual editing of the output for direct expression of design intent, and (iii) interactive manipulation of tile weights, to tweak the global appearance of the output. We evaluated a prototype implementation of our approach among game artists and other creative professionals, and concluded that its features were largely considered useful and supportive of their creative work.","constraint solving; human-computer interaction; interaction design; level generation; mixed-initiative; procedural content generation; texture synthesis; wave function collapse","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:44eb716c-fe78-498d-8e0b-8edbe28fe689","http://resolver.tudelft.nl/uuid:44eb716c-fe78-498d-8e0b-8edbe28fe689","Intelligent Contingencgy Overload-Avoiding Control of BESS for Renewable-Rich Local Area","Gonzalez-Longatt, F. (University of South-Eastern Norway); Astapov, Victor (Tallinn University of Technology); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Intelligent Electrical Power Grids); Abdellah, Kouzou (Université de Djelfa); Olivo, Franklin (Folivo Power Consulting SRL); Chamorro, Harold R. (KTH Royal Institute of Technology)","","2022","An N-1 contingency can negatively affect the reliability and security of electrical power systems. A single transmission line outage can cause overload on the local healthy transmission systems, and actions are required to alleviate the overload. Traditionally the system operator uses two actions depending on the operating local area power balance: load shedding or power plant curtailment; both have consequences. This paper proposes the use of a Battery Energy Storage System (BESS) enabled with an intelligent overload avoiding control. The control is illustrated in a test system, and numerical simulations has demonstrated the suitability of the proposed approach.","Overloading; BESS Control Strategy; Reliability; Transmission System Security; PV Power Plant","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-28","","","Intelligent Electrical Power Grids","","",""
"uuid:2d8c0fac-c870-4826-b5ea-d95947aa8a22","http://resolver.tudelft.nl/uuid:2d8c0fac-c870-4826-b5ea-d95947aa8a22","The TaleMaker database of mixed-initiative co-created stories","Bueno Perez, M.R. (TU Delft Computer Graphics and Visualisation); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","Karpouzis, Kostas (editor); Gualeni, Stefano (editor); Pirker, Johanna (editor); Fowler, Allan (editor)","2022","Several datasets of stories and text have been proven useful for a variety of research fields. Yet, many of these datasets have suffered from the burden of being manually authored and/or annotated, affecting their size and potential to grow. To overcome this problem, we propose a novel database of stories collected from TaleMaker, an online multiplayer game that facilitates the playful co-creation of a story in order to eliminate the tedious task of authoring and annotating a dataset of stories. TaleMaker's database relational schema provides a simple story representation, in which stories are named and clearly annotated. A story is composed of a sequence of plot points, each with several slots (e.g. action, character, location) filled with sense-annotated tokens (words) associated with a WordNet synset. In this paper, we describe in detail the database schema of TaleMaker's stories repository. In addition, we suggest some of the potential applications of this repository of stories, including fostering research in fields such as story generation, narrative world generation, and word sense disambiguation.","collaborative storytelling; stories repository; story co-creation; story database; storytelling game","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:7c171405-4588-437f-8dc5-330ab04c1840","http://resolver.tudelft.nl/uuid:7c171405-4588-437f-8dc5-330ab04c1840","Propeller Aircraft Design Optimization for Climate Impact Reduction","Thijssen, R. (Student TU Delft); Proesmans, P. (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2022","This paper studies the climate impact of propeller aircraft which are optimized for either minimum direct operating costs, minimum fuel mass, or minimum average temperature response (ATR100). The latter parameter provides a measure of the global warming impact of the aircraft design, considering both CO2 and non-CO2 effects. We study turboprop-powered aircraft in particular because these offer higher propulsive efficiency than turbofan aircraft at low altitudes and low Mach numbers. The propeller aircraft are designed for medium-range top-level requirements, employing a multidisciplinary design optimization framework. This framework uses a combination of statistical, empirical, and physics-based methods, which are verified using existing engine and aircraft data. For this medium-range design case, a climate impact reduction of 16% can be realized when shifting from the cost design objective to the climate objective. The optimal solutions for the fuel mass and climate objectives are nearly identical as CO2 and other fuel proportional climate effects are the main contributors. The effects of NOx and contrails are lower than for the turbofan aircraft due to the lower cruise altitude of the propeller aircraft. Compared to turbofan data, propeller-powered aircraft can achieve a further 33% reduction in climate impact, comparing both climate-optimal designs. This reduction is lessened to 23% when the propeller aircraft is constrained to achieve the same mission block time as the turbofan aircraft. Note that these reductions in ATR100 require a propeller efficiency of 88%. Overall, the results show that the utilization of propeller-powered aircraft in the medium-range category can further reduce the climate impact compared to climate-optimal turbofan aircraft designs.","Propeller Aircraft Design; Global Warming; Climate Impact; MDO","en","conference paper","International Council of the Aeronautical Science (ICAS)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:c691656a-7a30-4c1a-b53f-a11ec824afbd","http://resolver.tudelft.nl/uuid:c691656a-7a30-4c1a-b53f-a11ec824afbd","Combined MPC and reinforcement learning for traffic signal control in urban traffic networks","Remmerswaal, Willemijn (Student TU Delft); Sun, D. (TU Delft Team Bart De Schutter); Jamshidnejad, A. (TU Delft Control & Simulation); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","Barbu, Marian (editor); Solea, Razvan (editor)","2022","In general, the performance of model-based controllers cannot be guaranteed under model uncertainties or disturbances, while learning-based controllers require an extensively sufficient training process to perform well. These issues especially hold for large-scale nonlinear systems such as urban traffic networks. In this paper, a new framework is proposed by combining model predictive control (MPC) and reinforcement learning (RL) to provide desired performance for urban traffic networks even during the learning process, despite model uncertainties and disturbances. MPC and RL complement each other very well, since MPC provides a sub-optimal and constraint-satisfying control input while RL provides adaptive control laws and can handle uncertainties and disturbances. The resulting combined framework is applied for traffic signal control (TSC) of an urban traffic network. A case study is carried out to compare the performance of the proposed framework and other baseline controllers. Results show that the proposed combined framework outperforms conventional control methods under system uncertainties, in terms of reducing traffic congestion.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-08","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:26445b9e-9f8c-44d4-ba4c-60b38199675c","http://resolver.tudelft.nl/uuid:26445b9e-9f8c-44d4-ba4c-60b38199675c","A Curved Compliant Differential Mechanism With Neutral Stability","Mak, Robin (Student TU Delft); Amoozandeh, A. (TU Delft Mechatronic Systems Design); Radaelli, G. (TU Delft Mechatronic Systems Design); Herder, J.L. (TU Delft Precision and Microsystems Engineering)","","2022","Differential mechanisms are remarkable mechanical elements that are widely utilized in various systems; nevertheless, conventional differential mechanisms are heavy and difficult to use in applications with limited design space. In this paper, a curved lightweight compliant type of differential mechanism is presented. This mechanism acquires its differential characteristic by having a high rotational stiffness when the mechanism is symmetrically actuated on two sides, while having a low rotational stiffness when actuated only on one side. The intrinsic elastic strain energy required for deformation of the compliant differential is compensated for by reintroduction of potential energy to make the mechanism neutrally stable. For the storage of potential energy, two preloaded linear springs were used. The rotational stiffness of the one-sided actuation around the neutral position of the compliant differential mechanism is hypothesized to be adjustable by changing the preload of the springs. The stiffness can be positive, zero, and negative, meaning that the mechanism can have neutral stability and bistability. The hypothesis is investigated using a simulated model in Ansys Parametric Design Language using optimized parameters to achieve the desired stiffness for the mechanism. The simulated model is validated using an experimental setup for both the one-sided and symmetrical actuation stages. The experimental results showed a high correlation with the simulations. The mechanism with optimized dimensions and preload showed neutral stability for a range of 16°. Bistability was found for preloads higher than the aforementioned optimized preload. A linear trend was found between the preload of the springs and the rotational stiffness of the mechanism at θ = 0. Furthermore, an output/input kinematic performance of 0.97 was found for the simulated results and 0.95 for the experimental results.","","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","","","","","Precision and Microsystems Engineering","Mechatronic Systems Design","","",""
"uuid:efc5710c-e4c2-4ba8-a5a9-afdee2f69d39","http://resolver.tudelft.nl/uuid:efc5710c-e4c2-4ba8-a5a9-afdee2f69d39","Annoyance by Alarms in the ICU: A Cognitive Approach to the Role of Interruptions by Patient Monitoring Alarms","Bostan, I. (TU Delft Design Aesthetics; Erasmus MC); Ozcan Vieira, E. (TU Delft Design Aesthetics; Erasmus MC); Gommers, Diederik (Erasmus MC); van Egmond, R. (TU Delft Human Information Communication Design)","","2022","Nurses rely on patient monitoring systems for care delivery in ICUs. Monitoring systems communicate information to nurses and alert them through audiovisual alarms. However, excessive numbers of alarms often interrupt nurses in their tasks, and desensitize them to alarms. The affective consequence of this problem is that nurses are annoyed and feel frustration towards monitoring alarms. This situation leads to stress on nurses and threatens patient safety. Literature on sound annoyance distinguishes between annoyance induced by bottom-up (perceptual) and top-down (cognitive) processing. Extensive research on perceptual annoyance informs us on how to alleviate the problem by better sound design. However, addressing the cognitive aspect requires a broader understanding of annoyance as a construct. To this end, in this paper we distinguish between the annoyance induced by sensory unpleasantness of alarm sounds, and annoyance induced by frequent task interruptions. We present a conceptual framework in which we can interpret nurses’ annoyance by monitoring alarms. We further present descriptive analysis of the occurrence frequency of patient monitoring alarms in a neonatal ICU to illustrate the current state with regards to alarms. We aim to support nurses’ organizational well- being by providing an alternative hypothesis to explaining nurses’ affective states caused by auditory alarms. Future research can benefit from this paper through understanding of the context and familiarizing with the cognitive processes relevant to processing of patient monitoring alarms.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Aesthetics","","",""
"uuid:ee6081da-8dc0-481b-a4ec-36dbf8a05cb4","http://resolver.tudelft.nl/uuid:ee6081da-8dc0-481b-a4ec-36dbf8a05cb4","A new approach to sound design in automated vehicles","Kim, S. (TU Delft Human Information Communication Design); Kabbani, T. (University of Surrey); Serbes, D. (Ford Otosan); Happee, R. (TU Delft Intelligent Vehicles); Hartavi, A. (University of Surrey); van Egmond, R. (TU Delft Human Information Communication Design)","","2022","Human-Machine Interfaces (HMIs) aim to support the interaction between automated vehicles and drivers to improve safety and driver experience. With the development of automated vehicles, drivers interact with vehicles in new scenarios. In addition to visual modality, sound is the other modality often used in vehicles. Previously, sounds were mainly used for alarms, but they can be used in other ways in automated vehicles. Therefore, a new approach to sound design is needed. We proposed an interactive approach for sound design to improve driver safety and user experience in automated vehicles. In this study, we suggested that the driver's interaction with automated vehicles should be analyzed based on the user and contextual understanding, and the sound should be designed to consider the appropriateness of situation matching and alert levels. This study showed that the approach supports designing sounds that enhance vehicle and driver interaction.","Automated vehicle; Human-Machine interaction; User interface","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Human Information Communication Design","","",""
"uuid:d170dc26-f52a-4c91-9633-5b5d76765849","http://resolver.tudelft.nl/uuid:d170dc26-f52a-4c91-9633-5b5d76765849","Healing with Fungi: Unique Aesthetic Expressions for Mycelium-Based Materials Through Patch and Mend","Ng, S.M. (TU Delft Mechatronic Design); Barati, B. (TU Delft Emerging Materials); Karana, E. (TU Delft Emerging Materials)","Bruyns, Gerhard (editor); Wei, Huaxin (editor)","2022","","","en","conference paper","","","","","","","","2023-11-06","","","Mechatronic Design","","",""
"uuid:e5121da2-ecb4-48a6-8671-2b152258bdde","http://resolver.tudelft.nl/uuid:e5121da2-ecb4-48a6-8671-2b152258bdde","On BTI Aging Rejuvenation in Memory Address Decoders","Cem Gursoy, Cemil (Tallinn University of Technology); Kraak, D.H.P. (TU Delft Computer Engineering); Ahmed, Foisal (Tallinn University of Technology); Taouil, M. (TU Delft Computer Engineering); Jenihhin, Maksim (Tallinn University of Technology); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","","2022","Memory designs require timing margins to compensate for aging and fabrication process variations. With technology downscaling, aging mechanisms became more apparent, and larger margins are considered necessary. This, in return, means a larger area requirement and lower performance for the memory. Bias Temperature Instability (BTI) is one of the main contributors to aging, which slows down transistors and ultimately causes permanent faults. In this paper, first, we propose a low-cost aging mitigation scheme, which can be applied to existing hardware to mitigate aging on memory address decoder logic. We mitigate the BTI effect on critical transistors by applying a rejuvenation workload to the memory. Such an auxiliary workload is executed periodically to rejuvenate transistors that are located on critical paths of the address decoder. Second, we analyze workloads' efficiency to optimize the mitigation scheme. Experimental results performed with realistic benchmarks demonstrate several-times lifetime extension with a negligible execution overhead.","BTI; aging; rejuvenation; mitigation; memory; address decoder","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public","","2023-07-01","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:78246300-891e-480b-9cb1-f6b74caae0d9","http://resolver.tudelft.nl/uuid:78246300-891e-480b-9cb1-f6b74caae0d9","A Reconfigurable Cold-Startup SSHI Rectifier with 4X Lower Input Amplitude Requirement for Piezoelectric Energy Harvesting","Yue, X. (TU Delft Electronic Instrumentation); Zou, Yiwei (Student TU Delft); Chen, Zhelun (Student TU Delft); Liang, Junrui (ShanghaiTech University); Du, S. (TU Delft Electronic Instrumentation)","","2022","Synchronized switch harvesting on inductor (SSHI) is an efficient active rectifier to extract energy generated from piezoelectric transducer in piezoelectric energy harvesting system. Unlike passive rectifiers, SSHI rectifiers require a power supply to drive synchronized switches. Unfortunately, there is no stable supply when the system starts from the cold state. Most designs let the system work as a passive full bridge rectifier (FBR) to charge power capacitor until a supply is available. However, a FBR requires high open-circuit voltage (VOC) and the FBR’s output voltage cannot go over VOC. This prevents the system from starting the SSHI rectifier if VOC is low. This paper proposes a new transducer reconfiguration design to lower the required VOC by 4 $\times$ to start up the SSHI system from the cold state. The proposed system is designed in a 0.18$-\mu$m BCD process and post-layout simulations show that the successful cold-startup under low VOC voltage.","Power supplies; Simulation; Rectifiers; Bridge circuits; Switches; Threshold voltage; Power capacitors; Cold-startup; energy harvesting; full bridge rectifier; open-circuit voltage; piezoelectric transducer; SSHI rectifier","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:06f907ea-7cbb-46bb-863f-87229532e41c","http://resolver.tudelft.nl/uuid:06f907ea-7cbb-46bb-863f-87229532e41c","A Ring-Oscillator Sub-Sampling PLL With Hybrid Loop Using Generator-Based Design Flow","Wang, Zhongkai (University of California); Choi, Minsoo (Samsung Semiconductor); Wright, John (University of California); Lee, Kyoungtae (University of California); Liu, Zhaokai (University of California); Yin, Bozhi (University of California); Han, Jaeduk (Hanyang University); Du, S. (TU Delft Electronic Instrumentation); Alon, Elad (University of California)","","2022","We present a ring-oscillator-based sub-sampling phase-locked loop (PLL) using a generator-based design flow. A hybrid loop with a delta-sigma ($\Delta \Sigma$) modulator is applied to reduce the loop filter (LF) area and the control ripple. The generator automatically produces the ring oscillator and PLL to meet the provided specifications. The 10-GHz PLL instance implemented in 28-nm planar process achieves RMS jitter of}299.5 fs and power of 9.9 mW from a 1-V supply.","PLL; sub-sampling; ring oscillator; hybrid; PLL generator","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:60df9a09-c590-499b-a752-6d0c4de4cc94","http://resolver.tudelft.nl/uuid:60df9a09-c590-499b-a752-6d0c4de4cc94","A PV-assisted 10-mV Startup Boost Converter for Thermoelectric Energy Harvesting","Liang, Yansong (Student TU Delft); Wang, Ruizhi (Student TU Delft); Chen, Zhongsheng (Hunan University of Science and Technology); Du, S. (TU Delft Electronic Instrumentation)","","2022","This paper presents a boost converter for thermo-electric energy harvesting with photovoltaic (PV)-assisted startup. The converter employs a new two-phase startup architecture and the PV cell is used in the first phase to provide an initial high voltage for startup. This high voltage drives the boost converter to charge a startup capacitor, which powers the main control block to continue self-startup in phase 2. The proposed system is designed and simulated in a $0.18\mu{\mathrm{m}}$ BCD process. The simulations show successful cold-start from 10 mV thermoelectric voltage. In addition, maximum power point tracking and zero current switching techniques are adopted in the system to achieve 91% peak efficiency. The proposed system can finish the cold-start within 250 ms.","thermoelectric energy harvesting; startup; boost converter","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:70c4cb10-2552-45ff-aeb0-f9fb54423fb2","http://resolver.tudelft.nl/uuid:70c4cb10-2552-45ff-aeb0-f9fb54423fb2","Local Community Centre as Utilitarian, Governing and Social Space: The Case of New Belgrade CMZ","Dragutinovic, Anica (TU Delft Heritage & Architecture; Detmold School for Architecture and Interior Architecture; TH OWL); Pottgiesser, U. (TU Delft Heritage & Architecture; OWL, University of Applied Sciences and Arts; Stichting Docomomo Nederland); Quist, W.J. (TU Delft Heritage & Architecture)","Jordá Such, Carmen (editor); Palomares Figueres, Maite (editor); Tostões, Ana (editor); Pottgiesser, Uta (editor)","2022","The paper studies local community centres (Serbian: centar mesne zajednice - CMZ) of post-war mass housing neighbourhoods in New Belgrade. Those were designed and built in 1970s as multifunctional centres with facilities and programmes complementary to the housing blocks: socio-cultural, commercial (grocery stores), daily services (post office, bank, crafts, etc.) spaces for socio-political activities and office spaces for the local community. The local community centres significantly increased quality of life of the residents, liveability and socialisation in the neighbourhoods. Furthermore, one of the main aims of these spaces was to enable actual realisation of the self-management in local communities. This paper reflects on the ideological and theoretical basis for their conceptualisation, referring to Edvard Kardelj, one of the main ideologues of self-management and originator of the local community concept in Yugoslavia. Moreover, the paper investigates: how the local community centres were planned, designed and programmed, and how they were spatially integrated in the existing residential blocks; how their organisational and governing role has been neglected over time, and their main purpose altered; and what are potentials and socio-spatial capacities for their future reuse. Reaffirmation of local community centres as utilitarian, governing and social space is recognized as key for promoting participation and collaborative governance in New Belgrade blocks, as well as for improving social connections, solidarity and sense of belonging in these neighbourhoods. As such, the local community centres could be one of the main factors of revitalisation of the blocks, increasing vitality and improving quality of life of the residents. Furthermore, the local community centres could have a major role in unlocking the potential of institutions and individuals towards new effective urban governance structures, as well as institutionalising citizens' participation and bottom-up governance as direct democracy in the city today.","local community centre; neighbourhood; post-war housing; neighbourhood histories; local communities; New Belgrade","en","conference paper","DOCOMOMO","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Heritage & Architecture","","",""
"uuid:14dbf9a7-778b-4799-bfb7-7b94de5e9eb4","http://resolver.tudelft.nl/uuid:14dbf9a7-778b-4799-bfb7-7b94de5e9eb4","A Lagrangian Relaxation Heuristic Approach for Coordinated Global Intermodal Transportation","Guo, Wenjing (Wuhan University of Technology); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Atasoy, B. (TU Delft Transport Engineering and Logistics)","","2022","This paper considers a coordinated global shipment matching problem in which a global operator receives shipment requests from shippers and three local operators provide local transport services in different geographical areas. While local operators make local matching decisions, the global operator combines the matched local services into itineraries to provide integrated transport for shipments. To handle the interconnecting constraints between different operators, a Lagrangian relaxation heuristic approach is developed. Under the proposed approach, the original problem is decomposed into local operator-related subproblems. These subproblems are optimized iteratively under local constraints as well as under the incentives imposed by the global operator to meet interconnecting constraints. The experiment results show that with the proposed approach, global transport planning that requires coordination among different operators to achieve a common goal can be realized.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-28","","","Transport Engineering and Logistics","","",""
"uuid:a6a4f8e2-040e-4642-92ca-bdb051b19917","http://resolver.tudelft.nl/uuid:a6a4f8e2-040e-4642-92ca-bdb051b19917","Assessing e-Government DNS Resilience","Sommese, Raffaele (University of Twente); Jonker, Mattijs (University of Twente); van der Ham, Jeroen (NCSC-NL (National Cyber Security Centre of the Netherlands); University of Twente); Moura, Giovane C. M. (TU Delft Cyber Security; SIDN Labs)","Charalambides, Marinos (editor); Papadimitriou, Panagiotis (editor); Cerroni, Walter (editor); Kanhere, Salil (editor); Mamatas, Lefteris (editor)","2022","Electronic government (e-gov) enables citizens and residents to digitally interact with their government via the Internet. Underpinning these services is the Internet Domain Name Systems (DNS), which maps e-gov domain names to Internet addresses. Structuring DNS with multiple levels of redundancy that can withstand stress events such as denial-of-service (DoS) attacks is a challenging task. While the operator community has established best practices to this end, adopting them all involves expert knowledge and resources. In this work, we obtain and study a list of e-gov domain names used by four countries (The Netherlands, Sweden, Switzerland, and the United States) and measure the DNS structuring of these domains. We show the adoption of best practices, inter-country differences such as the use of anycast, and provide recommendations to improve DNS service robustness.","DNS; DDoS; E-gov; Resilience; Authoritative DNS","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:8020f7c4-73db-4dc9-9ae9-8347370db934","http://resolver.tudelft.nl/uuid:8020f7c4-73db-4dc9-9ae9-8347370db934","Future Plans for the Development of an Industrialized Continuous Ultrasonic Welding Process","Jongbloed, B.C.P. (TU Delft Intelligent Manufacturing systems); Akay, E. (TU Delft Aerospace Structures & Computational Mechanics); Köhler, F. (TU Delft Aerospace Manufacturing Technologies); Villegas, I.F. (TU Delft Design for Sustainability; TU Delft Aerospace Structures & Computational Mechanics)","","2022","The need for robust joining methods for thermoplastic composites increases since the usage of these materials expands steadily in the aerospace industry. Continuous ultrasonic welding has been demonstrated in the recent years as one of the most promising joining techniques for thermoplastic composites to fulfill this need. This paper presents our state-of-the-art research conducted on continuous ultrasonic welding and aims to provide insight into the future steps needed to obtain an industrialized robotic welding process.","fusion bonding; Continuous Ultrasonic welding; Continuous seam; Automation; Industrialization; In-stu monitoring","en","conference paper","","","","","","","","","","","Intelligent Manufacturing systems","","",""
"uuid:68b59f72-aed3-4ac6-a236-9f32cb4ab765","http://resolver.tudelft.nl/uuid:68b59f72-aed3-4ac6-a236-9f32cb4ab765","LaBr3:Ce and silicon photomultipliers: Towards the optimal scintillating photon-counting detector","van der Sar, S.J. (TU Delft RST/Medical Physics & Technology); Leibold, D. (TU Delft RST/Medical Physics & Technology); Brunner, Stefan E. (Broadcom Inc., Regensburg); Schaart, D.R. (TU Delft RST/Medical Physics & Technology; Holland Particle Therapy Centre)","Stayman, Joseph Webster (editor)","2022","We investigate fast silicon photomultiplier (SiPM)-based scintillation detectors for X-ray photon-counting applications, e.g., photon-counting computed tomography (CT). Such detectors may be an alternative to CdTe/CdZnTe (CZT) and Si detectors, which face challenges related to availability and cost-effective growth of detector-grade material, and detection efficiency, respectively. Here, we experimentally study energy response and count rate performance of a 1 mm × 1 mm single-pixel detector consisting of the readily available LaBr3:Ce scintillator and an ultrafast SiPM. We used three radio-isotopes and an X-ray tube for the experiments. Raw detector signals were processed by a second-order low-pass filter with a cut-off frequency fc equal to 25 MHz or 100 MHz. The detector pulse height was shown to be proportional to photon energy. We measured FWHM energy resolutions of 19.5% (fc=25 MHz) and 21.5% (fc=100 MHz) at 60 keV. The measured X-ray tube spectra showed signs of the expected features of such spectra. The best count rate performance was achieved using fc=100 MHz. In case of paralyzable-like counting and a 30 keV counting threshold, the maximum observed count rate (OCR) was 10.5 Mcps/pixel. For nonparalyzable-like counting and the same threshold, the OCR appeared to approach an asymptotic value greater than 20 Mcps/pixel. These numbers are close to those of CdTe/CZT detectors highly optimized for photon-counting CT. In conclusion, we show promising spectral X-ray photon-counting performance of an LaBr3:Ce scintillation detector with SiPM readout. Depending on the application-specific requirements, miniaturization of the pixel size may be necessary, for which we discuss potential dose-efficient implementations.","Count rate performance; energy response; scintillator; silicon photomultiplier; X-ray photon-counting","en","conference paper","SPIE","","","","","","","","","","RST/Medical Physics & Technology","","",""
"uuid:13b2e3d2-e0ed-4d3e-853e-9481d5ffbb59","http://resolver.tudelft.nl/uuid:13b2e3d2-e0ed-4d3e-853e-9481d5ffbb59","Radio wave blind zone in a duct: an analytical approach","Rol, M.P. (Netherlands Defence Academy); Nijboer, Ronald (Netherlands Defence Academy); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","Electromagnetic (EM) waves propagate through the atmosphere where they are refracted depending on the composition of the atmosphere. This refraction highly influences the propagation of the EM-waves. Certain atmospheric conditions can cause EM-waves to get trapped within a duct. In these ducts blind zones may occur, where there is no EM-wave coverage. These blind zones show up in the results of ray tracing simulations. However, these codes provide no insight into the dependence of the blind zone on the atmospheric conditions and the transmitter height. In this research analytical expressions are derived for the range of these blind zones. The expressions have been verified by ray trace simulations for several transmitter heights under ducting conditions. Results show that the blind zone range can be accurately predicted.","Blind Zone; Ducting; Radar; Ray Tracing","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-29","","","Microwave Sensing, Signals & Systems","","",""
"uuid:b34bd201-23fd-4402-a333-cf881d3cb9cf","http://resolver.tudelft.nl/uuid:b34bd201-23fd-4402-a333-cf881d3cb9cf","Energy Efficient EPB Design Applying Machine Learning Techniques","Glab, K.B. (Herrenknecht AG); Wehrmeyer, G. (Herrenknecht AG); Thewes, M (Ruhr-Universität Bochum); Broere, W. (TU Delft Geo-engineering)","Hededal, Ole (editor)","2022","A significant part of the energy consumed during the tunnelling process of Earth Pressure Balanced (EPB) Tunnel Boring Machines (TBMs) is related to the main drive, consisting of a set of motors driving the rotation of the cutting wheel. An energy efficient EPB design requires the optimization of the main drive to avoid over- or under powering of the machine. Key aspect is therefore a precise and reliable estimation of the expected cutting wheel torque. In this paper state-of-the-art torque estimation models are compared to supervised machine learning (ML) approaches, including classification and regression trees (CART), support vector machines (SVM), Gaussian process regression (GPR) and decision tree ensembles (DTE). Feature selection algorithms are compared to models using manually selected input features. ML models are evaluated using accuracy metrics, residual analyses, and model validation. Torque prediction for a real-world validation project shows that utilization rates can be increased distinctively due to the application of ML techniques.","EPB TBMs; energy efficiency; main drive utilization; torque estimation; supervised machine learning; feature selection","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:f44f527b-8e45-447c-a905-8791d3c09f52","http://resolver.tudelft.nl/uuid:f44f527b-8e45-447c-a905-8791d3c09f52","Managing Knowledge for Future-proof Tunnels in the Netherlands","de Haas, K. (Centrum Ondergronds Bouwen (COB)); Berkhout, B (Centrum Ondergronds Bouwen (COB); Witteveen+Bos); Dekker, H.R.E. (Rijkswaterstaat); Broere, W. (TU Delft Geo-engineering)","Hededal, Ole (editor)","2022","Determining the scope of (structural) renovation of aging tunnels is a complicated task and modular renovation is becoming increasingly necessary. The COB Tunnel Programme aims at developing the knowledge necessary to renovate these tunnels in a modular fashion, to reduce hindrance as much as possible. Part of this program is the development of a Structural Health Analysis (SHA) for collecting data on the condition of the civil structure of tunnels, which will give tunnel owners insight in the need for research on structural parts of the tunnel, refurbishment and the risks for the tunnel performance in the future. As a lot of knowledge will be gathered and generated, structurally securing of this knowledge is also necessary. To meet this need, the tunnel program also started development of a knowledge management system. This paper describes the aims of the knowledge management system in relation to the overall tunnel program, as well as the tools and methods devised to gather all necessary information for tunnels constructed several decades ago, where not all required information is readily available in digital form, or even in paper archives.","Immersed tunnels; Renovation; Maintenance and Operation; Knowledge Management; Structural Health Assessment","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:47b0eab4-eeea-47b6-962b-f195a8b6a2a0","http://resolver.tudelft.nl/uuid:47b0eab4-eeea-47b6-962b-f195a8b6a2a0","On the Multi-component Information of DAS for Near-Surface Seismic: A Pilot Field Experiment in the Groningen Area","Al Hasani, M.M.K. (TU Delft Applied Geophysics and Petrophysics); Drijkoningen, G.G. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","","2022","In a surface-seismic setting, Distributed Acoustic Sensing (DAS) is still not a widely adopted method for near-surface characterisation, especially for reflection seismic imaging, despite the dense spatial sampling it provides over long distances. This is mainly due to the decreased broadside sensitivity that DAS suffers from when buried horizontally in the ground (that is when the upgoing wavefield (e.g. reflected wavefield) is perpendicular to the optical fibre). This is unlike borehole settings (e.g. zero-offset Vertical Seismic Profiling), where DAS has been widely adopted for many monitoring applications. Advancements in the field, like shaping the fibre to a helix, commonly known as helically wound fibre, allow better sensitivity for the reflections. The promise of spatially dense seismic data over long distances is an attractive prospect for retrieving the local variations of near-surface properties. This is particularly valuable for areas impacted by induced seismicity, as is the case in the Groningen Province in the north of The Netherlands, where near-surface properties, mostly composed of clays and peats, play an essential role on the amount of damage on the very near-surface and the structures built on it. Installing fibre-optic cables for passive and active measurements is valuable in this situation. We installed multiple cables containing different fibre configurations of straight and helically wound fibres, buried in a 2-m deep trench. The combination of the different fibre configurations allows us to obtain multi-component information. We observe differences in the amplitude and phase information, suggesting that these differences can be used for separating the different components of the wave motion. We also see that using enhanced backscatter fibres, reflection images can be obtained for the helically wound fibre as well as the straight fibre, despite the decreased broadside sensitivity for the latter.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:b25d2504-e372-41f3-a1b2-8c178dfa704e","http://resolver.tudelft.nl/uuid:b25d2504-e372-41f3-a1b2-8c178dfa704e","Development of a Hydrogen-powered Fuselage-mounted BLI Propulsor Add-on for Passenger Aircraft","Heidebrecht, A. (TU Delft Flight Performance and Propulsion); Burger, K.W. (Student TU Delft); Hoogreef, M.F.M. (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion); Isikveren, Askin T. (SAFRAN S.A. c/o Aerospace Embedded Solutions GmbH); Gangoli Rao, A. (TU Delft Flight Performance and Propulsion)","","2022","The concept of an ""Auxiliary Power and Propulsion Unit"" (APPU) is introduced, which consists of a Boundarylayer ingesting (BLI) propulsor with an engine mounted at the rear of an passenger aircraft fuselage, replacing the Auxiliary Power Unit (APU) and contributing around 10% of total cruise thrust, as well as auxiliary power. This APPU unit is using hydrogen provided by an additional tank installed in the tailcone of the aircraft. The concept is aimed at lowering the threshold to installing both hydrogen-driven propulsion and BLI propulsors on aircraft in the short term, while minimizing resulting operational risk. The concept has been investigated using a preliminary aircraft synthesis tool and further component-level mass estimates. Operational aspects,
sensitivities and limits to the design have been investigated. Estimates of mission fuel burn find that CO2 emissions emissions reduce roughly proportionally to the APPU thrust share, with additional savings due to improved overall efficiency. Further improvements are deemed feasible and are the topic of ongoing research.","Boundary Layer Ingestion; hydrogen aircraft; conceptual aircraft design","en","conference paper","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:4fe8f425-3b2c-41c3-a48f-b199eeea1279","http://resolver.tudelft.nl/uuid:4fe8f425-3b2c-41c3-a48f-b199eeea1279","Installation Penalty of Aero-Engines on Narrow Body Aircraft","Boogaart, T.E. (Student TU Delft); Hoogreef, M.F.M. (TU Delft Flight Performance and Propulsion); Gangoli Rao, A. (TU Delft Flight Performance and Propulsion)","","2022","Decades of improvements of engine efficiency on internal engine components through better materials, design methods and novel fabrication techniques have resulted in fuel consumption reductions. Another major factor for improving engine fuel consumption has been the increase of bypass ratio. However, this has a significant impact on engine dimensions and weight, and, consequently, the installation of the engine on the airframe.
Evaluation of engine installation penalties is not a new topic; literature provides various studies on aerodynamic effects. These primarily studied the effects of drag increase and the impact on drag of engine location and nacelle shape. This article investigates the performance impact of installation penalties from an increase in bypass ratio on narrow body aircraft, specifically the fuel consumption, weight and stability. Additionally,
an analysis is made comparing aircraft retrofit and redesign for increased bypass ratio engines. It can be concluded from retrofit analyses that engine size is more significant than its location. Changes in aerodynamic center, CLα , and CMAC cause stability/controllability criteria to shift to the left. Heavier engines at the same spanwise location cause a more forward CG location, which may become limiting. With the engine increasing in size (thus increasing the drag and increasing the weight), the overall increase in fuel burn is 5.9%. However,
the decrease in fuel burn due when the SFC and engine effects are considered together, the fuel burn drops by 50%. The reduction in fuel burn thereby negating the increase in engine weight, drag, and integration issues.
From BPR 10 onwards, the decreasing trend in tail size stagnates and actually reverses, indicating that larger tail sizes might be required for even larger BPR engines.","engine installation penalties; Aircraft design; aero-engine integration","en","conference paper","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:6c2f08ce-c580-46a7-8d06-17a941146613","http://resolver.tudelft.nl/uuid:6c2f08ce-c580-46a7-8d06-17a941146613","Infrared thermal defect identification and reconstruction of artworks using a spatiotemporal deep neural network","Moradi, M. (TU Delft Structural Integrity & Composites); Ghorbani, R. (TU Delft Pattern Recognition and Bioinformatics); Sfarra, Stefano (University of L'Aquila); Tax, D.M.J. (TU Delft Pattern Recognition and Bioinformatics); Zarouchas, D. (TU Delft Structural Integrity & Composites)","","2022","Assessment of cultural heritage assets is now extremely important all around the world. Non-destructive inspection is essential for preserving the integrity of the artworks while avoiding the loss of any precious materials that make it up. The use of Infrared Thermography (IRT) is an interesting concept since surface and subsurface faults can be discovered by utilizing the 3D diffusion inside the object caused by external heat. The primary goal of this research is to detect defects in artworks, which is one of the most important tasks in the restoration of mural paintings. To this end, a spatiotemporal deep neural network (STDNN) is utilized for defect identification in a mock-up reproducing an artwork, taking into account both the temporal and spatial perspectives of step-heating (SH) thermography. Finally, the outcomes are compared to those of other conventional algorithms.","Deep Learning; spatiotemporal deep neural network; Infrared thermography; Damage Detection; Non-destructive method (NDT); Convolutional Neural Network (CNN); U-Net; Artworks; Cultural heritage; MLP neural network","en","conference paper","","","","","","","Campus only","","","","Structural Integrity & Composites","","",""
"uuid:18829d68-0dad-4977-a600-12a0272ebed5","http://resolver.tudelft.nl/uuid:18829d68-0dad-4977-a600-12a0272ebed5","Moral Reflection with AI Necessary or Redundant?","Suresh Iyer, A. (TU Delft Ethics & Philosophy of Technology)","Schlobach, Stefan (editor); Perez-Ortiz, Maria (editor); Tielman, Myrthe (editor)","2022","Moral support with AI has been gaining traction. The proponents of moral support with AI claim that some of the more problematic behavioural patterns of humans can be resolved with the help of AI, such as inability to extend moral concern to global level problems like climate change and refugee crisis. They offer a variety of ways of doing so- through provision of more information, or helping them work through the procedural aspect of moral decision-making, or help them work through their normative positions. I disagree with the solution being offered because I don't see this as a problem which can be solved at an individual level. As the problems they want to fix are deep, systemic, institutional, socio-political problems, which may not be fixed by a moral support with AI system.","biases; climate change; Moral support with AI","en","conference paper","IOS Press","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:5223e1d2-e876-4584-a220-21e348603c1c","http://resolver.tudelft.nl/uuid:5223e1d2-e876-4584-a220-21e348603c1c","Preliminary Design and Capacity Study of Automatic Dependent Surveillance for Drones","Vlaskin, A. (TU Delft Control & Simulation); Sun, Junzi (TU Delft Control & Simulation); Hoekstra, J.M. (TU Delft Control & Simulation)","","2022","The consumer drone sector is expected to grow rapidly in the coming decades. In Europe alone, some predictions show as many as seven million drones will be flying by 2050. This poses a challenge for surveillance. In this paper, we study an Automatic Dependent Surveillance system concept similar to the one for current aircraft surveillance, which allows the drone to broadcast information about itself without external input. The study’s main contents are threefold. The first consists of recommendations made based on literature. Then, we perform a simulation approach to examine system capacity and related constraints through a sensitivity study is done. Finally, a hardware proof-of-concept, consisting of inexpensive and simple off-theshelf components, is built and tested. We have demonstrated that such a system is indeed feasible. However, the carrier frequency and code allocation must be changed to prevent interference with the current aircraft’s automatic surveillance system. The simulation and capacity study tests the limitation of such a system in high-density scenarios, and provide recommendation for additional work on hardware, format, and modulation techniques to enable such a system. Finally, the hardware test shows that an inexpensive commercial-of-the-shelf implementation with a range of approximately 200 meters is possible, on hardware drawing less than five Watts of power.","Automatic Dependent Surveillance; UAV; U-Space; ADS-B","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-10","","","Control & Simulation","","",""
"uuid:91ba1c86-b868-4808-a8e0-840ba21b4b52","http://resolver.tudelft.nl/uuid:91ba1c86-b868-4808-a8e0-840ba21b4b52","Reflection Ptychography via Auto Differentiation on a High Harmonic EUV beamline","Weerdenburg, S. (TU Delft ImPhys/Coene group); Shao, Y. (TU Delft ImPhys/Optics); Seifert, Jacob (Debye Institute); Horsten, R.C. (TU Delft ImPhys/Optics); Coene, W.M.J.M. (TU Delft ImPhys/Optics; ASML)","","2022","We demonstrate our beamline using a table-top HHG EUV source for lensless imaging application in reflection m ode. T he s ample r eflection fu nction is reconstructed using an auto-differentiation based ptychographic algorithm built on TensorFlow platform.","","en","conference paper","Optica Publishing Group (formerly OSA)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Coene group","","",""
"uuid:78b96ff4-9a16-4cce-aba4-a0a150373b90","http://resolver.tudelft.nl/uuid:78b96ff4-9a16-4cce-aba4-a0a150373b90","Interpretable neural network with limited weights for constructing simple and explainable HI using SHM data","Moradi, M. (TU Delft Structural Integrity & Composites); Komninos, P. (TU Delft Structural Integrity & Composites); Benedictus, R. (TU Delft Structural Integrity & Composites); Zarouchas, D. (TU Delft Structural Integrity & Composites)","Kulkarni, Chetan (editor); Saxena, Abhinav (editor)","2022","Recently, companies all over the world have been focusing on the improvement of autonomous health management systems in order to enhance performance and reduce downtime costs. To achieve this, the remaining useful life predictions have been given remarkable attention. These predictions depend on the proper designing process and the quality of health indicators (HI) generated from structural health monitoring sensors based on prior established multiple prognostic evaluation criteria. Constructing such HIs from noisy sensory data demands powerful models that enable the automatic selection and fusion of features taken from those relevant measurements. Deep learning models are promising to autonomously extract features in scenarios with a huge volume of data without requiring considerable domain expertise. Nonetheless, the features established by artificial neural networks are complicated to comprehend and cannot be regarded as physical system characteristics. In this regard, the goal of this paper is to extend a new model; an interpretable artificial neural network that enables the automatic selection and fusion of features to construct the most appropriate HIs with remarkably fewer parameters. This model consists of additive and multiplicative layers that provide a feature fusion that better reflects the system’s physical properties. Additionally, the weights are discretized in two ways: a) using a ternary form with values {-1, 0, 1}, and b) relaxing the aforementioned ternary form by rounding the weights at the first decimal point in the range of [-1, 1]. Both discretization techniques have the ability to softly control the number of parameters that should be ignored. This trick guarantees interpretability for the neural network by extracting simple yet powerful equations representing the constructed HIs. Finally, the model’s performance is evaluated and compared with other approaches using a practical case study. The results show that the proposed approach's designed HIs are both interpretable and of high quality according to the criteria of the HI's evaluation.","Prognostics and Health Management (PHM); Structural Health Monitoring (SHM); Intelligent health indicator; Interpretable neural network; C-MAPSS turbofan engines; Machine learning; Artificial Intelligent (AI)","en","conference paper","PHM Society","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:b33d397c-2587-4694-ac3f-6bd3e1a9dbf5","http://resolver.tudelft.nl/uuid:b33d397c-2587-4694-ac3f-6bd3e1a9dbf5","The Dalle de Verre Lantern of Liverpool Metropolitan Cathedral: Composition and In-service Behaviour of the Epoxy Resin Mortar","Poulis, J.A. (TU Delft Adhesion Institute); Mosleh, Yasmine (TU Delft Bio-based Structures & Materials); de Bie, L. (Student TU Delft); Richings, Gareth (Purcell United Kingdom); Tennent, Norman H. (Edith O'Donnell Institute of Art History)","","2022","Arising from questions concerning the long-term stability of the mortar, this research establishes the composition of the epoxy resin mortar used for the construction of the dalle de verre lantern of Liverpool Metropolitan Cathedral. Water ingress had manifested itself early in the life of the lantern as a result of flaws in the mortar. These defects are described and illustrated herein. The paper also describes the process of characterising the epoxy resin, quartz flour, and carbon black in the lantern mortar in order to prepare mock-ups for weathering assessments to gauge the mortar’s future behaviour. The mortar specification was accomplished by archival research and personal interviews, complemented by laboratory analyses of lantern mortar samples using Fourier-transform infrared spectroscopy, Raman spectroscopy, scanning electron microscopy, and thermogravimetric analysis. The difficulties in sourcing sufficiently detailed documentary information on the original mortar components are discussed.","Dalle de verre, Stained glass, Liverpool Cathedral Lantern, Epoxy resin mortar, Degradation","en","conference paper","","","","","","","","","","","Adhesion Institute","","",""
"uuid:6e5af01c-baa3-4be7-8611-e0b676c2daf1","http://resolver.tudelft.nl/uuid:6e5af01c-baa3-4be7-8611-e0b676c2daf1","Experimental Study on Load-carrying Capacity of Orthotropic Steel-Concrete Composite deck under Combined Compression-Bending","Shi, Z. (TU Delft Steel & Composite Structures; Tongji University); Veljkovic, M. (TU Delft Steel & Composite Structures); Su, Qingtian (Tongji University; Shanghai Engineering Research Center of High Performance Composite Bridges)","Stokkeland, Stine (editor); Braarud, Henny Cathrine (editor)","2022","In order to investigate load-carrying capacity, ductility and plastic development of orthotropic steel-concrete composite deck used in composite girder cable-stayed bridge, six single-point-loaded simply-supported orthotropic steel-concrete composite decks with varying axial compressive forces and different concrete grades were designed and tested. The test results show that the failure modes of all specimens are similar and exhibit cracks of the lower concrete section combined with crushing of the upper concrete section at midspan. The axial compressive force imposed in this test has a neglected effect on elastic stiffness and vertical load-carrying capacity of tested specimens. While the axial compressive force considerably lowers the ductility and plastic development of the orthotropic steel-concrete composite deck under vertical load. Besides, improving concrete grade from C60 to C80 could not help to enhance elastic stiffness, vertical load-carrying capacity, ductility and plastic development of the composite deck.","","en","conference paper","fib. The International Federation for Structural Concrete","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-16","","","Steel & Composite Structures","","",""
"uuid:ae58263f-8732-48dc-91d4-48898852c710","http://resolver.tudelft.nl/uuid:ae58263f-8732-48dc-91d4-48898852c710","KrakenOnMem: A Memristor-Augmented HW/SW Framework for Taxonomic Profiling","Shahroodi, Taha; Zahedi, M.Z. (TU Delft Computer Engineering); Abhairaj Singh, A. (TU Delft Electrical Engineering, Mathematics and Computer Science); Wong, J.S.S.M. (TU Delft Computer Engineering); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","","2022","State-of-the-art taxonomic profilers that comprise the first step in larger-context metagenomic studies have proven to be computationally intensive, i.e., while accurate, they come at the cost of high latency and energy consumption. Table Lookup operation is a primary bottleneck of today's profilers. In this paper, we first propose TL-PIM, a hardware accelerator based on the processing-in-memory (PIM) paradigm to accelerate Table Lookup. TL-PIM leverages the in-memory compute capability of emerging memory technologies along with intelligent data mapping. Then, we integrate TL-PIM into Kraken2, a state-of-the-art metagenomic profiler, and build an HW/SW co-designed profiler, called KrakenOnMem. Results from a silicon-based prototype of our emerging memory validate the design and required operations on a smaller scale. Our large-scale calibrated simulations show that KrakenOnMem can provide an average of 61.3% speedup compared to original Kraken2 for end-to-end profiling. Additionally, our design improves the energy consumption by orders of magnitude compared to the original Kraken2 while incurring a negligible area overhead.","(Hash) table lookup; Emerging memories; In memory Processing; Kraken2; Taxonomic profiling","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:b85b2b40-8129-4703-a84c-343562b153a0","http://resolver.tudelft.nl/uuid:b85b2b40-8129-4703-a84c-343562b153a0","Improving Patient Comfort in MRI with Predictive Acoustic Noise Cancelling","Šiuryté, P. (TU Delft ImPhys/Medical Imaging); Tourais, Joao (TU Delft ImPhys/Medical Imaging); Weingärtner, S.D. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Medical Imaging)","","2022","With sound pressure levels reaching up to 130 dB, acoustic noise in Magnetic Resonance Imaging (MRI) is one of the main sources of patient discomfort in otherwise one of the safest medical imaging modalities. In this work, a noise prediction-based approach, termed predictive noise cancelling (PNC), is applied, for the first time, to suppress noise in MRI. In PN C the noise from the scanner gradient coils is predicted based on linear time-invariant models, which relate the individual gradient coil (X, Y and Z) input to the acoustic noise output. A model setup was constructed of a custom speaker box and MRI -compatible microphone to demonstrate live noise reduction. Additional tuning steps, including output channel equalization and clock mismatch correction, were performed to maximize noise reduction. A calibration sequence was designed to determine the model and tuning parameters. Analysis of actual scanner noise shows an upper limit of 21 dB noise reduction with the proposed linear model. For the components of a clinical example sequence, the setup demonstrated in-bore live noise reduction of up to 10 dB (7.01 ± 0.31 dB, 6.42 ± 2.04 dB and 9.28 ± 0.26 dB for X, Y and Z gradient coils respectively) in the presence of system imperfections. Clinical relevance - The results indicate promising noise attenuation without the need to modify scanner hardware or compromises in acquisition speed or quality. This has potential to substantially and cost effectively improve patient comfort in clinical MRI.","","en","conference paper","IEEE","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:fedae44d-5345-477a-8271-9194a28647e8","http://resolver.tudelft.nl/uuid:fedae44d-5345-477a-8271-9194a28647e8","Workshop on Human-in-the-loop Data Curation","Demartini, Gianluca (University of Queensland); Yang, J. (TU Delft Web Information Systems); Sadiq, Shazia (University of Queensland)","","2022","Although data quality is a long-standing and enduring problem, it has recently received a resurgence of attention due to the fast proliferation of data analytics, machine learning, and decision-support applications built upon the wide-scale availability and accessibility of (big) data. The success of such applications heavily relies on not only the quantity, but also the quality of data. Data curation, which may include annotation, cleaning, transformation, integration, etc., is a critical step to provide adequate assurances on the quality of analytics and machine learning results. Such data preparation activities are recognised as time and resource intensive for data scientists as data often comes with a number of challenges that need to be tackled before it can be used in practice. Data re-purposing and the resulting distance between design and use intentions of the data, is a fundamental issue behind many of these challenges. These challenges include a variety of data issues such as noise and outliers, incompleteness, representativeness or biases, heterogeneity of format or semantics, etc. Mishandling these challenges can lead to negative and sometimes damaging effects, especially in critical domains like healthcare, transport, and finance. An observable distinct feature of data quality in these contexts is the increasingly important role played by humans, being often the source of data generation and the active players in data curation. This workshop will provide an opportunity to explore the interdisciplinary overlap between manual, automated, and hybrid human-machine methods of data curation.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:98c30987-aef5-4a60-8550-65cff6066b58","http://resolver.tudelft.nl/uuid:98c30987-aef5-4a60-8550-65cff6066b58","CPT based liquefaction potential of flood defences in The Netherlands","de Gast, T. (TU Delft Geo-engineering); Gavin, Kenneth (TU Delft Geo-engineering); Notenboom, P. D. (Student TU Delft); Abraimi, R. (Student TU Delft); Reale, C. (University of Bath)","Gottardi, Guido (editor); Tonni, Laura (editor)","2022","The paper describes a study on the liquefaction potential of flood defences along the rivers running through the delta area of the Netherlands. The study concentrates on an area south of Rotterdam. The dykes used as primary flood defences protect an urban, rural, and industrial area of 102,400 ha. In this paper the data from more than 4200 Cone Penetration Tests, CPT traces are used to assess more than 200 km of dykes. The pore pressure, u2 data is analysed, then used to separate the material response into contractive and dilative zones. Using the separation of liquefaction susceptible soils, and geometry of the riverbed a regional hazard map is generated. The choices for the data visualisation and their effect on the generated map are discussed and presented. The final liquefaction susceptibility map is used by the water governing authority Waterschap Hollandse Delta as a decision-making tool to improve the efficacy of liquefaction hazard assessment such as the location and return period of bathymetry measurements, and the scale of site-and laboratory investigation.","","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","","","","","","Geo-engineering","","",""
"uuid:4747010a-5f4a-4072-8294-93fb736ac4cf","http://resolver.tudelft.nl/uuid:4747010a-5f4a-4072-8294-93fb736ac4cf","Adaptive Governance for a Resilient Digital Society","Janssen, M.F.W.H.A. (TU Delft Information and Communication Technology)","Chugunov, Andrei V. (editor); Janssen, Marijn (editor); Khodachek, Igor (editor); Misnikov, Yuri (editor); Trutnev, Dmitrii (editor)","2022","Governments are confronted with all kinds of changes in their digital environment, such as pandemics, fake news, and security breaches. These changes come with many uncertainties and are hard to predict, whereas decisions need to be made quickly to avoid a negative impact. Adaptive governance embraces uncertainty and complexity by enabling continuous learning, involving a wide range of diverse actors, and being able to react within a short time frame. Adaptive governance can be viewed as a governance philosophy based on a range of instruments to create adaptability. The conflicting values of stability and adaptability can be balanced in different ways by governments. The variety in the environment and the variety of instrument to adapt to the environment needs to be in concert. Adaptive governance instruments can be combined to increase their effectiveness and to create a resilient society. Further research in governance instruments, their usage, and their effectiveness is needed.","Adaptability; Adaptive governance; Agility; Cybersecurity; Information society; Law of requisite variety","en","conference paper","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-14","","","Information and Communication Technology","","",""
"uuid:e2a9f9ad-571e-4f2c-bdda-3163f617b73b","http://resolver.tudelft.nl/uuid:e2a9f9ad-571e-4f2c-bdda-3163f617b73b","The role of multi-fidelity modelling in adaptation and recovery of engineering systems","Teixeira, Rui (University College Dublin); Martinez-Pastor, Beatriz (University College Dublin); Nogal Macho, M. (TU Delft Integral Design & Management); Micu, Alexandra (Trinity College Dublin); O'Connor, Alan (Trinity College Dublin)","Sykora, Miroslav (editor); Lenner, Roman (editor); de Koker, Nico (editor)","2022","Significant research has been conducted in identifying optimal recovery and adaptation decisions in disruptive scenarios using engineering models. In this context, an aspect that has been target of limited research is that of response times. Modelling is expected to grow progressively more complex as it becomes more accurate. Such complexity increases modelling efforts, and the promise of optimal adaptation and recovery may become hindered. The present work discusses the role of modelling fidelities in adaptation and recovery of systems, and in particular that of using a lower fidelity model that enables zero-time analyses of a system. A framework is proposed for using different fidelities in adaptation and recovery, considering system's decision time requirements. The relevance of this analysis is researched in two traffic networks and results show that multi-fidelity models should be expected to play a key role in increasing the efficiency of optimal adaptation and recovery decisions.","Metamodels; multi-fidelity model; system adaptation; system recovery; systems","en","conference paper","Czech Sustainable Building Society Czech Technical University in Prague Klokner Institute of Czech Technical University in Prague IISBE, CIB, UNEP","","","","","","","","","","Integral Design & Management","","",""
"uuid:6169ad95-81e5-43e4-8f1e-7eb22ab47d87","http://resolver.tudelft.nl/uuid:6169ad95-81e5-43e4-8f1e-7eb22ab47d87","Energy-based system architecture design - environmental control system","Joksimović, Aleksandar (ISAE-SUPAERO); Carbonneau, Xavier (ISAE-SUPAERO); Brazier, F.M. (TU Delft System Engineering); Vingerhoeds, R.A. (ISAE-SUPAERO)","","2022","A prominent pathway for the aeronautical industry to meet contemporary challenges is to explore overall vehicular efficiency gains enabled by various functional and structural distributions and/or synergies between onboard systems. To that end, this paper combines analytical first-principle-based methods and principles of systems engineering and focuses on the Environmental Control System (ECS). The objective is to develop means for simple blank-sheet design of complete system architectures, which would help unlock potentially obscured parts of the system design space. Basic thermodynamics is employed, complemented with “Function-Behaviour-Structure-Experience” systems engineering framework. The method presented in the paper enables users to initialise the design from a primitive abstract system architecture described by elementary physical processes, and then carry out a sequence of decisions and design material systems architecture, i.e. concepts that respond to the system requirements. The preliminary results present development of architectures representative both of traditional pneumatic and innovative electrical ECS concepts. Energy consumption figures of merit (thermodynamic efficiency, exergy destruction rate) are used as guidelines during the design i.e. for a given flight condition, the designer can assess the influence of each choice on the overall system energy consumption. Trade-offs between architectural design choices and figures of merit are thus rendered transparent in preliminary architecture design. In this paper the figures of merit are based on thermodynamic energy efficiency; in perspectives the method can include other constraints such as e.g. weight, volume, cost, or other, with long-term objective of enabling a comprehensive multi-disciplinary multi-system aeroplane architecture design scheme.","Aeroplane Energy; Environmental Control System; System Architectures; Thermodynamic Cycles","en","conference paper","International Council of the Aeronautical Sciences","","","","","","","","","","System Engineering","","",""
"uuid:483c93cb-73c9-45ac-8d6c-cab35e4fa61c","http://resolver.tudelft.nl/uuid:483c93cb-73c9-45ac-8d6c-cab35e4fa61c","A Blueprint for Cyber Security of Brownfield Substations in Power Systems using IEC 62443","Subramaniam Rajkumar, Vetrivel (TU Delft Intelligent Electrical Power Grids); Musunuri, Shyam (Siemens AG); Stefanov, Alexandru (TU Delft Intelligent Electrical Power Grids); Bruijns, Siem (TenneT TSO B.V.); de Wit, J.J. (Siemens); Klaar, Danny (TenneT TSO B.V.); Louh, Amadou (Stedin); Thoen, Arnaud (Stedin); Palensky, P. (TU Delft Intelligent Electrical Power Grids)","","2022","Paper ID – 0348","Architectural Levels; Assessment of Security Levels; Cyber Security; Cyber Attacks; OT Security; IEC 62443; Digital Substations","en","conference paper","Cigré","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-26","","","Intelligent Electrical Power Grids","","",""
"uuid:3eb53668-24e2-46c6-8cc0-df94adc94d70","http://resolver.tudelft.nl/uuid:3eb53668-24e2-46c6-8cc0-df94adc94d70","Model Predictive Control with Gaussian Processes for Flexible Multi-Modal Physical Human Robot Interaction","Haninger, Kevin (Fraunhofer); Hegeler, Christian (Fraunhofer); Peternel, L. (TU Delft Human-Robot Interaction)","","2022","Physical human-robot interaction can improve human ergonomics, task efficiency, and the flexibility of automation, but often requires application-specific methods to detect human state and determine robot response. At the same time, many potential human-robot interaction tasks involve discrete modes, such as phases of a task or multiple possible goals, where each mode has a distinct objective and human behavior. In this paper, we propose a novel method for multi-modal physical human-robot interaction that builds a Gaussian process model for human force in each mode of a collaborative task. These models are then used for Bayesian inference of the mode, and to determine robot reactions through model predictive control. This approach enables optimization of robot trajectory based on the belief of human intent, while considering robot impedance and human joint configuration, according to ergonomic- and/or task-related objectives. The proposed method reduces programming time and complexity, requiring only a low number of demonstrations (here, three per mode) and a mode-specific objective function to commission a flexible online human-robot collaboration task. We validate the method with experiments on an admittance-controlled robot, performing a collaborative assembly task with two modes where assistance is provided in full six degrees of freedom. It is shown that the developed algorithm robustly re-plans to changes in intent or robot initial position, achieving online control at 15 Hz.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-12","","","Human-Robot Interaction","","",""
"uuid:8c65078d-1c1c-4cbb-a461-f8cf83b61887","http://resolver.tudelft.nl/uuid:8c65078d-1c1c-4cbb-a461-f8cf83b61887","Comparative analysis of alternative fuels for marine SOFC systems","van Veldhuizen, B.N. (TU Delft Ship Design, Production and Operations); van Biert, L. (TU Delft Ship Design, Production and Operations); Visser, K. (TU Delft Ship Design, Production and Operations); Hopman, J.J. (TU Delft Ship Design, Production and Operations)","Vladimir, Nikola (editor); Malenica, Sime (editor); Senjanovic, Ivo (editor)","2022","To continue its operations, the marine industry needs to comply with emission regulations. Solid Oxide Fuel Cells (SOFCs) are considered a promising solution, since it can generate energy athigh efficiency and low NOX, SOX and particulate matter emissions. Another advantage of SOFCsis fuel flexibility, meaning several fuels can be applied in SOFC systems. This brings up the question which fuel is most effective for a marine SOFC system. In this research, marine gas oil (benchmark), liquefied hydrogen, biodiesel, Fischer-Tropsch diesel, natural gas, methanol, dimethyl ether, and hydrogenare compared as bunker fuel. A comparison framework is proposed specialised for marine applications. The following decision criteria are selected: production capacity, volumetric/ gravimetric energy density, technological readiness, safety, fuel cost, cost of the fuel storage system, and emissions. The performance indicators are quantified for every fuel based on literature and supplier information.In the end, five alternative fuels are selected for marine SOFC systems on the selected criteria, which wille be used in further research.","Marine fuels; Power generation; SOFC; Emissions","en","conference paper","University of Zagreb","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-01","","","Ship Design, Production and Operations","","",""
"uuid:a14f9db0-fa67-48ce-b048-6cdc7179d6b5","http://resolver.tudelft.nl/uuid:a14f9db0-fa67-48ce-b048-6cdc7179d6b5","How Networked learning can facilitate professional development?","Soleymani, A. (TU Delft Web Information Systems); de Laat, Maarten (University of South Australia); Itard, L.C.M. (TU Delft Environmental & Climate Design); Specht, M.M. (TU Delft Web Information Systems)","Jaldemark, J. (editor); Håkansson Lindqvist, M. (editor); Mozelius, P. (editor)","2022","In this review article, our main goal is understanding the Networked Learnings used for professional development. Networked learning can be defined as a form of learning where information and communication technology (ICT) can be used to promote connections between learners and their peers, learners and tutors and learners and learning resources. Such networks play an important role in professional development of employees in different sectors, from high tech industries to traditional businesses, and in both formal teaching and educational programs and informal learning activities. In this review, we explore how networked learning contexts, domains, and levels of scale are practiced and reported in the academic literature. And finally, we will investigate support technologies that have been used to facilitate networked learning for professional development.","Networked learning; professional development; value creation; Technology Enhanced Learning","en","conference paper","","","","","","","","","","","Web Information Systems","","",""
"uuid:b74cc0cc-2d08-40ef-9ffd-ad11c40e313f","http://resolver.tudelft.nl/uuid:b74cc0cc-2d08-40ef-9ffd-ad11c40e313f","Balanced Dual-Mask Protection Scheme for GIFT Cipher Against Power Attacks","Aljuffri, A.A.M. (TU Delft Computer Engineering); Reinbrecht, Cezar (TU Delft Computer Engineering); Hamdioui, S. (TU Delft Computer Engineering); Taouil, M. (TU Delft Computer Engineering); Sepulveda, Johanna (Technische Universität München; AirBus Defence and Space GmbH)","","2022","Currently NIST is working towards the standardization of lightweight cryptography (LWC). Although the cryptanalytic strength of LWC is currently under deep scrutiny, the LWC implementation security has not been yet widely explored. GIFT block cipher is the main building block of many of the LWC NIST candidates and therefore has the potential to be part of the next lightweight crypto-standard. Hence it is important to understand its implementation vulnerabilities such as side-channel attacks (SCAs). Although SCAs have been evaluated for hardware implementations, no analysis or countermeasures have been proposed yet for software implementations. This work evaluates GIFT 128-bit software implementations (protected and unprotected) against power-based SCAs. Our protected implementation is based on a new lightweight countermeasure consisting of two balanced and masked SBoxes. Our results show that GIFT's SBox (or SubCell function) is vulnerable against profiled and non-profiled attacks when unprotected or protected implementations based on existing balancing or masking techniques are used. On the other hand, our proposed countermeasure that smartly combines balancing and masking offers full protection with negligible overhead.","Lightweight cipher; Side channel analysis; GIFT; Deep Learning; Countermeasure","en","conference paper","","","","","","","","","","","Computer Engineering","","",""
"uuid:13e44c38-74a8-491f-87da-7747f2d4208c","http://resolver.tudelft.nl/uuid:13e44c38-74a8-491f-87da-7747f2d4208c","It Is Like Finding a Polar Bear in the Savannah! Concept-level AI Explanations with Analogical Inference from Commonsense Knowledge","He, G. (TU Delft Web Information Systems); Balayn, A.M.A. (TU Delft Web Information Systems); Buijsman, S.N.R. (TU Delft Ethics & Philosophy of Technology); Yang, J. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems)","Hsu, Jane (editor); Yin, Ming (editor)","2022","With recent advances in explainable artificial intelligence (XAI), researchers have started to pay attention to concept-level explanations, which explain model predictions with a high level of abstraction. However, such explanations may be difficult to digest for laypeople due to the potential knowledge gap and the concomitant cognitive load. Inspired by recent work, we argue that analogy-based explanations composed of commonsense knowledge may be a potential solution to tackle this issue. In this paper, we propose analogical inference as a bridge to help end-users leverage their commonsense knowledge to better understand the concept-level explanations. Specifically, we design an effective analogy-based explanation generation method and collect 600 analogy-based explanations from 100 crowd workers. Furthermore, we propose a set of structured dimensions for the qualitative assessment of analogy-based explanations and conduct an empirical evaluation of the generated analogies with experts. Our findings reveal significant positive correlations between the qualitative dimensions of analogies and the perceived helpfulness of analogy-based explanations. These insights can inform the design of future methods for the generation of effective analogy-based explanations. We also find that the understanding of commonsense explanations varies with the experience of the recipient user, which points out the need for further work on personalization when leveraging commonsense explanations.","Human-centered Explainable AI; Analogy; Concept-level Explanation; Commonsense Knowledge","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-06","","","Web Information Systems","","",""
"uuid:6ad114bf-6c8a-4ce9-bdb2-110a1869ee66","http://resolver.tudelft.nl/uuid:6ad114bf-6c8a-4ce9-bdb2-110a1869ee66","Committee I.1 Environment","Babanin, Alexander (University of Melbourne); Bernardino, M.; von Bock und Polach, F.; Campos, R.; Ding, J.; van Essen, S.M. (TU Delft Ship Hydromechanics); Gaggero, T.; Haroutunian, M.; Katsardi, V.","Wang, Xiaozhi (editor); Pegg, Neil (editor)","2022","","","en","conference paper","","","","","","","","","","","Ship Hydromechanics","","",""
"uuid:afd99755-5ee1-40b0-abe8-fd88861b8d82","http://resolver.tudelft.nl/uuid:afd99755-5ee1-40b0-abe8-fd88861b8d82","Answer Quality Aware Aggregation for Extractive QA Crowdsourcing","Zhu, P. (TU Delft Web Information Systems); Wang, Z. (TU Delft Mathematical Physics); Yang, J. (TU Delft Web Information Systems); Hauff, C. (TU Delft Web Information Systems); Anand, A. (TU Delft Web Information Systems)","","2022","Quality control is essential for creating extractive question answering (EQA) datasets via crowdsourcing. Aggregation across answers, i.e. word spans within passages annotated, by different crowd workers is one major focus for ensuring its quality. However, crowd workers cannot reach a consensus on a considerable portion of questions. We introduce a simple yet effective answer aggregation method that takes into account the relations among the answer, question, and context passage. We evaluate answer quality from both the view of question answering model to determine how confident the QA model is about each answer and the view of the answer verification model to determine whether the answer is correct. Then we compute aggregation scores with each answer’s quality and its contextual embedding produced by pre-trained language models. The experiments on a large real crowdsourced EQA dataset show that our framework outperforms baselines by around 16% on precision and effectively conduct answer aggregation for extractive QA task.","","en","conference paper","Association for Computational Linguistics (ACL)","","","","","","","","","","Web Information Systems","","",""
"uuid:05ac2d4f-6cd7-4b07-a673-df1add00c33b","http://resolver.tudelft.nl/uuid:05ac2d4f-6cd7-4b07-a673-df1add00c33b","Sensing in the wild: A DCODE DRS Lab exploring a more-than-human approach to distributed urban sensing","Turtle, G.L. (TU Delft Human Information Communication Design); Guerrero Millan, Carlos (University of Edinburgh); Özçetin, Seda (Umeå University); Patil, M.S. (University of Applied Sciences Amsterdam); Bendor, R. (TU Delft Design Conceptualization and Communication)","","2022","The Sensing in the Wild Lab is a speculative experiment in designing a de- centralised urban sensing system from a more-than-human perspective. It is part of DCODE, an H2020-ITN project that explores the future of designing with AI. During the Lab participants assume different identities – roleplaying as children but also as moss, as municipal authorities, as CCTV cameras, as pigeons, and as undocumented immigrants trying to evade the authorities – and are asked to feed into the sensing system data that reflects their particular perspectives and interests. The data partici- pants share, in the form of an image and text uploaded to a dedicated WhatsApp channel, helps to reveal both frictions and alignments among actors. In this, the Lab offers municipalities an opportunity to shift their thinking about the future smart city from a “system of systems” that is optimised for a few city dwellers to a much more distributed, inclusive meshwork in which data is contributed, circulated, and negoti- ated by humans and nonhumans alike.","More-than-human design; Speculative design; Smart city; Artificial Intelligent (AI)","en","conference paper","Design Research Society","","","","","","","","","","Human Information Communication Design","","",""
"uuid:efad684f-b950-4caf-9100-8cb072dc3961","http://resolver.tudelft.nl/uuid:efad684f-b950-4caf-9100-8cb072dc3961","CHIME: Causal Human-in-the-Loop Model Explanations","Biswas, S. (TU Delft Web Information Systems); Corti, L. (TU Delft Web Information Systems); Buijsman, S.N.R. (TU Delft Ethics & Philosophy of Technology); Yang, J. (TU Delft Web Information Systems)","Hsu, Jane (editor); Yin, Ming (editor)","2022","Explaining the behaviour of Artificial Intelligence models has become a necessity. Their opaqueness and fragility are not tolerable in high-stakes domains especially. Although considerable progress is being made in the field of Explainable Artificial Intelligence, scholars have demonstrated limits and flaws of existing approaches: explanations requiring further interpretation, non-standardised explanatory format, and overall fragility. In light of this fragmentation, we turn to the field of philosophy of science to understand what constitutes a good explanation, that is, a generalisation that covers both the actual outcome and, possibly multiple, counterfactual outcomes. Inspired by this, we propose CHIME: a human-in-the-loop, post-hoc approach focused on creating such explanations by establishing the causal features in the input. We first elicit people's cognitive abilities to understand what parts of the input the model might be attending to. Then, through Causal Discovery we uncover the underlying causal graph relating the different concepts. Finally, with such a structure, we compute the causal effects different concepts have towards a model's outcome. We evaluate the Fidelity, Coherence, and Accuracy of the explanations obtained with CHIME with respect to two state-of-the-art Computer Vision models trained on real-world image data sets. We found evidence that the explanations reflect the causal concepts tied to a model's prediction, both in terms of causal strength and accuracy.","Explainable AI; Human-In-the-Loop; Causal Inference","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-06","","","Web Information Systems","","",""
"uuid:d740f92e-3e8d-4b85-a2b5-de86170eec03","http://resolver.tudelft.nl/uuid:d740f92e-3e8d-4b85-a2b5-de86170eec03","DIPS: Debug Intermittently-Powered Systems Like Any Embedded System","de Winkel, J. (TU Delft Embedded Systems); Hoefnagel, T.S. (Student TU Delft); Blokland, B.T. (Student TU Delft); Pawełczak, Przemysław (TU Delft Embedded Systems)","","2022","Debugging and testing battery-free intermittently-powered systems is notoriously difficult. This is not only due to the additional complexity of maintaining state through power failures but also due to the lack of proper tools to test and debug these systems. As a solution, we present DIPS: a fully-featured hardware debugger for battery-free intermittently-powered systems capable of automatically verifying memory and peripheral state between power failures. Our solution seamlessly integrates an emulator allowing for emulation of any power scenario to the device under test. This allows our debugger to pause emulation and program execution when debugging or when state restoration issues are detected. Our new system is built around GNU Debugger (GDB): a widely-used debugging tool. Therefore, DIPS allows for a debugging process identical to state-of-the-art debuggers for continuously-powered devices. User studies found that our debugger is easy and intuitive to use. It allows embedded system developers to find bugs quicker in code written for battery-free devices. With our debugger we found unseen errors in state-of-the-art software frameworks for intermittently-powered systems.","Debugging; Software Testing; Emulation; Intermittent Systems","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Embedded Systems","","",""
"uuid:273dfa00-466e-4516-9ef4-bed290474a8a","http://resolver.tudelft.nl/uuid:273dfa00-466e-4516-9ef4-bed290474a8a","Investigation of geometrical properties of single-phase local resonators in the formation of bandgaps in three-dimensional elastic metamaterials","Azevedo Vasconcelos, A.C. (TU Delft Transport Engineering and Logistics); Jovanova, J. (TU Delft Transport Engineering and Logistics)","Bittencourt, M.L. (editor); Labaki, J. (editor); Vieira Jr., L.C.M. (editor); Mesquita, E. (editor)","2022","Elastic metamaterials – man-made resonant structures exhibiting unusual functionalities – have shown promising results for controlling structural vibration, specially at a low frequency regime. Such functionalities rely on the presence of resonant bandgaps, which consists of a frequency band where waves cannot propagate in response to the out-of-phase motion of the local resonators. Usually, the contrast between the properties of different material phases in such resonators results on the resonant effect, however, the manufacturing of such multi-phase structures is challenging and can be a high-cost process. This work proposes a parametric investigation of an elastic metamaterial constituted by single-phase local resonators. The bandgap formation in such structure depends on the geometrical properties of the resonators, instead of the material parameters. This analysis allows us to understand which geometrical features are sensitive to the position of the resonant bandgaps and its width. Designing such single-phase resonators provides an alternative to manufacture low-cost structures for engineering application.","locally resonant bandgap; single-phase resonator; elastic metamaterial","en","conference paper","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:1eac1bf0-b595-4393-a994-053758e91006","http://resolver.tudelft.nl/uuid:1eac1bf0-b595-4393-a994-053758e91006","Psychoacoustic model for predicting wind turbine noise annoyance","Merino Martinez, R. (TU Delft Aircraft Noise and Climate Effects); Pieren, Reto (Swiss Federal Laboratories for Materials Science and Technology (Empa)); Schäffer, Beat (Swiss Federal Laboratories for Materials Science and Technology (Empa)); Simons, D.G. (TU Delft Aircraft Noise and Climate Effects)","","2022","Noise annoyance caused by wind turbines is a critical issue for the societal acceptance of wind energy. Wind turbine noise exposure is typically assessed using conventional time–averaged metrics, however, the literature suggests that these metrics do not fully capture the sound properties responsible for the perceived noise annoyance. Therefore, it is questionable to assess wind turbine noise and its abatement strategies using only such metrics. This paper presents a novel psychoacoustic model for predicting wind turbine noise annoyance that combines perception–based sound quality metrics. To establish the psychoacoustic model, the synthetic sound signals of two different wind turbines equipped with four state–of–the–art noise reduction add–ons (two types of trailing–edge serrations and two types of trailing–edge permeable materials inserts) were studied. Using a parametric wind turbine noise generator, the simulated sound signals were auralized at different observer positions and their noise annoyance was evaluated in two laboratory listening experiments with 16 and 10 subjects, respectively. The psychoacoustic annoyance model proposed here provides a very close agreement with the results of the listening experiment and improved accuracy compared to conventional sound metrics.","Wind turbine noise; Psychoacoustics; Noise annoyance","en","conference paper","","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:f3ceec2a-56ac-4620-ac5f-98e94cb031e8","http://resolver.tudelft.nl/uuid:f3ceec2a-56ac-4620-ac5f-98e94cb031e8","Ripple reduction in piezoelectric micropumps by phased actuation in parallel and damping","Özkayar, G. (TU Delft Micro and Nano Engineering); Lötters, J.C. (TU Delft Precision and Microsystems Engineering; Bronkhorst High-Tech BV); Tichem, M. (TU Delft Micro and Nano Engineering); Ghatkesar, M.K. (TU Delft Micro and Nano Engineering)","","2022","Piezoelectric micropumps enable miniaturization in microfluidics for lab-on-a-chip applications such as Organs-on-chips (OoC). However, achieving a steady flow with these micropumps is a significant challenge because of the reciprocating motion of the displacing component. Although dampers are widely preferred for reducing ripples, they are not efficient at low flow rates. Here, we propose a phased-actuation of piezoelectric micropumps connected in parallel and a damper to minimize ripples at low flow rates. We are able to reduce ripples by 80% with our proposed configuration compared to a micropump-only configuration between 10-50 μl/min flow rate range.","piezoelectric micropumps; ripples; low flow rate; damping","en","conference paper","","","","","","","","","","Precision and Microsystems Engineering","Micro and Nano Engineering","","",""
"uuid:aeda9ccf-5081-4cd9-994d-914738fad579","http://resolver.tudelft.nl/uuid:aeda9ccf-5081-4cd9-994d-914738fad579","Self-Supervised Class-Cognizant Few-Shot Classification: 2022 IEEE International Conference on Image Processing (ICIP)","Shirekar, O.K. (TU Delft Pattern Recognition and Bioinformatics); Jamali-Rad, H. (TU Delft Pattern Recognition and Bioinformatics; Shell Global Solutions B.V.)","","2022","Unsupervised learning is argued to be the dark matter of human intelligence. To build in this direction, this paper focuses on unsupervised learning from an abundance of unlabeled data followed by few-shot fine-tuning on a downstream classification task. To this aim, we extend a recent study on adopting contrastive learning for self-supervised pre-training by incorporating class-level cognizance through iterative clustering and re-ranking and by expanding the contrastive optimization loss to account for it. To our knowledge, our experimentation both in standard and cross-domain scenarios demonstrate that we set a new state-of-the-art (SoTA) in (5-way, 1 and 5-shot) settings of standard mini-ImageNet benchmark as well as the (5-way, 5 and 20-shot) settings of cross-domain CDFSL benchmark. Our code and experimentation can be found in our GitHub repository: https://github.com/ojss/c3lr.","Few-shot classification; self-supervised learning; contrastive learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pattern Recognition and Bioinformatics","","",""
"uuid:c95b9690-e718-4a8f-a1df-9e4f0226f5d5","http://resolver.tudelft.nl/uuid:c95b9690-e718-4a8f-a1df-9e4f0226f5d5","The TACTIDE EU STEM project: TeAching Computational Thinking with Digital dEvices","Jansen, Marc (University of Applied Sciences Ruhr West); Fanchamps, L.J.A. (Open University of the Netherlands); Milrad, Marcelo (Linnaeus University); Specht, M.M. (TU Delft Web Information Systems); Hamidi, Ali (Linnaeus University)","","2022","One major challenge the educational community is facing relates to how to effectively integrate computational thinking (CT) concepts and ideas into a particular school curriculum. Acquiring CT-skills by means of STEM offers rich opportunities within students´ education which may lead to learning gains. Previous research has shown that, to maximize the appeal and potential of CT learning environments, a precondition must be set first. The materials used must invite problem-based, inquiry-based and self-discovery learning, must be used without creating misconceptions and, above all, must give students the opportunity to acquire knowledge that can be directly transferred to everyday practice in an accessible manner. All the above puts demands on teachers who carry out learning and teaching in these environments. The EU funded TACTIDE project has tried to incorporate relevant curricular components into a coherent task, implementing assignments and challenges across different subjects and curricula of three different European countries. Based on the analysis of each national curricula, common topics have been identified and sub-scenarios have been developed. These sub-scenarios have been conceived to promote the integration between the topics mediated by CT. To achieve this objective, a greenhouse scenario has been conceptualized and designed towards teaching CT, by the use of microcontrollers such as the BBC micro:bit and the Calliope Mini, as an overarching STEM-topic. Using available sub-scenarios, a Moodle-course for teachers was developed for daily school activities to which other subjects in the core curriculum were interconnected in order to integrate CT skills and abilities. Scalability across different school levels and heterogeneous groups of learners, especially focusing prior knowledge, have been considered important design elements.","Computational Thinking; teachers; curriculum; STEM; learning scenarios","en","conference paper","","","","","","","","","","","Web Information Systems","","",""
"uuid:3c72082e-7c3e-464f-aa02-51029c09e3aa","http://resolver.tudelft.nl/uuid:3c72082e-7c3e-464f-aa02-51029c09e3aa","A Review of Reviews on Computational Thinking Assessment in Higher Education","Zhang, X. (TU Delft Web Information Systems); Specht, M.M. (TU Delft Web Information Systems)","","2022","There is an urgent need for educating the next generation of learners with digital tools and making use of digital practices and skills. Education on computational thinking (CT) is widespread around the world with a dominant focus on K- 12. Recently also higher education has come more to the focus of CTE. However, most of the work on CT in higher education has been focused on teaching and learning programming while less attention has been paid to the underlying skills and competences of CT in different domains. In this article 11 reviews were analyzed to identify constructs being assessed, methods and their characteristics for the delivery of assessment and the context in which the assessment were conducted. The findings indicate that there is certain consensus in the field on what constructs to measure. Last but not least, it was determined from our study that there are often no standards or principles followed for the design of assessment.","Computational Thinking; Assessment; Higher Education; Literature Review","en","conference paper","","","","","","","","","","","Web Information Systems","","",""
"uuid:aa066fbe-6a32-4d61-8101-8361dae4047f","http://resolver.tudelft.nl/uuid:aa066fbe-6a32-4d61-8101-8361dae4047f","Comparison of 1D and 2D liquefaction assessment methods considering soil spatial variability","Gonzalez Acosta, J.L. (TU Delft Geo-engineering); van den Eijnden, A.P. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering)","Huang, Jinsong (editor); Griffiths, D.V. (editor); Jiang, Shui-Hua (editor); Giacomini, Anna (editor); Kelly, Richard (editor)","2022","1D soil column techniques are widely used to evaluate the potential of liquefaction in a system of soil layers. This approach generally leads to large inaccuracies since (1) soil layers are hardly homogeneous and perfectly horizontal and (2) horizontal effects are neglected. To demonstrate the limitation of 1D strategies and the need for 2D simulations, a series of benchmark problems are proposed and studied considering a fully coupled RFEM framework with small strain effects to account for cyclic behavior. First, a 1D simulation of a homogeneous material is tested against similar 1D problems including the spatial variation of soil properties (in this case void ratio). Then, a 2D domain is analyzed using the void ratio distribution obtained from combining the 1D columns. This investigation demonstrates that, by combining the effects of the horizontal direction and the spatial distribution of the soil properties, liquefaction triggering, spatial spreading and propagation extent may change significantly.","coupled behavior; earthquakes; hypoplasticity; liquefaction; random fields","en","conference paper","Research Publishing","","","","","","","","","","Geo-engineering","","",""
"uuid:6b88fb31-8e25-4c67-a9c1-943c1321f9e7","http://resolver.tudelft.nl/uuid:6b88fb31-8e25-4c67-a9c1-943c1321f9e7","Courage to leave gaps in landscape architecture education","Bobbink, I. (TU Delft Landscape Architecture)","","2022","Digitalisation in landscape architecture education has changed the assignments students receive, how they work, are supervised, and their learning output. During the 27 years I have been teaching, design assignments have become more complex; due to increasing knowl¬edge and information gathering, the pressing challenges that society faces, and the vast possibilities that digital tools offer. As a result, the discipline changed from a design-orient¬ed practised, coping primarily with questions on aesthetics of space, to a field expected to contribute to solving today's problematic relationship between humans and nature using the most advanced digital data and tools. These digital tools, like the hand drawings, need much practice and are not always content-driven since the produced images look polished, often not leaving an opening for discussion.
Nevertheless, the length of study has not increased; quite the contrary. Therefore, be¬cause of the expansion of the discipline, educators must be selective about the educational program they offer. At TU Delft, for example, the master track of Landscape Architecture focuses on design. In the first year, the program strictly teaches disciplinary knowledge and skills and challenges the students to become critical thinkers in their graduation year. Students themselves formulate their graduation assignment within an offered research top¬ic. To do so, they must reflect on their discipline, knowledge and skills and question every step they take. We consciously ask them to leave gaps and tell them to limit themselves. Other schools focus on other topics, and their students gather different knowledge. In the Netherlands, three Landscape Architecture schools provide three various programs. Ideally, all schools cover the discipline's breadth based on the general fundamentals of Landscape Architecture. After graduation, our alumni are ready to contribute to disciplinary, interdisci¬plinary, or transdisciplinary collaborations to solve complex assignments and create new healthy environments open for change.","","en","conference paper","University of Ljubljana","","","","","","","","","","Landscape Architecture","","",""
"uuid:8317c7fd-f63e-45cc-bda1-70ebc42d4aec","http://resolver.tudelft.nl/uuid:8317c7fd-f63e-45cc-bda1-70ebc42d4aec","Novel metrics to evaluate probabilistic remaining useful life prognostics with applications to turbofan engines","de Pater, I.I. (TU Delft Air Transport & Operations); Mitici, M.A. (TU Delft Air Transport & Operations)","Do, Phuc (editor); Michau, Gabriel (editor); Ezhilarasu, Cordelia (editor)","2022","Well-established metrics such as the Root Mean Square Error or the Mean Absolute Error are not suitable to evaluate estimated distributions of the Remaining Useful Life (i.e., probabilistic prognostics). We therefore propose novel metrics to evaluate the quality of probabilistic Remaining Useful Life prognostics. We estimate the distribution of the Remaining Useful Life of turbofan engines using a Convolutional Neural Network with Monte Carlo dropout. The accuracy and sharpness of the obtained probabilistic prognostics are evaluated using the Continuous Ranked Probability Score (CRPS) and weighted CRPS. The reliability of the obtained probabilistic prognostics is evaluated using the α-Coverage and the Reliability Score. The results show that the estimated distributions of the Remaining Useful Life of turbofan engines are accurate, reliable and sharp when using a Convolutional Neural Network with Monte Carlo dropout. In general, the proposed metrics are suitable to evaluate the accuracy, sharpness and reliability of probabilistic Remaining Useful Life prognostics.","","en","conference paper","PHM Society","","","","","","","","","","Air Transport & Operations","","",""
"uuid:c950f39c-0f4c-43a0-bd01-ddb523303968","http://resolver.tudelft.nl/uuid:c950f39c-0f4c-43a0-bd01-ddb523303968","A review of the potential of hydrogen carriers for zero emission, low signature ship propulsion systems","Van Rheenen, E.S. (TU Delft Ship Design, Production and Operations); Padding, J.T. (TU Delft Complex Fluid Processing); Slootweg, J.C. (Universiteit van Amsterdam); Visser, K. (TU Delft Ship Design, Production and Operations)","","2022","Increasing pressure on the reduction or elimination of the use of fossil fuels in shipping requires the application of new maritime fuel alternatives. Green and circular produced hydrogen as a maritime fuel in fuel cell systems offers a great solution for these concerns. A fuel cell system has a zero emission performance, solid state silent process cycle, graceful degradation and no single point of failure. From a naval perspective, these characteristics very much support operational requirements like a silent propulsion and very low thermal and acoustic signatures as well as the possibility of an air independent system. Storage of hydrogen, however, is an issue. Traditional hydrogen storage in gas or liquefied aggregation has low volumetric density, low flame point, fire and explosion risks and transport challenges. The aim of this literature review is to investigate several hydrogen carriers and evaluate their characteristics on maritime and naval performance. This includes their volumetric and gravimetric density, dehydrogenation process, safety, logistic availability and handling. Over 15 different (types of) hydrogen carriers have been researched. Borohydrides, specifically sodium borohydride appeared to have several advantages, but still has issues with its hydrogenation process and handling due to it being a solid. The liquid organic hydrogen carrier dibenzyl toluene, on the other hand, does not meet the required energy density, but does have favourable additional properties, such as easy hydrogenation and good handling. Both of these are also subject of current research and development: For example, Hydrogenious LOHC Maritime AS, in combination with �stensj? Rederi, is working on a megawatt application for maritime, which should be finished in 2025. The Dutch government funds the SH2IPDRIVE project and the European Interreg North West Europe organization funds the H2SHIPS research project to analyse the shipboard use of these hydrogen carriers and to establish the design and engineering optimization opportunities.","Hydrogen; hydrogen carriers; Solid hydrogen carriers; Liquid hydrogen carriers; Maritime transportation","en","conference paper","IMarEST","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:445936c7-1be7-4001-8a7b-d6d9837b9d7f","http://resolver.tudelft.nl/uuid:445936c7-1be7-4001-8a7b-d6d9837b9d7f","A Tactical Conflict Resolution Method for UAVs in Geovectored Airspace","Giliam, M.A. (Student TU Delft); Ellerbroek, Joost (TU Delft Control & Simulation); Badea, C. (TU Delft Control & Simulation); Morfin Veytia, A. (TU Delft Control & Simulation); Hoekstra, J.M. (TU Delft Control & Simulation)","","2022","In order to enable the safe and efficient integration of Unmanned Aerial Vehicles into very low level airspace, current day research focuses on the development of new traffic services and procedures. One of these is the geovectoring protocol, which aims to reduce traffic complexity by setting limits on the allowed ground speed, course, and vertical speed. A geovector can be used to increase the capacity of an airspace by lowering the conflict rate. However, problems emerge when performing avoidance maneuvers in geovectored airspace, as the limits are ignored in this process. A powerful conflict resolution algorithm is the Modified Voltage Potential (MVP). This paper proposes an extension to the MVP algorithm, based on Velocity Obstacle theory. Making use of an alternative horizontal conflict resolution maneuver which respects the geovector, five resolution strategies are defined with different priority settings for the separate limits. The performance of these strategies is compared to pure MVP on geovector, safety, and stability measures, making use of fast-time simulations in a corridor airspace. All geovector resolution strategies show improvements on the ability to perform conflict resolution maneuvers within the geovector limits, while having marginal effects on the overall airspace safety level. It is recommended to further investigate the performance of the geovector resolution strategies for other types of airspace, to verify whether the observed reduction in conflict rate from the geovectors can be reinforced by the resolution strategies.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:55d1c77b-1ec1-483b-a7f2-f01f5881e159","http://resolver.tudelft.nl/uuid:55d1c77b-1ec1-483b-a7f2-f01f5881e159","Improving Conflict Prevention in Constrained Very Low-Level Urban Airspace, U-Space","Morfin Veytia, A. (TU Delft Control & Simulation); Ellerbroek, Joost (TU Delft Control & Simulation); Hoekstra, J.M. (TU Delft Control & Simulation)","","2022","The rate of urbanization is expected to continue increasing. This has led to an interest in using drones and air taxis for urban transportation in place of the current methods, which often lead to road congestion. In most places urban air operations will happen above buildings. However, in many cities with large skyscrapers it may not be efficient to fly above buildings as it would add travel distance. For these cases, aircraft will have to operate in constrained airspace (above roads and between buildings). There is still a knowledge gap for operating in constrained very low-level urban airspace. Most studies attempt to improve the safety in constrained airspace with strategic or tactical conflict resolution. But this may not be enough to ensure safety in highly-dense urban environments. The restriction of heading manoeuvres by buildings substantially limits the solution space for conflict resolution. Therefore, conflict prevention with airspace design can be an important tool for improving airspace safety. In a layered airspace, turn layers can be used so that turning aircraft do not create bottlenecks for cruising aircraft that may be behind it. However, merging conflicts can occur when these turning aircraft attempt to re-enter cruising layers. These are typical in both orthogonal (New York) and non-orthogonal (Paris) street networks. Non-orthogonal street networks can also create merging conflicts because it is not always possible to segment cruising aircraft at intersections. This work will propose two conflict prevention doctoral research experiments that aim to reduce merging conflicts. The first will use three different layering techniques to reduce merging conflicts created by turn layers. The second will focus on merging conflicts that are typical of non-orthogonal networks.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:1c6d41b0-1ed1-4c1c-a8cf-e58b82f4a08c","http://resolver.tudelft.nl/uuid:1c6d41b0-1ed1-4c1c-a8cf-e58b82f4a08c","Enabling Safe and Efficient Separation through Multi-Agent Reinforcement Learning","Groot, D.J. (TU Delft Control & Simulation); Ellerbroek, Joost (TU Delft Control & Simulation); Hoekstra, J.M. (TU Delft Control & Simulation)","","2022","Over the next decades, it is expected that the number of unmanned aerial vehicles (UAVs) operating in the airspace will grow rapidly. Both the FAA (Federal Aviation Administration) and the ICAO (International Civil Aviation Organisation) have already stated that aircraft operating autonomously or beyond their operators’ line of sight are required to have detect and avoid capabilities. At higher traffic densities these avoidance manoeuvres can, however, lead to instabilities within the airspace, causing emergent patterns that lead to knock-on effects that can harm the safety of the operations. It might be possible to formulate a cost function that encapsulates global safety, rather than individual safety, stimulating both safety and stability. One method that lends itself for optimizing such a cost function is cooperative Multi-Agent Reinforcement Learning (MARL). It has been demonstrated that MARL can be used for optimization in both competitive and cooperative (or even mixed) environments, however, when applied in a completely decentralized manner, stability issues often arise. It is therefore proposed to investigate the application of MARL for a well known centralized domain, ATC for manned aviation. This doctoral paper breaks down the proposed research project into 4 independent phases that individually contribute to the knowledge of applying MARL for ATC.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:0762086b-2333-48f9-b458-4676b08d506a","http://resolver.tudelft.nl/uuid:0762086b-2333-48f9-b458-4676b08d506a","Conflict Prevention, Detection, and Resolution in Constrained Very Low-Level Urban Airspace","Badea, C. (TU Delft Control & Simulation); Ellerbroek, Joost (TU Delft Control & Simulation); Hoekstra, J.M. (TU Delft Control & Simulation)","","2022","The interest for using small aircraft for missions in urban airspace is growing for applications like parcel deliveries. Research shows that conventional airspace structure and conflict detection and resolution techniques are not suitable for main- taining a high level of safety in constrained urban environments, especially when aircraft are restricted to flying within the limits of the road network. The problem at hand becomes even more complex when factoring in cities with topologically organic street networks, thus increasing the probability of crossing and merging traffic flows. Preliminary results show that such networks induce the detection of false-positive conflicts when using classical state- based conflict detection, decreasing the effectiveness of conflict resolution. Velocity-obstacle based conflict resolution methods were able to improve airspace safety, but require further de- velopment in order to handle conflicts in such an unpredictable and constrained environment. Thus, the doctoral project at hand seeks to develop and research improved methods for conflict prevention, detection and resolution in constrained, urban, very low-level urban airspace.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:c16a2a19-9509-474b-9655-23ec51cd6552","http://resolver.tudelft.nl/uuid:c16a2a19-9509-474b-9655-23ec51cd6552","Dune erosion during storm surges: The realdune/reflex experiment at the sand engine","van Wiechen, P.P.J. (TU Delft Coastal Engineering); Rutten, J. (TU Delft Environmental Fluid Mechanics); Mieras, Ryan (University of North Carolina Wilmington); Anarde, Katherine (North Carolina State University); Wrobel, Magda (North Carolina State University); Tissier, M.F.S. (TU Delft Environmental Fluid Mechanics); de Vries, S. (TU Delft Coastal Engineering)","Strypsteen, Glenn (editor); Roest, Bart (editor); Bonte, Dries (editor); Rauwoens, Pieter (editor)","2022","Storm conditions can lead to excessive dune erosion with potential floods as a consequence. Barrier islands and low-lying countries protected by dunes are especially vulnerable to dune erosion. To properly assess the risks these areas face, a clear understanding of the physical processes during dune erosion is required.
An international field experiment was conducted to study dune erosion during storm surges from November 6 2021 until January 6 2022. on the Sand Engine. During the Realdune/Reflex experiment, two prototype un-vegetated dunes of 5.5 m high and 150 m long were built just above the high waterline. Due to a different shoreline orientation and nearshore bathymetry, these dunes eroded differently during moderate storm conditions. 3 storms were captured during the campaign.
This abstract presents preliminary results of morphodynamic change during these 3 storms, by means of profile changes and erosion volumes.","Marine; Coastal","en","conference paper","Vlaams Instituut voor de Zee","","","","","","","","","","Coastal Engineering","","",""
"uuid:90dbae83-750a-4978-8e78-5a15c8085a06","http://resolver.tudelft.nl/uuid:90dbae83-750a-4978-8e78-5a15c8085a06","Simulation of medium to long-term dune evoloution with interacting marine and aeolian sediment transport processes","Hallin, E.C. (TU Delft Coastal Engineering); Huisman, Bas (Deltares); Krijnen, Ine (Student TU Delft); de Vries, S. (TU Delft Coastal Engineering)","Strypsteen, Glenn (editor); Roest, Bart (editor); Bonte, Dries (editor); Rauwoens, Pieter (editor)","2022","In recent years, dune-in-front-of-dike projects have been carried out at several locations, e.g. at Raversijde and Oosteroever in Belgium and the Hondsbossche dunes in the Netherlands. In the near future, many coastal defence systems require reinforcement to adapt to rising sea levels, and often, natural values along the coasts may also be enhanced. Therefore, it is anticipated that this type of hybrid coastal protection – a mix of grey and green solutions – will become more common in the future. Contrary to grey defence structures, such as earth dikes and rock or concrete structures, dunes are dynamic features. Their level of flood protection depends on their morphological evolution due to aeolian and marine transport processes, vegetation dynamics, and anthropogenic impact.
Numerical models are commonly used tools to assess the safety level of dunes and predict their future evolution. In addition to event timescales (storms), the decadal timescale is typically of interest from a coastal management perspective, especially when considering sea level rise. On this timescale, dune build-up through aeolian transport depends on the wind's transport capacity, and the availability of sediment of the appropriate size exposed to the wind is an important process. Sediment availability for aeolian transport is controlled by other sediment transport processes, such as dune erosion and longshore sediment transport, nourishments, and limiting factors, such as surface moisture and armour layers.
Simulation of dune evolution at the decadal timescale requires an integrated model approach that accounts for the non-linear interactions between marine and aeolian transport processes in the longshore and cross-shore direction. Reduced complexity approaches are required when these models are applied to large temporal (decades) and spatial scales (kilometres).
This study aims to predict medium to long-term dune evolution by developing a new coupled long- term beach and dune evolution model, coDaC (coupled Dunes and Coasts ). The new model combines a semi-empirical cross-shore transport model, the CS-model (Hallin et al. 2019a), with a longshore transport and coastline evolution model, Unibest CL+ (Figure 1). The coupled model is applied to simulate 22 years of morphological dune evolution along an 8 km-long coastal stretch at the Kennemer Dunes in the Netherlands.","Marine; Coastal","en","conference paper","Vlaams Instituut voor de Zee","","","","","","","","","","Coastal Engineering","","",""
"uuid:4ca4464d-82e4-4df1-b327-efcdb10634aa","http://resolver.tudelft.nl/uuid:4ca4464d-82e4-4df1-b327-efcdb10634aa","The influence of dune pavilions on longer term dune development","Vos, S.E. (TU Delft Coastal Engineering); van IJzendoorn, Christa (TU Delft Coastal Engineering); Kuschnerus, M. (TU Delft Optical and Laser Remote Sensing); de Vries, S. (TU Delft Coastal Engineering)","Strypsteen, Glenn (editor); Roest, Bart (editor); Bonte, Dries (editor); Rauwoens, Pieter (editor)","2022","The European beach-dune systems are under increasing pressure due to urbanization, beach tourism and the effects of climate change like rising sea level and increased storm intensity. Building with nature solutions (Stive et al., 2013) are advocated as an effective and adaptable approach to protect sandy coasts in the future. This approach however interacts with the increased human use of the beaches- which can have an adverse impact on the efficiency of the building with nature approach. Especially permanent structures influence the natural sand transport dynamics from the beach to the dunes and can have long lasting effects on dune development.
To obtain more insight into the influence of buildings on longer term dune development a 3-months ‘Scanex 2020’ field campaign was conducted (Poppema et al., 2021) on Noordwijk beach (52.24 °N, 4.42 °E) to monitor the natural sand development around two sea containers (see Figure 1). In addition on a larger scale the dune development around a permanent beach pavilion was monitored for two years (from August 2019 till August 2021) within the CoastScan project (Vos et al., 2017) with a permanent laser scanner.","Marine; Coastal","en","conference paper","Vlaams Instituut voor de Zee","","","","","","","","","","Coastal Engineering","","",""
"uuid:315e51e3-98b1-4adf-874d-606020362826","http://resolver.tudelft.nl/uuid:315e51e3-98b1-4adf-874d-606020362826","Using Relative State Transformer Models for Multi-Agent Reinforcement Learning in Air Traffic Control","Groot, D.J. (TU Delft Control & Simulation); Ellerbroek, Joost (TU Delft Control & Simulation); Hoekstra, J.M. (TU Delft Control & Simulation)","","2022","Deep Reinforcement Learning has seen more usage in the field of Air Traffic Control over the last couple of years. As the number of aircraft in a given sector of airspace is not constant, there is a need for methods to be invariant to the number of agents in the system. Often this is done by making a selection of the aircraft that will be included in the state, which introduces human biases. Another option that has been used is Recurrent Neural Networks to process the entire sequence of aircraft present. These methods however are sequence-dependent and can give different results depending on the order that the aircraft are given, which is undesirable. Methods that solely rely on attention mechanisms, such as transformers, allow sequential data to be processed in a sequence-invariant manner by using multi-head attention mechanisms. However, because traditional Transformers operate on individual tokens, this does not allow for relative state information to be encoded into the hidden state. This paper shows that by performing a transformation operation on the key and value tokens, it is possible to use Transformers on relative states, at the cost of a factor (N-1) additional attention computations, where N is the number of agents in the system. This adaptation allows relative state Transformers to obtain significantly higher performance than standard Transformers. The results also showed that using attention mechanisms to construct the initial observation vector out of a total of 20 agents results in similar, but slightly lower, performance to handcrafted observation vectors, without requiring manual selection of the important agents. Future research should investigate whether additional changes to the attention mechanisms and their training can result in higher performance.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:3a983063-31cd-4e5d-a407-60a0ce641cda","http://resolver.tudelft.nl/uuid:3a983063-31cd-4e5d-a407-60a0ce641cda","Beyond global gains and local pains: Spatial inequality of hinterland logistics","Nefs, Merten (TU Delft Spatial Planning and Strategy)","","2022","Trade infrastructure and logistical activities have long been a source of prosperity as well as nuisance. The gains and pains of logistics, however, are not distributed equally across regions and cities. Important trade hubs such as Rotterdam or Chicago have built strong trade institutions and accumulated urban wealth, hereby making a successful trade-off between the global gains of trade and the local pains of congestion and pollution (Cronon, 1991; Kuipers et al., 2018). Since the rise of global supply chains, such hubs have grown beyond their city boundaries and formed logistical hinterlands. These extensive areas appear to represent a less favourable trade-off between gains and pains, judging by the increasing criticism against distribution centre developments, regarding landscape degradation, congestion (CRa et al., 2019) and precarious jobs (Bergeijk, 2019). In the hinterland of Rotterdam, the building footprint of logistics has increased fourfold since 1980 (Nefs, 2022), while congestion and labour shortages have also increased steeply and the planning system has been decentralized, giving more responsibility to local governments (Nefs et al., 2022). This paper discusses whether hinterland logistics can be regarded as a spatial justice issue, and how this may be reflected in the local spatial planning discourse.","spatial inequality; hinterland logistics; trade infrastructure; congestion; pollution; spatial justice","en","conference paper","AESOP","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:e124bcae-d7b3-42d9-8068-d7e8d60f432a","http://resolver.tudelft.nl/uuid:e124bcae-d7b3-42d9-8068-d7e8d60f432a","Gesticulate for Health’s Sake! Understanding the Use of Gestures as an Input Modality for Microtask Crowdsourcing","Allen, G.M. (TU Delft Web Information Systems); Hu, Andrea (Student TU Delft); Gadiraju, Ujwal (TU Delft Web Information Systems)","Hsu, Jane (editor); Yin, Ming (editor)","2022","Human input is pivotal in building reliable and robust artificial intelligence systems. By providing a means to gather diverse, high-quality, representative, and cost-effective human in put on demand, micro task crowdsourcing marketplace shave thrived. Despite the unmistakable benefits available from online crowd work, the lack of health provisions and safeguards, along with existing work practices threatens the sustainability of this paradigm. Prior work has investigated worker engagement and mental health, yet no such investigations into the effects of crowd work on the physical health of workers have been undertaken. Crowd workers complete their work in various sub-optimal work environments, often using a conventional input modality of a mouse and keyboard. The repetitive nature of micro task crowdsourcing can lead to stress-related injuries, such as the well-documented carpal tunnel syndrome. It is known that stretching exercise scan help reduce injuries and discomfort in office workers. Gestures, the act of using the body intentionally to affect the behavior of an intelligent system, can serve as both stretches and an alternative form of input for micro tasks. To better understand the usefulness of the dual-purpose in put modality of ergonomically-informed gestures across different crowd sourced micro tasks, we carried out a controlled 2 × 3 between-subjects study (N=294). Considering the potential benefits of gestures as an input modality, our results suggesta real trade-off between worker accuracy in exchange for potential short to long-term health benefits.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-22","","","Web Information Systems","","",""
"uuid:92b07c5d-d387-47ca-b542-aba467a34e2e","http://resolver.tudelft.nl/uuid:92b07c5d-d387-47ca-b542-aba467a34e2e","Copy-Pasting Coherent Depth Regions Improves Contrastive Learning for Urban-Scene Segmentation","Zeng, L. (Student TU Delft); Lengyel, A. (TU Delft Pattern Recognition and Bioinformatics); Tömen, N. (TU Delft Pattern Recognition and Bioinformatics); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","","2022","In this work, we leverage estimated depth to boost self-supervised contrastive learning for segmentation of urban scenes, where unlabeled videos are readily available for training self-supervised depth estimation. We argue that the semantics of a coherent group of pixels in 3D space is self-contained and invariant to the contexts in which they appear. We group coherent, semantically related pixels into coherent depth regions given their estimated depth and use copy-paste to synthetically vary their contexts. In this way, cross-context correspondences are built in contrastive learning and a context-invariant representation is learned. For unsupervised semantic segmentation of urban scenes, our method surpasses the previous state-of-the-art baseline by +7.14% in mIoU on Cityscapes and +6.65% on KITTI. For fine-tuning on Cityscapes and KITTI segmentation, our method is competitive with existing models, yet, we do not need to pre-train on ImageNet or COCO, while we are also more computationally efficient. Our code is available on https://github.com/LeungTsang/CPCDR.","","en","conference paper","BMVA Press","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:d1a36f05-afa7-4ba4-8093-beeccc02aaac","http://resolver.tudelft.nl/uuid:d1a36f05-afa7-4ba4-8093-beeccc02aaac","Bio-Futures for Transplanetary Habitats: A Summary and Key Outcomes from the 2022 Symposium","van Ellen, Layla A. (Newcastle University); Belling, Anne-Sofie (Newcastle University); Brandić Lipińska, Monika (Newcastle University); Nerlich, Paula (Newcastle University); Azzopardi, Harry (Arup Sydney); Ciardullo, Christina (Yale School of Architecture); Dade-Robertson, Martyn (Newcastle University); Holt, Amy (International Space University); Hyry, Niina; James, Paul (University of Northumbria); James MacCowan, Richard (Biomimicry Innovation Lab); Deakin Roberts, Aled (The University of Manchester); Vermeulen, A.C.J. (TU Delft System Engineering); Zhang, Meng (University of Northumbria)","","2022","Bio-Futures for Transplanetary Habitats (BFfTH) is a Special Interest Group within the Hub for Biotechnology in the Built Environment that aims to explore and enable interdisciplinary research on transplanetary habitats and habitats within extreme environments through an emphasis on the bio-social and biotechnological relations. BFfTH organized the online and onsite networking symposium Bio-Futures for Transplanetary Habitats to examine how emerging biotechnologies, living materials, and more-than-human life can be implemented in habitat design and mission planning. The two day symposium aimed to serve as a catalyst in establishing an international network of collaborators across industry, academia and the private sector. It also aimed to support the development of novel methodologies to move beyond discipline-specific approaches in order to address and interrogate emerging questions surrounding potential transplanetary habitats and habitats in extreme environments. The symposium was divided into five sessions which hosted a minimum of three speakers each, these sessions were: Mycelium for Mars, Plants and Agriculture, Sustainable Habitats and Travels, Artistic Approach to Extremes Habitats, and Novel Biotechnologies for Space Habitats. This paper presents key outcomes from the symposium sessions, moderated panel, and informal discussions. The trends in ongoing research are identified and summarized following the use of biotechnology and bio-design to ensure and support safety, sustainability, habitability, reliability, crew efficiency, productivity and comfort in extreme environments both here on Earth and off-world. Moving beyond pure design and engineering innovation, the outcomes of this symposium also further interrogates sociotechnical imaginaries. Biodesign-based and biotechnologically-enabled transplanetary futures are investigated to understand how we want these futures to behave, feel and be experienced. The symposium hosted a wide range of topics including: innovative material-driven processes for the design of transplanetary habitats; socio-political concerns or ethical implications to be taken into account; technology transfer and transitioning towards a sustainable built environment on Earth; multi-species narratives and relations to sustain human and other-than-human life in transplanetary habitats; sociotechnical considerations in propagating and sustaining Earthbound life beyond Earth environments; and sustainable living on Earth through a holistic systems thinking approach. BFfTH further reflects on what potential bio-social and biotechnological research is needed to sustain life in an extraterrestrial environment in the future and how it can help with transitioning towards a more sustainable built environment here on Earth in the present.","","en","conference paper","","","","","","","","","","","System Engineering","","",""
"uuid:b26686ea-717d-4686-b2b1-0b233d369bfc","http://resolver.tudelft.nl/uuid:b26686ea-717d-4686-b2b1-0b233d369bfc","Collision-Aware Fast Simulation for Soft Robots by Optimization-Based Geometric Computing","Fang, G. (TU Delft Emerging Materials; The University of Manchester); Tian, Yingjun (The University of Manchester); Weightman, Andrew (The University of Manchester); Wang, C.C. (The University of Manchester)","","2022","Soft robots can safely interact with environments because of their mechanical compliance. Self-collision is also employed in the modern design of soft robots to enhance their performance during different tasks. However, developing an efficient and reliable simulator that can handle the collision response well, is still a challenging task in the research of soft robotics. This paper presents a collision-aware simulator based on geometric optimization, in which we develop a highly efficient and realistic collision checking / response model incorporating a hyperelastic material property. Both actuated deformation and collision response for soft robots are formulated as geometry-based objectives. The collision-free body of a soft robot can be obtained by minimizing the geometry-based objective function. Unlike the FEA-based physical simulation, the proposed pipeline performs a much lower computational cost. Moreover, adaptive remeshing is applied to achieve the improvement of the convergence when dealing with soft robots that have large volume variations. Experimental tests are conducted on different soft robots to verify the performance of our approach.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Emerging Materials","","",""
"uuid:952070eb-254d-49fb-a43c-22bf9905dbe2","http://resolver.tudelft.nl/uuid:952070eb-254d-49fb-a43c-22bf9905dbe2","Exploring an On-Chip Sensor to Detect Unique Faults in RRAMs","Copetti, T.S. (Rheinisch-Westfälische Technische Hochschule); Nilovic, M. (Rheinisch-Westfälische Technische Hochschule); Fieback, M. (TU Delft Quantum & Computer Engineering); Gemmeke, T. (Rheinisch-Westfälische Technische Hochschule); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Bolzani Poehls, L.M. (Rheinisch-Westfälische Technische Hochschule)","","2022","Memristive devices have become promising candidates to complement and/or replace the CMOS technology, due to their CMOS manufacturing process compatibility, zero standby power consumption, high scalability, as well as their capability to implement high-density memories and new computing paradigms. Despite these advantages, memristive devices are also susceptible to manufacturing defects that may cause different faulty behaviors not observed in CMOS technology, significantly increasing the manufacturing test complexity. This work proposes a Design-for-Testability (DfT) strategy based on the introduction of a on-chip sensor that measures the current consumption of Resistive Random Access Memories (RRAMs) cells to provide the detection of unique faults. The new On-Chip Sensor (ON_CS) was validated using a case study 3×3 RRAM cell array with peripheral circuitry implemented based on a 130 nm Predictive Technology Model (PTM) library. Experimental results show that the proposed DfT strategy is able to detect not only traditional faults, but also unique faults that can affect RRAM cells. Finally, this paper proposes an DfT strategy that can detect unique faults with an unique operation and can be used during the normal operation of a RRAM.","RRAMs; Testing; Unique Faults; On-Chip Sensor","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Quantum & Computer Engineering","","","",""
"uuid:add17ec7-acf4-40c7-8823-765dc279d92b","http://resolver.tudelft.nl/uuid:add17ec7-acf4-40c7-8823-765dc279d92b","Routing of Heterogeneous Fleets for Flash Deliveries via Vehicle Group Assignment","Kronmüller, M. (TU Delft Learning & Autonomous Control); Fielbaum, Andres (TU Delft Learning & Autonomous Control); Alonso-Mora, J. (TU Delft Learning & Autonomous Control)","","2022","This paper presents a novel approach to route heterogeneous fleets for flash delivery operations. Flash deliveries offer to serve customers' wishes in minutes. We investigate a scenario that allows to pick up orders at multiple depots with a heterogeneous vehicle fleet leveraging different modes of transportation. We propose the Heterogeneous Vehicle Group Assignment (HVGA) method, which, given a problem state, identifies potential pick-up locations, calculates potential trips for all modes of transportation and last chooses from the set of potential trips. Experiments to analyze the proposed method are executed using a fleet featuring two modes of transportation, trucks and drones. We compare to a state-of-the-art method. Results show that HVGA is able to serve more orders while requiring less total traveled distance. Further, the effects of the fleet size and fleet composition between drones and trucks are examined by simulating three hours of a flash delivery operation in the city center of Amsterdam.","Costs; Urban areas; Routing; Delays; Drones; Vehicles","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-01","","","Learning & Autonomous Control","","",""
"uuid:987a714d-5cf0-451c-ab29-84f843c78704","http://resolver.tudelft.nl/uuid:987a714d-5cf0-451c-ab29-84f843c78704","Online Multi-Robot Task Assignment with Stochastic Blockages","Wilde, N. (TU Delft Learning & Autonomous Control); Alonso-Mora, J. (TU Delft Learning & Autonomous Control)","","2022","In this paper we study the multi-robot task assignment problem with tasks that appear online and need to be serviced within a fixed time window in an uncertain environment. For example, when deployed in dynamic, human-centered environments, the team of robots may not have perfect information about the environment. Parts of the environment may temporarily become blocked and blockages may only be observed on location. While numerous variants of the Canadian Traveler Problem describe the path planning aspect of this problem, few work has been done on multi-robot task allocation (MRTA) under this type of uncertainty. In this paper, we introduce and theoretically analyze the problem of MRTA with recoverable online blockages. Based on a stochastic blockage model, we compute offline tours using the expected travel costs for the online routing problem. The cost of the offline tours is used in a greedy task assignment algorithm. In simulation experiments we highlight the performance benefits of the proposed method under various settings.","Costs; Uncertainty; Heuristic algorithms; Computational modeling; Stochastic processes; Routing; Robustness","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Learning & Autonomous Control","","",""
"uuid:6ef6861c-ea29-4930-80b3-f2035127a8e0","http://resolver.tudelft.nl/uuid:6ef6861c-ea29-4930-80b3-f2035127a8e0","Regulations Aware Motion Planning for Autonomous Surface Vessels in Urban Canals","de Vries, J.M. (Student TU Delft); Trevisan, E. (TU Delft Learning & Autonomous Control); van der Toorn, J. (Student TU Delft); Das, T. (Student TU Delft); Ferreira de Brito, B.F. (TU Delft Learning & Autonomous Control); Alonso-Mora, J. (TU Delft Learning & Autonomous Control)","Pappas, George J. (editor); Kumar, Vijay (editor)","2022","In unstructured urban canals, regulation-aware interactions with other vessels are essential for collision avoidance and social compliance. In this paper, we propose a regulations aware motion planning framework for Autonomous Surface Vessels (ASVs) that accounts for dynamic and static obstacles. Our method builds upon local model predictive contouring control (LMPCC) to generate motion plans satisfying kino-dynamic and collision constraints in real-time while including regulation awareness. To incorporate regulations in the planning stage, we propose a cost function encouraging compliance with rules describing interactions with other vessels similar to COLlision avoidance REGulations at sea (COLREGs). These regulations are essential to make an ASV behave in a predictable and socially compliant manner with regard to other vessels. We compare the framework against baseline methods and show more effective regulation-compliant avoidance of moving obstacles with our motion planner. Additionally, we present experimental results in an outdoor environment.","Sea surface; Irrigation; Automation; Dynamics; Predictive models; Cost function; Regulation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-12","","","Learning & Autonomous Control","","",""
"uuid:856a5681-2d68-4f42-9cc6-71c26a06b10e","http://resolver.tudelft.nl/uuid:856a5681-2d68-4f42-9cc6-71c26a06b10e","Prediction-Based Reachability Analysis for Collision Risk Assessment on Highways","Wang, X. (TU Delft Learning & Autonomous Control); Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology); Alonso-Mora, J. (TU Delft Learning & Autonomous Control); Wang, M. (TU Delft Transport and Planning; Technische Universität Dresden)","","2022","Real-time safety systems are crucial components of intelligent vehicles. This paper introduces a prediction-based collision risk assessment approach on highways. Given a point mass vehicle dynamics system, a stochastic forward reachable set considering two-dimensional motion with vehicle state probability distributions is firstly established. We then develop an acceleration prediction model, which provides multi-modal probabilistic acceleration distributions to propagate vehicle states. The collision probability is calculated by summing up the probabilities of the states where two vehicles spatially overlap. Simulation results show that the prediction model has superior performance in terms of vehicle motion position errors, and the proposed collision detection approach is agile and effective to identify the collision in cut-in crash events.","Road transportation; Intelligent vehicles; Simulation; Stochastic processes; Predictive models; Probability distribution; Risk management","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-19","","Transport and Planning","Learning & Autonomous Control","","",""
"uuid:df38e036-9dee-4b21-b6d8-a5f823af19a5","http://resolver.tudelft.nl/uuid:df38e036-9dee-4b21-b6d8-a5f823af19a5","Where to Look Next: Learning Viewpoint Recommendations for Informative Trajectory Planning","Lodel, M. (TU Delft Learning & Autonomous Control); Ferreira de Brito, B.F. (TU Delft Learning & Autonomous Control); Serra Gomez, A. (TU Delft Learning & Autonomous Control); Ferranti, L. (TU Delft Learning & Autonomous Control); Babuska, R. (TU Delft Learning & Autonomous Control; Czech Technical University); Alonso-Mora, J. (TU Delft Learning & Autonomous Control)","Pappas, George J. (editor); Kumar, Vijay (editor)","2022","Search missions require motion planning and navigation methods for information gathering that continuously replan based on new observations of the robot's surroundings. Current methods for information gathering, such as Monte Carlo Tree Search, are capable of reasoning over long horizons, but they are computationally expensive. An alternative for fast online execution is to train, offline, an information gathering policy, which indirectly reasons about the information value of new observations. However, these policies lack safety guarantees and do not account for the robot dynamics. To overcome these limitations we train an information-aware policy via deep reinforcement learning, that guides a receding-horizon trajectory optimization planner. In particular, the policy continuously recommends a reference viewpoint to the local planner, such that the resulting dynamically feasible and collision-free trajectories lead to observations that maximize the information gain and reduce the uncertainty about the environment. In simulation tests in previously unseen environments, our method consistently outperforms greedy next-best-view policies and achieves competitive performance compared to Monte Carlo Tree Search, in terms of information gains and coverage time, with a reduction in execution time by three orders of magnitude.","Monte Carlo methods; Uncertainty; Trajectory planning; Navigation; Reinforcement learning; Safety; Planning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-12","","","Learning & Autonomous Control","","",""
"uuid:8f70973e-0123-4e7e-865d-a096411c78d2","http://resolver.tudelft.nl/uuid:8f70973e-0123-4e7e-865d-a096411c78d2","Learning Mixed Strategies in Trajectory Games","Peters, L. (TU Delft Learning & Autonomous Control); Fridovich-Keil, David (The University of Texas at Austin); Ferranti, L. (TU Delft Learning & Autonomous Control); Stachniss, Cyrill (Universität Bonn); Alonso-Mora, J. (TU Delft Learning & Autonomous Control); Laine, Forrest (Vanderbilt University)","Hauser, Kris (editor); Shell, Dylan (editor); Huang, Shoudong (editor)","2022","In multi-agent settings, game theory is a natural framework for describing the strategic interactions of agents whose objectives depend upon one another’s behavior. Trajectory games capture these complex effects by design. In competitive settings, this makes them a more faithful interaction model than traditional “predict then plan” approaches. However, current game-theoretic planning methods have important limitations. In this work, we propose two main contributions. First, we introduce an offline training phase which reduces the online computational burden of solving trajectory games. Second, we formulate a lifted game which allows players to optimize multiple candidate trajectories in unison and thereby construct more competitive “mixed” strategies. We validate our approach on a number of experiments using the pursuit-evasion game “tag.”","","en","conference paper","Robotics Science and Systems (RSS)","","","","","Funding Information: This work was supported in part by the National Police of the Netherlands. All content represents the opinion of the authors, which is not necessarily shared or endorsed by their respective employers and/or sponsors. L. Ferranti received support from the Dutch Science Foundation NWOTTW within the Veni project HARMONIA (nr. 18165).","","","","","Learning & Autonomous Control","","",""
"uuid:d5950450-4475-41dd-a9d6-5c2cb75abd9f","http://resolver.tudelft.nl/uuid:d5950450-4475-41dd-a9d6-5c2cb75abd9f","Gaussian Process Position-Dependent Feedforward: With Application to a Wire Bonder","van Haren, Max (Eindhoven University of Technology); Poot, Maurice (Eindhoven University of Technology); Kostic, Dragan (ASM Pacific Technology); van Es, Robin (ASM Pacific Technology); Portegies, Jim (Eindhoven University of Technology); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2022","Mechatronic systems have increasingly stringent performance requirements for motion control, leading to a situation where many factors, such as position-dependency, cannot be neglected in feedforward control. The aim of this paper is to compensate for position-dependent effects by modeling feedforward parameters as a function of position. A framework to model and identify feedforward parameters as a continuous function of position is developed by combining Gaussian processes and feedforward parameter learning techniques. The framework results in a fully data-driven approach, which can be readily implemented for industrial control applications. The framework is experimentally validated and shows a significant performance increase on a commercial wire bonder.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-11","","","Team Jan-Willem van Wingerden","","",""
"uuid:4fd6a3f1-cb74-4a38-88a9-a7a162fc297c","http://resolver.tudelft.nl/uuid:4fd6a3f1-cb74-4a38-88a9-a7a162fc297c","Ultra Local Nonlinear Unknown Input Observers for Robust Fault Reconstruction","Ghanipoor, Farhad Ghanipoor (Eindhoven University of Technology); Murguia, Carlos (Eindhoven University of Technology); Mohajerin Esfahani, P. (TU Delft Team Peyman Mohajerin Esfahani); van de Wouw, Nathan (Eindhoven University of Technology)","","2022","In this paper, we present a methodology for actuator and sensor fault estimation in nonlinear systems. The method consists of augmenting the system dynamics with an approximated ultra-local model (a finite chain of integrators) for the fault vector and constructing a Nonlinear Unknown Input Observer (NUIO) for the augmented dynamics. Then, fault reconstruction is reformulated as a robust state estimation problem in the augmented state (true state plus fault-related state). We provide sufficient conditions that guarantee the existence of the observer and stability of the estimation error dynamics (asymptotic stability of the origin in the absence of faults and ISS guarantees in the faulty case). Then, we cast the synthesis of observer gains as a semidefinite program where we minimize the ℒ 2 -gain from the model mismatch induced by the approximated fault model to the fault estimation error. Finally, simulations are given to illustrate the performance of the proposed methodology.","Estimation error; Asymptotic stability; Actuators; Sufficient conditions; Uncertainty; System dynamics; Observers","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Peyman Mohajerin Esfahani","","",""
"uuid:9ef3c644-eb4e-4e15-b128-0c375c442f1e","http://resolver.tudelft.nl/uuid:9ef3c644-eb4e-4e15-b128-0c375c442f1e","Investigation of Curle's Dipolar Sources on a Porous Airfoil Interacting with Incoming Turbulence","Zamponi, R. (TU Delft Wind Energy); Satcunanathan, S. (Rheinisch-Westfälische Technische Hochschule); Meinke, M. (Rheinisch-Westfälische Technische Hochschule); Schröder, W. (Rheinisch-Westfälische Technische Hochschule); Moreau, S. (University of Sherbrooke); Schram, C (von Karman Institute for Fluid Dynamics)","","2022","Integrating porous materials into the structure of an airfoil constitutes a promising passive strategy for mitigating the noise from turbulence-body interactions that has been extensively explored in the past few decades. When a compact permeable body is considered in the aeroacoustic analogy derived by Curle to predict this noise source, a dipole associated with the nonzero unsteady Reynolds stresses appears on the surface in addition to the dipole linked to the pressure fluctuations. Nevertheless, the relative contribution of this source on the far-field noise radiated by a porous wing profile has not been clarified yet. The purpose of the current research work is twofold. On the one hand, it investigates the impact of porosity on the surface-pressure fluctuations of a thick airfoil immersed in the wake of an upstream circular rod at a Mach number of 0.09. On the other hand, it quantifies the relevance of the Reynolds-stresses term on the surface as a sound-generation mechanism. Results from large-eddy simulations show that the porous treatment of the wing profile yields an attenuation of the unsteady-pressure peak, which is localized in the low-frequency range of the spectrum and is induced by the milder distortion of the incoming vortices. However, porosity is ineffective in breaking the spanwise coherence or in-phase behavior of the surface-pressure fluctuations at the vortex-shedding frequency. The Reynolds-stresses term is found to be considerable in the stagnation region of the airfoil, where the transpiration velocity is larger, and partly correlated with the unsteady surface pressure. This results in a nonnegligible contribution of this term to the far-field acoustic pressure emitted by the porous wing profile for observation angles near the stagnation streamline. The conclusions drawn in the present study eventually provide valuable insight into the design of innovative and efficient passive strategies to mitigate surface-turbulence interaction noise in industrial applications.","","en","conference paper","","","","","","","","","","","Wind Energy","","",""
"uuid:e5b71ce7-96f4-459b-9217-1c82e7c44a04","http://resolver.tudelft.nl/uuid:e5b71ce7-96f4-459b-9217-1c82e7c44a04","Landing Gear Noise Mitigation by an upstream installed Fairing","Gondrum, M. (Rheinisch-Westfälische Technische Hochschule); Niemöller, A. (Rheinisch-Westfälische Technische Hochschule); Meinke, M. (Rheinisch-Westfälische Technische Hochschule); Schröder, W. (Rheinisch-Westfälische Technische Hochschule); Rubio Carpio, A. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy)","","2022","The time resolved flow and acoustic fields around a simplified two-wheel nose landing gear configuration featuring brakes, torque link, and a detachable fairing are investigated by numerical and experimental means. The flow field is computed by a lattice Boltzmann method with a collision step based on countable cumulants. Numerical near field flow predictions are validated by experimental results from Delft University of Technology. The comparison shows the quality of the applied computational setup and methodology. The favorable noise mitigation properties of the installed fairing are discussed.","","en","conference paper","","","","","","","","","","","Wind Energy","","",""
"uuid:0901c732-8db0-40e9-a0a2-5129fac5f1bc","http://resolver.tudelft.nl/uuid:0901c732-8db0-40e9-a0a2-5129fac5f1bc","The Scent of Collaboration: Exploring the Effect of Smell on Social Interactions","Mehrotra, S. (TU Delft Interactive Intelligence); Brocker, Anke (Rheinisch-Westfälische Technische Hochschule); Obrist, Marianna (University College London (UCL)); Borchers, Jan (Rheinisch-Westfälische Technische Hochschule)","","2022","Social interactions are multisensory experiences. However, it is not well understood how technology-mediated smell can support social interactions, especially in collaborative tasks. To explore its effect on collaboration, we asked eleven pairs of users to work together on a writing task while wearing an interactive jewellery designed to emit scent in a controlled fashion. In a within-subjects experiment, participants were asked to collaboratively write a story about a standardized visual stimulus while exposed to with scent and without scent conditions. We analyzed video recordings and written stories using a combination of methods from HCI, psychology, sociology, and human communication research. We observed differences in both participants’ communication and creation of insightful stories in the with scent condition. Furthermore, scent helped participants recover from communication breakdown even though they were unaware of it. We discuss the possible implications of our findings and the potential of technology-mediated scent for collaborative activities.","Olfactory Interfaces; Collaboration; social interactions; Smell; scent; necklace; Communication; IOWA Communication Record; ICR; SCORS-Global","en","conference paper","ACM DL","","","","","","","","","","Interactive Intelligence","","",""
"uuid:2c244149-a10d-4265-a0c8-5c6d31dc8bf8","http://resolver.tudelft.nl/uuid:2c244149-a10d-4265-a0c8-5c6d31dc8bf8","DAMYSUS: Streamlined BFT Consensus Leveraging Trusted Components","Decouchant, Jérémie (TU Delft Data-Intensive Systems); Kozhaya, David (Abb Research); Rahli, Vincent (University of Birmingham); Yu, Jiangshan (Monash University)","","2022","Recently, streamlined Byzantine Fault Tolerant (BFT) consensus protocols, such as HotStuff, have been proposed as a means to circumvent the inefficient view-changes of traditional BFT protocols, such as PBFT. Several works have detailed trusted components, and BFT protocols that leverage them to tolerate a minority of faulty nodes and use a reduced number of communication rounds. Inspired by these works we identify two basic trusted services, respectively called the Checker and Accumulator services, which can be leveraged by streamlined protocols. Based on these services, we design Damysus, a streamlined protocol that improves upon HotStuff's resilience and uses less communication rounds. In addition, we show how the Checker and Accumulator services can be adapted to develop Chained-Damysus, a chained version of Damysus where operations are pipelined for efficiency. We prove the correctness of Damysus and Chained-Damysus, and evaluate their performance showcasing their superiority compared to previous protocols.","Consensus; Fault tolerance; Trusted component","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Data-Intensive Systems","","",""
"uuid:28f0dc93-f613-4776-920e-67d94e1cb822","http://resolver.tudelft.nl/uuid:28f0dc93-f613-4776-920e-67d94e1cb822","Compact amorphous-silicon visible-light monitor integrated in silicon nitride waveguides","De Vita, Christian (Politecnico di Milano); Klitis, Charalambos (University of Glasgow); Codreanu, N. (TU Delft QID/Hanson Lab; TU Delft QN/Quantum Nanoscience; Politecnico di Milano; Kavli institute of nanoscience Delft); Ferrari, Giorgio (Politecnico di Milano); Sorel, Marc (University of Glasgow); Melloni, Andrea (Politecnico di Milano); Morichetti, Francesco (Politecnico di Milano)","","2022","This work reports on the realization of an amorphous silicon visible-light detector integrated in Si3N4 waveguides. The device is very compact (< 40 μm), has a responsivity of about 10 mA/W and a sensitivity of -40 dBm.","amorphous silicon; integrated detectors; optical waveguides; photonic integrated circuits; visible light","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","QN/Quantum Nanoscience","QID/Hanson Lab","","",""
"uuid:52e4a923-eb7e-421e-9544-70457e362d04","http://resolver.tudelft.nl/uuid:52e4a923-eb7e-421e-9544-70457e362d04","A 5GS/s 360MHz-BW 68dB-DR Continuous-Time 1-1-1 Filtering MASH ΔΣ ADC in 40nm CMOS","Liu, Qilong (Eindhoven University of Technology; NXP Semiconductors); Breems, Lucien (Eindhoven University of Technology; NXP Semiconductors); Zhang, Chenming (Eindhoven University of Technology; NXP Semiconductors); Bajoria, Shagun (Eindhoven University of Technology; NXP Semiconductors); Bolatkale, M. (TU Delft Electronic Instrumentation; NXP Semiconductors); Rutten, Robert (NXP Semiconductors); Radulov, Georgi (Eindhoven University of Technology)","","2022","In the pursuit of ever larger bandwidths, in recent years GHz-rate continuous-time (CT) oversampled ADCs have been reported in literature that achieve bandwidths of hundreds of MHz and have even exceeded the GHz barrier [1]-[3]. As impressive as these bandwidths are for CT ADCs, the required ADC architectures are complex, are sensitive to layout parasitics due to the high sampling rates, and most important of all, are power hungry, consuming several hundreds of mW. In this paper, we propose a filtering rnulti-stage noise-shaping (MASH) ΔΣ ADC architecture that overcomes the abovementioned drawbacks. Passive delay compensating filters [4] are used to realize broadband and deep suppression of the input signal component at the internal filter nodes of the ADC. As a result, no interstage DACs are needed, which are commonly required to generate the quantization error replicas in a MASH ΔΣ ADC, saving substantial power and greatly reducing the parasitic load of the high-speed critical nodes. Moreover, because of the absence of signal content at the internal filter nodes, the backend stages of the MASH architecture have relaxed linearity requirements and can be implemented with simple low-power Gm-C filters. Precise excess loop delay and excess phase compensation are accomplished with a partly resistive and capacitive stabilization DAC, enabling very-high-speed operation of the ΔΣ loops. The realized MASH ADC is sampled at 5GHz and achieves 68dB/65dB DR/peak SNDR over a 360MHz bandwidth, -78dBc THD at -1dBFS for a 115MHz input signal, and consumes 158mW. Implemented in a mature 40nm CMOS technology, the ADC occupies only 0.21 mm2 core area, achieves 2× lower power, 5dB higher Schreier FOM and 2× lower Walden FOM compared to state-of-the-art broadband CT ADCs in advanced 16nm-28nm nodes [1]-[3].","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:988c956a-3c25-46da-8f24-0aded59c6a35","http://resolver.tudelft.nl/uuid:988c956a-3c25-46da-8f24-0aded59c6a35","Ready Player One!: Eliciting Diverse Knowledge Using A Configurable Game","Balayn, A.M.A. (TU Delft Web Information Systems); He, G. (TU Delft Web Information Systems); Hu, Andrea (Student TU Delft); Yang, J. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems)","Laforest, F. (editor); Troncy, R. (editor)","2022","Access to commonsense knowledge is receiving renewed interest for developing neuro-symbolic AI systems, or debugging deep learning models. Little is currently understood about the types of knowledge that can be gathered using existing knowledge elicitation methods. Moreover, these methods fall short of meeting the evolving requirements of several downstream AI tasks. To this end, collecting broad and tacit knowledge, in addition to negative or discriminative knowledge can be highly useful. Addressing this research gap, we developed a novel game with a purpose, 'FindItOut', to elicit different types of knowledge from human players through easily configurable game mechanics. We recruited 125 players from a crowdsourcing platform, who played 2430 rounds, resulting in the creation of more than 150k tuples of knowledge. Through an extensive evaluation of these tuples, we show that FindItOut can successfully result in the creation of plural knowledge with a good player experience. We evaluate the efficiency of the game (over 10 × higher than a reference baseline) and the usefulness of the resulting knowledge, through the lens of two downstream tasks - commonsense question answering and the identification of discriminative attributes. Finally, we present a rigorous qualitative analysis of the tuples' characteristics, that informs the future use of FindItOut across various researcher and practitioner communities.","commonsense; discriminative knowledge; GWAP; human computation; knowledge elicitation; neuro-symbolic AI","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:07358e54-359a-4537-96ae-131c34182d2d","http://resolver.tudelft.nl/uuid:07358e54-359a-4537-96ae-131c34182d2d","Particle detection using closed-loop active model diagnosis","Noom, J. (TU Delft Team Michel Verhaegen); Soloviev, O.A. (TU Delft Team Michel Verhaegen); Smith, C.S. (TU Delft Team Carlas Smith); Nguyen, Hieu Thao (TU Delft Team Michel Verhaegen); Verhaegen, M.H.G. (TU Delft Team Michel Verhaegen)","Jalali, Bahram (editor); Kitayama, Ken-ichi (editor)","2022","We demonstrate a novel closed-loop input design technique on the detection of particles in an imaging system such as a fluorescence microscope. The probability of misdiagnosis is minimized while constraining the input energy such that for instance phototoxicity is reduced. The key novelty of the closed-loop design is that each next input is designed based on the most recent information. Using updated hypothesis probabilities, the input energy distribution is optimized for detection such that unresolved pixels have increased illumination next image acquisition. As compared to conventional open-loop, the results show that (regions of) particles are diagnosed using less energy in the closed-loop approach. Besides the closed-loop approach being viable for particle detection in fluorescence microscopy measurements, it can be developed further to apply in different areas such as sequential object segmentation for reliable and efficient product inspection in Industry 4.0.","Active fault diagnosis; Auxiliary signal design; Fluorescence microscopy; Machine vision","en","conference paper","SPIE","","","","","","","","","","Team Michel Verhaegen","","",""
"uuid:4d76b8cf-091f-4fa2-ba97-67ba6a8185f4","http://resolver.tudelft.nl/uuid:4d76b8cf-091f-4fa2-ba97-67ba6a8185f4","Comprehensive viewpoint representations for a deeper understanding of user interactions with debated topics","Draws, T.A. (TU Delft Web Information Systems); Inel, O. (TU Delft Web Information Systems); Tintarev, N. (Universiteit Maastricht); Baden, Christian (The Hebrew University of Jerusalem); Timmermans, Benjamin (IBM Benelux)","","2022","Research in the area of human information interaction (HII) typically represents viewpoints on debated topics in a binary fashion, as either against or in favor of a given topic (e.g., the feminist movement). This simple taxonomy, however, greatly reduces the latent richness of viewpoints and thereby limits the potential of research and practical applications in this field. Work in the communication sciences has already demonstrated that viewpoints can be represented in much more comprehensive ways, which could enable a deeper understanding of users' interactions with debated topics online. For instance, a viewpoint's stance usually has a degree of strength (e.g., mild or strong), and, even if two viewpoints support or oppose something to the same degree, they may use different logics of evaluation (i.e., underlying reasons). In this paper, we draw from communication science practice to propose a novel, two-dimensional way of representing viewpoints that incorporates a viewpoint's stance degree as well as its logic of evaluation. We show in a case study of tweets on debated topics how our proposed viewpoint label can be obtained via crowdsourcing with acceptable reliability. By analyzing the resulting data set and conducting a user study, we further show that the two-dimensional viewpoint representation we propose allows for more meaningful analyses and diversification interventions compared to current approaches. Finally, we discuss what this novel viewpoint label implies for HII research and how obtaining it may be made cheaper in the future.","crowdsourcing; debated topic; label; stance; viewpoint","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:6cd7a9b2-16dd-47f8-bd9c-44c512aa970a","http://resolver.tudelft.nl/uuid:6cd7a9b2-16dd-47f8-bd9c-44c512aa970a","Enabling Body-Centric Computing Applications with LED-to-Camera Communication","Dalgic, O. (TU Delft Embedded Systems; University of Applied Sciences of Southern Switzerland); Puccinelli, Daniele (University of Applied Sciences of Southern Switzerland); Zuniga, Marco (TU Delft Embedded Systems)","","2022","Advances in Visible Light Communication are enabling novel Internet of Things applications. Going forward, we expect that LED-to-Camera links will enable a wide range of body-centric computing applications. Up until now, most LED-to-Camera studies have been following a deploy-and-test approach instead of a principled methodology. This ad-hoc design raises up two problems. First, we cannot compare fairly the various methods proposed in the literature because they use different types of LEDs and cameras. Second, and perhaps more importantly, we cannot identify the fundamental opportunities and limits of these novel links. To overcome these challenges, we propose a simple analytical model that estimates the range and data rate of LED-to-camera links prior to deployment. The model is built from first principles and requires only a limited set of parameters. To validate the accuracy of our model, we consider the two main transmission modes used in the literature: binary transmission and communication based on the rolling shutter effect. Our experimental evaluation confirms the predictions of the analytical model.","analytical model; camera; visible light communication","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Embedded Systems","","",""
"uuid:81ad6bfc-e91b-4604-942b-bf6acc04b520","http://resolver.tudelft.nl/uuid:81ad6bfc-e91b-4604-942b-bf6acc04b520","Heed the noise in performance evaluations in neural architecture search","Dushatskiy, A. (Centrum Wiskunde & Informatica (CWI)); Alderliesten, T. (Leiden University Medical Center); Bosman, P.A.N. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","","2022","Neural Architecture Search (NAS) has recently become a topic of great interest. However, there is a potentially impactful issue within NAS that remains largely unrecognized: noise. Due to stochastic factors in neural network initialization, training, and the chosen train/validation dataset split, the performance evaluation of a neural network architecture, which is often based on a single learning run, is also stochastic. This may have a particularly large impact if a dataset is small. We therefore propose to reduce this noise by evaluating architectures based on average performance over multiple network training runs using different random seeds and cross-validation. We perform experiments for a combinatorial optimization formulation of NAS in which we vary noise reduction levels. We use the same computational budget for each noise level in terms of network training runs, i.e., we allow less architecture evaluations when averaging over more training runs. Multiple search algorithms are considered, including evolutionary algorithms which generally perform well for NAS. We use two publicly available datasets from the medical image segmentation domain where datasets are often limited and variability among samples is often high. Our results show that reducing noise in architecture evaluations enables finding better architectures by all considered search algorithms.","medical image segmentation; neural architecture search; noise","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Algorithmics","","",""
"uuid:bcd8b744-d010-4d4d-87b2-480fdce8728e","http://resolver.tudelft.nl/uuid:bcd8b744-d010-4d4d-87b2-480fdce8728e","Metropolis II: Investigating the Future Shape of Air Traffic Control in Highly Dense Urban Airspace","Patrinopoulou, N. (University of Patras); Daramouskas, I. (University of Patras); Lappas, V. (University of Patras); Morfin Veytia, A. (TU Delft Control & Simulation); Badea, C. (TU Delft Control & Simulation); Ellerbroek, Joost (TU Delft Control & Simulation); Hoekstra, J.M. (TU Delft Control & Simulation); De Vries, V. (Royal Netherlands Aerospace Centre NLR); Van Ham, J. (Royal Netherlands Aerospace Centre NLR)","","2022","Metropolis II aims to provide insights in what is needed to enable high-density urban air operations. It does this by investigating the foundation for U-space U3/U4 services. The final goal is to provide a unified approach for strategic deconfliction, tactical deconfliction, and dynamic capacity management. Highly-dense operations in constrained urban airspace will likely require a degree of complexity that does not exist in modern-day air traffic management. The expected high traffic demand will require a shared use of the airspace instead of assigning exclusive use of blocks of the airspace to some flights. A unified approach for traffic management is needed because at high-densities, airspace design, flight planning, and separation management become increasingly interdependent. Metropolis II builds upon the results of the first Metropolis project. Three concepts with a varying degree of centralisation will be compared using simulations. (1) The centralised concept will take a global approach for separation management. (2) The decentralised concept aims to give the individual agents separation responsibility. (3) The hybrid concept tries to combine a centralised strategic planning agent with a robust tactical separation strategy.","BlueSky ATC Simulator; Degree of Centralisation; Flight planning; Separation management; U-Space; Unmanned Traffic Management (UTM); Urban Airspace","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Control & Simulation","","",""
"uuid:c3e6bf6f-2feb-4a47-b2ed-7e41db6c3d74","http://resolver.tudelft.nl/uuid:c3e6bf6f-2feb-4a47-b2ed-7e41db6c3d74","Dual-axis tilting rotor quad-plane design, simulation, flight and performance comparison with a conventional quad-plane design","Mancinelli, A. (TU Delft Control & Simulation); Smeur, E.J.J. (TU Delft Control & Simulation); Remes, B.D.W. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation)","","2022","In the last few decades, the UAV research has been focusing on hybrid vehicles with Vertical Takeoff and Landing (VTOL) capabilities. Opposed to copters, hybrid vehicles are highly influenced by wind disturbances. This paper presents a novel quad-plane design that uses four dual-axis tilting rotors to enhance the wind rejection capability of a conventional quad-plane vehicle. After the non-linear mathematical model derivation and the actuator identification, the performance of the vehicle is addressed and compared to a conventional quad-plane in simulation, showing a factor 3.4 improvement in linear acceleration reaction time and a reduction of the gust induced displacement of 80%. Free-flight wind tunnel experiments confirmed the simulation outcome and extended the vehicle wind rejection capabilities behavior also to the lateral gust scenario.","Hybrid MAVs; Quad-plane; Tilting rotors; UAV; VTOL; Wind disturbance rejection capability","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Control & Simulation","","",""
"uuid:b3fec6ea-e58e-43cf-9eeb-0906d910e2b9","http://resolver.tudelft.nl/uuid:b3fec6ea-e58e-43cf-9eeb-0906d910e2b9","Learning State Machines to Monitor and Detect Anomalies on a Kubernetes Cluster","Cao, C.S. (TU Delft Cyber Security); Blaise, Agathe (Thales SIX GTS France); Verwer, S.E. (TU Delft Cyber Security); Rebecchi, Filippo (Thales SIX GTS France)","","2022","These days more companies are shifting towards using cloud environments to provide their services to their client. While it is easy to set up a cloud environment, it is equally important to monitor the system's runtime behaviour and identify anomalous behaviours that occur during its operation. In recent years, the utilisation of Recurrent Neural Networks (RNNs) and Deep Neural Networks (DNNs) to detect anomalies that might occur during runtime has been a trending approach. However, it is unclear how to explain the decisions made by these networks and how these networks should be interpreted to understand the runtime behaviour that they model. On the contrary, state machine models provide an easier manner to interpret and understand the behaviour that they model. In this work, we propose an approach that learns state machine models to model the runtime behaviour of a cloud environment that runs multiple microservice applications. To the best of our knowledge, this is the first work that tries to apply state machine models to microservice architectures. The state machine model is used to detect the different types of attacks that we launch on the cloud environment. From our experiment results, our approach can detect the attacks very well, achieving a balanced accuracy of 99.2% and a F1 score of 0.982.","Anomaly Detection; Kubernetes; Microservice Architecture; Runtime Monitoring; State Machine","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Cyber Security","","",""
"uuid:01bc0e27-5d91-4a3f-bdea-dfa01df3e5b9","http://resolver.tudelft.nl/uuid:01bc0e27-5d91-4a3f-bdea-dfa01df3e5b9","Benchmarking Apache Arrow Flight - A wire-speed protocol for data transfer, querying and microservices","Ahmad, T. (TU Delft Computer Engineering); Al-Ars, Z. (TU Delft Computer Engineering); Hofstee, H.P. (IBM Systems)","","2022","Moving structured data between different big data frameworks and/or data warehouses/storage systems often cause significant overhead. Most of the time more than 80% of the total time spent in accessing data is elapsed in serialization/de-serialization step. Columnar data formats are gaining popularity in both analytics and transactional databases. Apache Arrow, a unified columnar in-memory data format promises to provide efficient data storage, access, manipulation and transport. In addition, with the introduction of the Arrow Flight communication capabilities, which is built on top of gRPC, Arrow enables high performance data transfer over TCP networks. Arrow Flight allows parallel Arrow RecordBatch transfer over networks in a platform and language-independent way, and offers high performance, parallelism and security based on open-source standards. In this paper, we bring together some recently implemented use cases of Arrow Flight with their benchmarking results. These use cases include bulk Arrow data transfer, querying subsystems and Flight as a microservice integration into different frameworks to show the throughput and scalability results of this protocol. We show that Flight is able to achieve up to 6000 MB/s and 4800 MB/s throughput for DoGet() and DoPut() operations respectively. On Mellanox ConnectX-3 or Connect-IB interconnect nodes Flight can utilize upto 95% of the total available bandwidth. Flight is scalable and can use upto half of the available system cores efficiently for a bidirectional communication. For query systems like Dremio, Flight is order of magnitude faster than ODBC and turbodbc protocols. Arrow Flight based implementation on Dremio performs 20x and 30x better as compared to turbodbc and ODBC connections respectively. We briefly outline some recent Flight based use cases both in big data frameworks like Apache Spark and Dask and remote Arrow data processing tools. We also discuss some limitations and future outlook of Apache Arrow and Arrow Flight as a whole.","Apache arrow; Arrow flight; Data analytics; Data transfer; Databases; Microservices; Networks; Wire-protocol","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Computer Engineering","","",""
"uuid:2e9e89cf-f216-4a2e-94ea-19ec053ec342","http://resolver.tudelft.nl/uuid:2e9e89cf-f216-4a2e-94ea-19ec053ec342","What Should You Know? A Human-In-the-Loop Approach to Unknown Unknowns Characterization in Image Recognition","Sharifi Noorian, S. (TU Delft Web Information Systems); Qiu, S. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems); Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Sustainable Design Engineering; TU Delft Human-Centred Artificial Intelligence)","","2022","Unknown unknowns represent a major challenge in reliable image recognition. Existing methods mainly focus on unknown unknowns identification, leveraging human intelligence to gather images that are potentially difficult for the machine. To drive a deeper understanding of unknown unknowns and more effective identification and treatment, this paper focuses on unknown unknowns characterization. We introduce a human-in-the-loop, semantic analysis framework for characterizing unknown unknowns at scale. We engage humans in two tasks that specify what a machine should know and describe what it really knows, respectively, both at the conceptual level, supported by information extraction and machine learning interpretability methods. Data partitioning and sampling techniques are employed to scale out human contributions in handling large data. Through extensive experimentation on scene recognition tasks, we show that our approach provides a rich, descriptive characterization of unknown unknowns and allows for more effective and cost-efficient detection than the state of the art.","humans in the loop; semantic analysis; Unknown unknowns","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","Sustainable Design Engineering","Web Information Systems","","",""
"uuid:f13e32ce-6dba-4a71-a614-51b98084412e","http://resolver.tudelft.nl/uuid:f13e32ce-6dba-4a71-a614-51b98084412e","To Trust or Not To Trust: How a Conversational Interface Affects Trust in a Decision Support System","Gupta, A. (TU Delft Water Resources); Basu, D. (TU Delft Electrical Engineering, Mathematics and Computer Science); Ghantasala, Ramya (Student TU Delft); Qiu, S. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems)","","2022","Trust is an important component of human-AI relationships and plays a major role in shaping the reliance of users on online algorithmic decision support systems. With recent advances in natural language processing, text and voice-based conversational interfaces have provided users with new ways of interacting with such systems. Despite the growing applications of conversational user interfaces (CUIs), little is currently understood about the suitability of such interfaces for decision support and how CUIs inspire trust among humans engaging with decision support systems. In this work, we aim to address this gap and answer the following question: to what extent can a conversational interface build user trust in decision support systems in comparison to a conventional graphical user interface? To this end, we built a text-based conversational interface, and a conventional web-based graphical user interface. These served as the means for users to interact with an online decision support system to help them find housing, given a fixed set of constraints. To understand how the accuracy of the decision support system moderates user behavior and trust across the two interfaces, we considered an accurate and inaccurate system. We carried out a 2 × 2 between-subjects study (N = 240) on the Prolific crowdsourcing platform. Our findings show that the conversational interface was significantly more effective in building user trust and satisfaction in the online housing recommendation system when compared to the conventional web interface. Our results highlight the potential impact of conversational interfaces for trust development in decision support systems.","AI; Conversational user interface; Decision support system; Trust","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","Water Resources","","",""
"uuid:e93d9590-8621-4638-8e0d-355b015ca124","http://resolver.tudelft.nl/uuid:e93d9590-8621-4638-8e0d-355b015ca124","Data Analytics for Grid Resilience with Early Failures and Wear-out Failures","Ross, Robert (TU Delft DC systems, Energy conversion & Storage; IWO (Inst. for Science & Development / Inst. voor Wetenschap & Ontwikkeling)); Ypma, P.A.C. (TU Delft DC systems, Energy conversion & Storage; IWO (Inst. for Science & Development / Inst. voor Wetenschap & Ontwikkeling)); Koopmans, Gerben (IWO (Inst. for Science & Development / Inst. voor Wetenschap & Ontwikkeling))","","2022","The here reported work is part of a project on supporting grid resilience by asset management techniques. The present work focuses on support of decision-making after a few failures occurred that may be the start of many more. Methods are reviewed and new algorithms developed where the present IEEE/IEC standard does not provide. Two cases of early failures and wear-out are analyzed as examples for the data analytics.","bathtub curve; censored data; early failures; failure time prediction; hazard rate; performance ratio; redundancy; similarity index; Weibull; weighted linear regression","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:0047645f-e4f5-4583-9f40-8cb149514a31","http://resolver.tudelft.nl/uuid:0047645f-e4f5-4583-9f40-8cb149514a31","More-than-human Concepts, Methodologies, and Practices in HCI","Coskun, Aykut (Koç University); Cila, N. (TU Delft Human Information Communication Design); Nicenboim, I. (TU Delft Human Information Communication Design); Giaccardi, Elisa (TU Delft Human Information Communication Design); Forlano, Laura (llinois Institute of Technology, Ilinois); Frauenberger, Christopher (University of Salzburg); Hassenzahl, Marc (University of Siegen); Mancini, Clara (Open University); Wakkary, Ron (Simon Fraser University; Eindhoven University of Technology)","","2022","The last decade has witnessed the expansion of design space to include the epistemologies and methodologies of more-than-human design (MTHD). Design researchers and practitioners have been increasingly studying, designing for, and designing with nonhumans. This panel will bring together HCI experts who work on MTHD with different nonhumans as their subjects. Panelists will engage the audience through discussion of their shared and diverging visions, perspectives, and experiences, and through suggestions for opportunities and challenges for the future of MTHD. The panel will provoke the audience into reflecting on how the emergence of MTHD signals a paradigm shift in HCI and human-centered design, what benefits this shift might bring and whether MTH should become the mainstream approach, as well as how to involve nonhumans in design and research.","design research methods; human-centered design; More-than-human; posthuman","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-28","","","Human Information Communication Design","","",""
"uuid:60cb7623-5de3-4a28-931e-fba0ad517128","http://resolver.tudelft.nl/uuid:60cb7623-5de3-4a28-931e-fba0ad517128","Closed-loop active object recognition with constrained illumination power","Noom, J. (TU Delft Team Michel Verhaegen); Soloviev, O.A. (TU Delft Team Michel Verhaegen; Flexible Optical B.V.); Smith, C.S. (TU Delft ImPhys/Computational Imaging; TU Delft Team Carlas Smith); Verhaegen, M.H.G. (TU Delft Team Michel Verhaegen)","Kehtarnavaz, Nasser (editor); Carlsohn, Matthias F. (editor)","2022","Some applications require high level of image-based classification certainty while keeping the total illumination energy as low as possible. Examples are minimally invasive visual inspection in Industry 4.0, and medical imaging systems such as computed tomography, in which the radiation dose should be kept “as low as is reasonably achievable”. We introduce a sequential object recognition scheme aimed at minimizing phototoxicity or bleaching while achieving a predefined level of decision accuracy. The novel online procedure relies on approximate weighted Bhattacharyya coefficients for determination of future inputs. Simulation results on the MNIST handwritten digit database show how the total illumination energy is decreased with respect to a detection scheme using constant illumination.","Active fault diagnosis; Auxiliary signal design; Computational Tomography; Industry 4.0; Machine Vision; Medical imaging","en","conference paper","SPIE","","","","","","","","","","Team Michel Verhaegen","","",""
"uuid:29df3e7d-126e-4418-ae2e-3912af9ffc2b","http://resolver.tudelft.nl/uuid:29df3e7d-126e-4418-ae2e-3912af9ffc2b","Reconstructing Phylogenetic Networks via Cherry Picking and Machine Learning","Bernardini, Giulia (University of Trieste; Centrum Wiskunde & Informatica (CWI)); van Iersel, L.J.J. (TU Delft Discrete Mathematics and Optimization); Julien, E.A.T. (TU Delft Discrete Mathematics and Optimization); Stougie, Leen (Centrum Wiskunde & Informatica (CWI); Vrije Universiteit Amsterdam; Erable)","Boucher, Christina (editor); Rahmann, Sven (editor)","2022","Combining a set of phylogenetic trees into a single phylogenetic network that explains all of them is a fundamental challenge in evolutionary studies. In this paper, we apply the recently-introduced theoretical framework of cherry picking to design a class of heuristics that are guaranteed to produce a network containing each of the input trees, for practical-size datasets. The main contribution of this paper is the design and training of a machine learning model that captures essential information on the structure of the input trees and guides the algorithms towards better solutions. This is one of the first applications of machine learning to phylogenetic studies, and we show its promise with a proof-of-concept experimental study conducted on both simulated and real data consisting of binary trees with no missing taxa.","Cherry Picking; Heuristic; Hybridization; Machine Learning; Phylogenetics","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:6b8f5411-81bd-4cba-bbd3-0ceac682bbd7","http://resolver.tudelft.nl/uuid:6b8f5411-81bd-4cba-bbd3-0ceac682bbd7","Transparency, Detection and Imitation in Strategic Classification","Barsotti, F.B. (TU Delft Applied Probability; ING Analytics; Universiteit van Amsterdam); Koçer, Rüya Gökhan (ING Analytics); Santos, Fernando P. (Universiteit van Amsterdam)","De Raedt, Luc (editor)","2022","Given the ubiquity of AI-based decisions that affect individuals' lives, providing transparent explanations about algorithms is ethically sound and often legally mandatory. How do individuals strategically adapt following explanations? What are the consequences of adaptation for algorithmic accuracy? We simulate the interplay between explanations shared by an Institution (e.g. a bank) and the dynamics of strategic adaptation by Individuals reacting to such feedback. Our model identifies key aspects related to strategic adaptation and the challenges that an institution could face as it attempts to provide explanations. Resorting to an agent-based approach, our model scrutinizes: i) the impact of transparency in explanations, ii) the interaction between faking behavior and detection capacity and iii) the role of behavior imitation. We find that the risks of transparent explanations are alleviated if effective methods to detect faking behaviors are in place. Furthermore, we observe that behavioral imitation - as often happens across societies - can alleviate malicious adaptation and contribute to accuracy, even after transparent explanations.","","en","conference paper","International Joint Conferences on Artificial Intelligence (IJCAI)","","","","","","","","","","Applied Probability","","",""
"uuid:e6833011-0257-4855-a8b5-a8de4a511235","http://resolver.tudelft.nl/uuid:e6833011-0257-4855-a8b5-a8de4a511235","Innovative Maritime Design Education at NHL Stenden University of Applied Sciences","de Geus-Moussault, S.R.A. (TU Delft Ship Design, Production and Operations; NHL University of Applied Science); Kooij, C. (TU Delft Ship Design, Production and Operations); Koelman, H.J. (TU Delft Ship Design, Production and Operations)","","2022","The digital and energy transition will change our industry. To be prepared for this challenge, NHL Stenden University of Applied Sciences puts quite some effort in developing new innovative courses and new types of digitally enabled education. An example is a new minor concentrated on engineering tools and methods that have emerged in the (construction) industry over the past decades. In addition, the school is also working on a game to educate an old trade: ship stability. In addition to the changes to the existing programs, a new level of education is introduced in the Netherlands, the Professional Doctorate. The Professional Doctorate is comparable to the PhD but focusses on practically applied research. All these changes and innovations to the current maritime education at NHL Stenden are elaborated upon in this paper. The paper concludes with an outlook to the future, based upon the results from a survey held under students and lecturers regarding their view on the future of maritime education. The results of this survey show that especially green and modern propulsion methods are underexposed in the current curriculum.","Education Innovation; Maritime Bachelor; Maritime Education; Professional Doctorate","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Ship Design, Production and Operations","","",""
"uuid:bbf3923a-62e3-4708-8084-74a1fda068c9","http://resolver.tudelft.nl/uuid:bbf3923a-62e3-4708-8084-74a1fda068c9","Development and Lessons Learned of New Modular Ship Design Activities for Graduate Education During COVID","Kana, A.A. (TU Delft Ship Design, Production and Operations); Brans, Sophia (Student TU Delft); Bronkhorst, Philip (Student TU Delft); Charisi, N.D. (TU Delft Ship Design, Production and Operations); Kao, I. Ting (Student TU Delft); Lupoae, Laurentiu (Student TU Delft); van Lynden, Casper (Student TU Delft); le Poole, J.J. (TU Delft Ship Design, Production and Operations); Zwaginga, J.J. (TU Delft Ship Design, Production and Operations)","","2022","This paper describes two new modular ship design activities for graduate education at Delft University of Technology that have been developed during COVID. First, a new 2-hour hybrid format (in-person and virtual participation) game was designed to teach students modular design for offshore support vessels (OSVs). Second, an 8-week MSc-level ship design project was redeveloped to cover the design of a small fleet of modular OSVs for offshore wind. The paper discusses the drivers behind these new design educational activities, the details of the activities themselves, and concludes with lessons learned focused on improving graduate education for masters students studying ship design.","activity-based teaching and learning; COVID impacts; modular design; offshore support vessels (OSVs); Ship design education","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Ship Design, Production and Operations","","",""
"uuid:22706412-9966-4295-a445-09a5396ed558","http://resolver.tudelft.nl/uuid:22706412-9966-4295-a445-09a5396ed558","Toward a Better Estimation of the Charging Corridor Length of In-Motion-Charging Trolleybuses","Diab, I. (TU Delft DC systems, Energy conversion & Storage); Chandra Mouli, G.R. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","In-Motion-Charging (IMC) buses are destined to become a key player in sustainable urban transport as they combine the advantages of both trolleybuses (overhead supply mode) and e-buses (on-board battery mode). Defining the route ratio of these two modes of operation is a critical task in order to ensure that the IMC battery can complete a full trip once it is out of the charging corridor zone (i.e., out of the trolleybus operation zone). This paper offers a more correct approach to sizing the charging corridor than what is commonly found in literature, by including the effects of both the stopping and moving times of a typical IMC bus and by studying two charging schemes for the IMC bus battery charging. Errors as high as 16.4% and 17.6% were reported for the two charging schemes, respectively, when using the conventional methods found in literature for a case study using measurements of the trolleygrid city of Arnhem, the Netherlands.","Adaptive Charging; Electric vehicles; IMC; transport; trolleybus","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-09","","","DC systems, Energy conversion & Storage","","",""
"uuid:d08de189-661c-44b6-a3da-54b2585eb00f","http://resolver.tudelft.nl/uuid:d08de189-661c-44b6-a3da-54b2585eb00f","An FPT-Algorithm for Longest Common Subsequence Parameterized by the Maximum Number of Deletions","Bulteau, Laurent (Université Gustave Eiffel, Marne-la-vallé); Jones, M.E.L. (TU Delft Discrete Mathematics and Optimization); Niedermeier, Rolf (Technical University of Berlin); Tantau, Till (University of Lübeck)","Bannai, Hideo (editor); Holub, Jan (editor)","2022","In the NP-hard Longest Common Subsequence problem (LCS), given a set of strings, the task is to find a string that can be obtained from every input string using as few deletions as possible. LCS is one of the most fundamental string problems with numerous applications in various areas, having gained a lot of attention in the algorithms and complexity research community. Significantly improving on an algorithm by Irving and Fraser [CPM'92], featured as a research challenge in a 2014 survey paper, we show that LCS is fixed-parameter tractable (FPT) when parameterized by the maximum number of deletions per input string. Given the relatively moderate running time of our algorithm (linear time when the parameter is a constant) and small parameter values to be expected in several applications, we believe that our purely theoretical analysis could finally pave the way to a new, exact and practically useful algorithm for this notoriously hard string problem.","center string; enumerative algorithms; multiple sequence alignment; NP-hard string problems; parameterized complexity; search tree algorithms","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:56e42ac3-4960-47c3-b51a-cba2431a777e","http://resolver.tudelft.nl/uuid:56e42ac3-4960-47c3-b51a-cba2431a777e","Individual Fairness Guarantees for Neural Networks","Benussi, Elias (University of Oxford); Patane, Andrea (University of Oxford); Wicker, Matthew (University of Oxford); Laurenti, L. (TU Delft Team Luca Laurenti); Kwiatkowska, Marta (University of Oxford)","De Raedt, Luc (editor)","2022","We consider the problem of certifying the individual fairness (IF) of feed-forward neural networks (NNs). In particular, we work with the ϵ-δ-IF formulation, which, given a NN and a similarity metric learnt from data, requires that the output difference between any pair of ϵ-similar individuals is bounded by a maximum decision tolerance δ ≥ 0. Working with a range of metrics, including the Mahalanobis distance, we propose a method to over-approximate the resulting optimisation problem using piecewise-linear functions to lower and upper bound the NN's non-linearities globally over the input space. We encode this computation as the solution of a Mixed-Integer Linear Programming problem and demonstrate that it can be used to compute IF guarantees on four datasets widely used for fairness benchmarking. We show how this formulation can be used to encourage models' fairness at training time by modifying the NN loss, and empirically confirm our approach yields NNs that are orders of magnitude fairer than state-of-the-art methods.","AI Ethics; Trust; Fairness: Fairness & Diversity","en","conference paper","International Joint Conferences on Artificial Intelligence (IJCAI)","","","","","","","","","","Team Luca Laurenti","","",""
"uuid:6f4bba53-2590-4e98-b60e-8fbd312ddd09","http://resolver.tudelft.nl/uuid:6f4bba53-2590-4e98-b60e-8fbd312ddd09","Early-stage design of novel vessels: How can we take a step forward?","Charisi, N.D. (TU Delft Ship Design, Production and Operations); Kana, A.A. (TU Delft Ship Design, Production and Operations); Hopman, J.J. (TU Delft Ship Design, Production and Operations)","","2022","The aim of this paper is to discuss the challenges associated with the early-stage design of novel and reliable vessels, and discuss some of the expected benefits of the application of multi-fidelity models in addressing some of their early-stage design problems. Traditionally, early-stage design tools are computationally cheap, but lack in accuracy. However, for the design of novel vessels, these tools are not sufficient. The first part of the paper discusses the challenges associated with the design of novel vessels. The second part of the paper focuses on a literature review on the application of the multi-fidelity models to the design of complex engineering systems. Finally, the most promising methods are identified and discussed.","Design Framework; Early-stage design; Multi-fidelity models; Novel vessels; Reliable vessels","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Ship Design, Production and Operations","","",""
"uuid:e6958edd-46cc-4e39-9e39-fbf809510c34","http://resolver.tudelft.nl/uuid:e6958edd-46cc-4e39-9e39-fbf809510c34","Design and implementation of a parsimonious neuromorphic PID for onboard altitude control for MAVs using neuromorphic processors","Stroobants, S. (TU Delft Control & Simulation); Dupeyroux, J.J.G. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation)","","2022","The great promises of neuromorphic sensing and processing for robotics have led researchers and engineers to investigate novel models for robust and reliable control of autonomous robots (navigation, obstacle detection and avoidance, etc.), especially for quadrotors in challenging contexts such as drone racing and aggressive maneuvers. Using spiking neural networks, these models can be run on neuromorphic hardware to benefit from outstanding update rates and high energy efficiency. Yet, low-level controllers are often neglected and remain outside of the neuromorphic loop. Designing low-level neuromorphic controllers is crucial to remove the standard PID, and therefore benefit from all the advantages of closing the neuromorphic loop. In this paper, we propose a parsimonious and adjustable neuromorphic PID controller, endowed with a minimal number of 93 neurons sparsely connected to achieve autonomous, onboard altitude control of a quadrotor equipped with Intel's Loihi neuromorphic chip. We successfully demonstrate the robustness of our proposed network in a set of experiments where the quadrotor is requested to reach a target altitude from take-off. Our results confirm the suitability of such low-level neuromorphic controllers, ultimately with a very high update frequency.","Micro-Air-Vehicles (MAVs); Neuromorphic control; Neuromorphic processors; Spiking Neural Networks (SNNs)","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Control & Simulation","","",""
"uuid:4a60b121-40e3-47bb-9057-9fcf6fd5ac76","http://resolver.tudelft.nl/uuid:4a60b121-40e3-47bb-9057-9fcf6fd5ac76","The Seventh Workshop on Search-Oriented Conversational Artificial Intelligence (SCAI'22)","Penha, G. (TU Delft Web Information Systems); Vakulenko, Svitlana (Amazon.com Inc.); Dusek, Ondrej (Charles University); Clark, Leigh (Swansea University); Pal, Vaishali (Universiteit van Amsterdam); Adlakha, Vaibhav (McGill University)","","2022","The goal of the seventh edition of SCAI (https: //scai.info) is to bring together and further grow a community of researchers and practitioners interested in conversational systems for information access. The previous iterations of the workshop already demonstrated the breadth and multidisciplinarity inherent in the design and development of conversational search agents. The proposed shift from traditional web search to search interfaces enabled via human-like dialogue leads to a number of challenges, and although such challenges have received more attention in the recent years, there are many pending research questions that should be addressed by the information retrieval community and can largely benefit from a collaboration with other research fields, such as natural language processing, machine learning, human-computer interaction and dialogue systems. This workshop is intended as a platform enabling a continuous discussion of the major research challenges that surround the design of search-oriented conversational systems. This year, participants have the opportunity to meet in person and have more in-depth interactive discussions with a full-day onsite workshop.","conversational information access; conversational search; information-seeking dialogue","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:553f3377-f4bd-4b19-a0ee-974d9947f62b","http://resolver.tudelft.nl/uuid:553f3377-f4bd-4b19-a0ee-974d9947f62b","SparCAssist: A Model Risk Assessment Assistant Based on Sparse Generated Counterfactuals","Zhang, Zijian (L3S Research Center); Setty, Vinay (University of Stavanger; L3S Research Center); Anand, A. (TU Delft Web Information Systems; L3S Research Center)","","2022","We introduce SparCAssist, a general-purpose risk assessment tool for the machine learning models trained for language tasks. It evaluates models' risk by inspecting their behavior on counterfactuals, namely out-of-distribution instances generated based on the given data instance. The counterfactuals are generated by replacing tokens in rational subsequences identified by ExPred, while the replacements are retrieved using HotFlip or the Masked-Language-Model-based algorithms. The main purpose of our system is to help the human annotators to assess the model's risk on deployment. The counterfactual instances generated during the assessment are the by-product and can be used to train more robust NLP models in the future.","counterfactual interpretation; data-annotation tools; human-in-the-loop machine learning; interpretable machine learning","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Web Information Systems","","",""
"uuid:75bcb8d7-ed75-40a2-92bd-2dc660f21b42","http://resolver.tudelft.nl/uuid:75bcb8d7-ed75-40a2-92bd-2dc660f21b42","Best-Response Bayesian Reinforcement Learning with Bayes-adaptive POMDPs for Centaurs","Celikok, M.M. (Aalto University); Oliehoek, F.A. (TU Delft Interactive Intelligence); Kaski, Samuel (Aalto University; The University of Manchester)","","2022","Centaurs are half-human, half-AI decision-makers where the AI's goal is to complement the human. To do so, the AI must be able to recognize the goals and constraints of the human and have the means to help them. We present a novel formulation of the interaction between the human and the AI as a sequential game where the agents are modelled using Bayesian best-response models. We show that in this case the AI's problem of helping bounded-rational humans make better decisions reduces to a Bayes-adaptive POMDP. In our simulated experiments, we consider an instantiation of our framework for humans who are subjectively optimistic about the AI's future behaviour. Our results show that when equipped with a model of the human, the AI can infer the human's bounds and nudge them towards better decisions. We discuss ways in which the machine can learn to improve upon its own limitations as well with the help of the human. We identify a novel trade-off for centaurs in partially observable tasks: for the AI's actions to be acceptable to the human, the machine must make sure their beliefs are sufficiently aligned, but aligning beliefs might be costly. We present a preliminary theoretical analysis of this trade-off and its dependence on task structure.","Bayesian Reinforcement Learning; Computational Rationality; Hybrid Intelligence; Multiagent Learning","en","conference paper","International Foundation for Autonomous Agents and Multiagent Systems (IFAAMAS)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-05","","","Interactive Intelligence","","",""
"uuid:4f6dd8eb-f5a9-4fac-b21c-6639cf98b3eb","http://resolver.tudelft.nl/uuid:4f6dd8eb-f5a9-4fac-b21c-6639cf98b3eb","BADDr: Bayes-Adaptive Deep Dropout RL for POMDPs","Katt, Sammie (Northeastern University); Nguyen, Hai (Northeastern University); Oliehoek, F.A. (TU Delft Interactive Intelligence); Amato, Christopher (Northeastern University)","","2022","While reinforcement learning (RL) has made great advances in scalability, exploration and partial observability are still active research topics. In contrast, Bayesian RL (BRL) provides a principled answer to both state estimation and the exploration-exploitation trade-off, but struggles to scale. To tackle this challenge, BRL frameworks with various prior assumptions have been proposed, with varied success. This work presents a representation-agnostic formulation of BRL under partially observability, unifying the previous models under one theoretical umbrella. To demonstrate its practical significance we also propose a novel derivation, Bayes-Adaptive Deep Dropout rl (BADDr), based on dropout networks. Under this parameterization, in contrast to previous work, the belief over the state and dynamics is a more scalable inference problem. We choose actions through Monte-Carlo tree search and empirically show that our method is competitive with state-of-the-art BRL methods on small domains while being able to solve much larger ones.","Bayesian RL; MCTS; POMDP","en","conference paper","International Foundation for Autonomous Agents and Multiagent Systems (IFAAMAS)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-05","","","Interactive Intelligence","","",""
"uuid:d7bfd0c6-12bc-4862-a815-6f16a3b9d541","http://resolver.tudelft.nl/uuid:d7bfd0c6-12bc-4862-a815-6f16a3b9d541","Automated Configuration and Usage of Strategy Portfolios for Mixed-Motive Bargaining","Renting, B.M. (TU Delft Interactive Intelligence; Universiteit Leiden); Hoos, Holger H. (Rheinisch-Westfälische Technische Hochschule; University of British Columbia); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden)","","2022","Bargaining can be used to resolve mixed-motive games in multiagent systems. Although there is an abundance of negotiation strategies implemented in automated negotiating agents, most agents are based on single fixed strategies, while it is acknowledged that there is no single best-performing strategy for all negotiation settings. In this paper, we focus on bargaining settings where opponents are repeatedly encountered, but the bargaining problems change. We introduce a novel method that automatically creates and deploys a portfolio of complementary negotiation strategies using a training set and optimise pay-off in never-before-seen bargaining settings through per-setting strategy selection. Our method relies on the following contributions. We introduce a feature representation that captures characteristics for both the opponent and the bargaining problem. We model the behaviour of an opponent during a negotiation based on its actions, which is indicative of its negotiation strategy, in order to be more effective in future encounters. Our combination of feature-based methods generalises to new negotiation settings, as in practice, over time, it selects effective counter strategies in future encounters. Our approach is tested in an Automated Negotiating Agents Competition (ANAC)-like tournament, and we show that we are capable of winning such a tournament with a 5.6% increase in pay-off compared to the runner-up agent.","Algorithm Configuration; Algorithm Selection; Bargaining; Mixed-Motive Games","en","conference paper","International Foundation for Autonomous Agents and Multiagent Systems (IFAAMAS)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-05","","","Interactive Intelligence","","",""
"uuid:4b786896-7b6a-4283-bdb4-55ec5fb7142d","http://resolver.tudelft.nl/uuid:4b786896-7b6a-4283-bdb4-55ec5fb7142d","Poincaré-Bendixson Limit Sets in Multi-Agent Learning","Czechowski, A.T. (TU Delft Interactive Intelligence); Piliouras, Georgios (Singapore University of Technology and Design)","","2022","A key challenge of evolutionary game theory and multi-agent learning is to characterize the limit behavior of game dynamics. Whereas convergence is often a property of learning algorithms in games satisfying a particular reward structure (e.g., zero-sum games), even basic learning models, such as the replicator dynamics, are not guaranteed to converge for general payoffs. Worse yet, chaotic behavior is possible even in rather simple games, such as variants of the Rock-Paper-Scissors game. Although chaotic behavior in learning dynamics can be precluded by the celebrated Poincaré-Bendixson theorem, it is only applicable to low-dimensional settings. Are there other characteristics of a game that can force regularity in the limit sets of learning? We show that behavior consistent with the Poincaré-Bendixson theorem (limit cycles, but no chaotic attractor) can follow purely from the topological structure of the interaction graph, even for high-dimensional settings with an arbitrary number of players and arbitrary payoff matrices. We prove our result for a wide class of follow-the-regularized leader (FoReL) dynamics, which generalize replicator dynamics, for binary games characterized interaction graphs where the payoffs of each player are only affected by one other player (i.e., interaction graphs of indegree one). Since chaos occurs already in games with only two players and three strategies, this class of non-chaotic games may be considered maximal. Moreover, we provide simple conditions under which such behavior translates into efficiency guarantees, implying that FoReL learning achieves time-averaged sum of payoffs at least as good as that of a Nash equilibrium, thereby connecting the topology of the dynamics to social-welfare analysis.","Follow-the-Regularized Leader; Poincaré-Bendixson Theorem; Polymatrix Games; Regret Minimization; Replicator Dynamics","en","conference paper","International Foundation for Autonomous Agents and Multiagent Systems (IFAAMAS)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Interactive Intelligence","","",""
"uuid:0307bd82-46f0-4387-a805-53bf44c572b0","http://resolver.tudelft.nl/uuid:0307bd82-46f0-4387-a805-53bf44c572b0","Speeding up Deep Reinforcement Learning through Influence-Augmented Local Simulators","Suau, M. (TU Delft Interactive Intelligence); He, J. (TU Delft Interactive Intelligence); Spaan, M.T.J. (TU Delft Algorithmics); Oliehoek, F.A. (TU Delft Interactive Intelligence)","","2022","Learning effective policies for real-world problems is still an open challenge for the field of reinforcement learning (RL). The main limitation being the amount of data needed and the pace at which that data can be obtained. In this paper, we study how to build lightweight simulators of complicated systems that can run sufficiently fast for deep RL to be applicable. We focus on domains where agents interact with a reduced portion of a larger environment while still being affected by the global dynamics. Our method combines the use of local simulators with learned models that mimic the influence of the global system. The experiments reveal that incorporating this idea into the deep RL workflow can considerably accelerate the training process and presents several opportunities for the future.","Deep Reinforcement Learning; Influence; Simulation","en","conference paper","International Foundation for Autonomous Agents and Multiagent Systems (IFAAMAS)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-05","","","Interactive Intelligence","","",""
"uuid:6e7f9017-3fb6-4779-adea-0d0c62fb3019","http://resolver.tudelft.nl/uuid:6e7f9017-3fb6-4779-adea-0d0c62fb3019","Revisiting Bundle Recommendation: Datasets, Tasks, Challenges and Opportunities for Intent-aware Product Bundling","Sun, Zhu (Institute of High Performance Computing); Yang, J. (TU Delft Web Information Systems); Feng, Kaidong (Yanshan University); Fang, Hui (Shanghai University of Finance and Economics); Qu, Xinghua (Bytedance AI Lab); Ong, Yew Soon (Nanyang Technological University)","","2022","Product bundling is a commonly-used marketing strategy in both offline retailers and online e-commerce systems. Current research on bundle recommendation is limited by: (1) noisy datasets, where bundles are defined by heuristics, e.g., products co-purchased in the same session; and (2) specific tasks, holding unrealistic assumptions, e.g., the availability of bundles for recommendation directly. In this paper, we propose to take a step back and consider the process of bundle recommendation from a holistic user experience perspective. We first construct high-quality bundle datasets with rich meta information, particularly bundle intents, through a carefully designed crowd-sourcing task. We then define a series of tasks that together, support all key steps in a typical bundle recommendation process, from bundle detection, completion, ranking, to explanation and auto-naming. Finally, we conduct extensive experiments and in-depth analysis that demonstrate the challenges of bundle recommendation, arising from the need for capturing complex relations among users, products, and bundles, as well as the research opportunities, especially in graph-based neural methods. To sum up, our study delivers new data sources, opens up new research directions, and provides useful guidance for product bundling in real e-commerce platforms. Our datasets are available at GitHub (\urlhttps: //github.com/BundleRec/bundle_recommendation ).","bundle completion; bundle detection; bundle ranking; crowd-sourcing; product bundling; user intents","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Web Information Systems","","",""
"uuid:a63f859b-6be5-4314-a94f-951148392671","http://resolver.tudelft.nl/uuid:a63f859b-6be5-4314-a94f-951148392671","Supervised Contrastive Learning Approach for Contextual Ranking","Anand, Abhijit (L3S); Leonhardt, Jurek (L3S); Rudra, Koustav (Indian Institute of Technology (IIT)); Anand, A. (TU Delft Web Information Systems)","","2022","Contextual ranking models have delivered impressive performance improvements over classical models in the document ranking task. However, these highly over-parameterized models tend to be data-hungry and require large amounts of data even for fine tuning. This paper proposes a simple yet effective method to improve ranking performance on smaller datasets using supervised contrastive learning for the document ranking problem. We perform data augmentation by creating training data using parts of the relevant documents in the query-document pairs. We then use a supervised contrastive learning objective to learn an effective ranking model from the augmented dataset. Our experiments on subsets of the TREC-DL dataset show that, although data augmentation leads to an increasing the training data sizes, it does not necessarily improve the performance using existing pointwise or pairwise training objectives. However, our proposed supervised contrastive loss objective leads to performance improvements over the standard non-augmented setting showcasing the utility of data augmentation using contrastive losses. Finally, we show the real benefit of using supervised contrastive learning objectives by showing marked improvements in smaller ranking datasets relating to news (Robust04), finance (FiQA), and scientific fact checking (SciFact).","data augmentation; document ranking; interpolation; ranking performance; supervised contrastive loss","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Web Information Systems","","",""
"uuid:4c6da9fa-794e-4ad3-b561-be2115000def","http://resolver.tudelft.nl/uuid:4c6da9fa-794e-4ad3-b561-be2115000def","BERT Rankers are Brittle: A Study using Adversarial Document Perturbations","Wang, Yumeng (L3S); Lyu, Lijun (L3S); Anand, A. (TU Delft Web Information Systems)","","2022","Contextual ranking models based on BERT are now well established for a wide range of passage and document ranking tasks. However, the robustness of BERT-based ranking models under adversarial inputs is under-explored. In this paper, we argue that BERT-rankers are not immune to adversarial attacks targeting retrieved documents given a query. Firstly, we propose algorithms for adversarial perturbation of both highly relevant and non-relevant documents using gradient-based optimization methods. The aim of our algorithms is to add/replace a small number of tokens to a highly relevant or non-relevant document to cause a large rank demotion or promotion. Our experiments show that a small number of tokens can already result in a large change in the rank of a document. Moreover, we find that BERT-rankers heavily rely on the document start/head for relevance prediction, making the initial part of the document more susceptible to adversarial attacks. More interestingly, we find a small set of recurring adversarial words that when added to documents result in successful rank demotion/promotion of any relevant/non-relevant document respectively. Finally, our adversarial tokens also show particular topic preferences within and across datasets, exposing potential biases from BERT pre-training or downstream datasets.","adversarial attack; bert; biases; neural networks; ranking","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Web Information Systems","","",""
"uuid:c0215473-7802-448f-88fa-9138fc8759dc","http://resolver.tudelft.nl/uuid:c0215473-7802-448f-88fa-9138fc8759dc","The artificial-social-agent questionnaire: Establishing the long and short questionnaire versions","Fitrianie, S. (TU Delft Interactive Intelligence); Bruijnes, M. (TU Delft Interactive Intelligence; Universiteit Utrecht; School of Business Administration, Northeastern University); Li, Fengxiang; Abdulrahman, A. (TU Delft Interactive Intelligence); Brinkman, W.P. (TU Delft Interactive Intelligence)","","2022","We present the ASA Questionnaire, an instrument for evaluating human interaction with an artificial social agent (ASA), resulting from multi-year efforts involving more than 100 Intelligent Virtual Agent (IVA) researchers worldwide. It has 19 measurement constructs constituted by 90 items, which capture more than 80% of the constructs identified in empirical studies published in the IVA conference 2013 - 2018. This paper reports on construct validity analysis, specifically convergent and discriminant validity of initial 131 instrument items that involved 532 crowd-workers who were asked to rate human interaction with 14 different ASAs. The analysis included several factor analysis models and resulted in the selection of 90 items for inclusion in the long version of the ASA questionnaire. In addition, a representative item of each construct or dimension was selected to create a 24-item short version of the ASA questionnaire. Whereas the long version is suitable for a comprehensive evaluation of human-ASA interaction, the short version allows quick analysis and description of the interaction with the ASA. To support reporting ASA questionnaire results, we also put forward an ASA chart. The chart provides a quick overview of the agent profile.","artificial social agent; construct validity; evaluation instrument; questionnaire; user study","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:6e09c925-506d-41c5-a4e1-36369ea905e4","http://resolver.tudelft.nl/uuid:6e09c925-506d-41c5-a4e1-36369ea905e4","Virtual Reality Tool for Human-Machine Interface Evaluation and Development (VRHEAD)","Aldea, Anna (SWOV Institute for Road Safety Research); Tinga, Angelica M. (SWOV Institute for Road Safety Research); van Zeumeren, I.M. (TU Delft Design Aesthetics); van Nes, C.N. (TU Delft Applied Ergonomics and Design; SWOV Institute for Road Safety Research); Aschenbrenner, D. (Aalen University, Aalen)","","2022","Higher levels of vehicle automation come with new challenges for designing safe systems. The Human Machine-Interface (HMI) plays a key role in mediating the interaction between the human driver and vehicle automation. By providing the driver with appropriate feedback, the HMI has the potential to increase mode awareness and situational awareness. For the development of appropriate HMI solutions, usability assessments are essential. Immersive Virtual Reality (VR) technology enables researchers and designers to construct realistic virtual prototypes and immersive evaluation scenarios with less time and resources. The current study presents a VR evaluation tool called VRHEAD, which is designed to facilitate an iterative design process and support the rapid implementation of virtual prototypes to evaluate of an automated vehicle's HMI. Initial results indicate that VRHEAD is a promising approach for the rapid implementation and evaluation of design concepts. The use of VR tools, like VRHEAD, can reduce the time and costs associated with developing high-fidelity prototypes and provide more flexibility in modifying a design according to new research findings, thus broadening the exploration of the HMI design space.","automated driving; design evaluation; design for experiments; HMI; Human Centered Design; human-machine interaction; iterative design process; mode awareness; rapid prototyping; virtual reality","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Aesthetics","","",""
"uuid:710d628c-8363-41e6-b1f7-fe32341d271d","http://resolver.tudelft.nl/uuid:710d628c-8363-41e6-b1f7-fe32341d271d","An Ensemble Learning Framework for Vehicle Trajectory Prediction in Interactive Scenarios","Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology); Lin, Yunlong (Beijing Institute of Technology); Cheng, Gong (Beijing Institute of Technology); Wang, X. (TU Delft Transport and Planning); Liu, Qi (Beijing Institute of Technology); Gong, Jianwei (Beijing Institute of Technology); Lu, Chao (Beijing Institute of Technology)","","2022","Precisely modeling interactions and accurately predicting trajectories of surrounding vehicles are essential to the decision-making and path-planning of intelligent vehicles. This paper proposes a novel framework based on ensemble learning to improve the performance of trajectory predictions in interactive scenarios. The framework is termed Interactive Ensemble Trajectory Predictor (IETP). IETP assembles interaction-aware trajectory predictors as base learners to build an ensemble learner. Firstly, each base learner in IETP observes historical trajectories of vehicles in the scene. Then each base learner handles interactions between vehicles to predict trajectories. Finally, an ensemble learner is built to predict trajectories by applying two ensemble strategies on the predictions from all base learners. Predictions generated by the ensemble learner are final outputs of IETP. In this study, three experiments using different data are conducted based on the NGSIM dataset. Experimental results show that IETP improves the predicting accuracy and decreases the variance of errors compared to base learners. In addition, IETP exceeds baseline models with 50% of the training data, indicating that IETP is data-efficient. Moreover, the implementation of IETP is publicly available at https://github.com/BIT-Jack/IETP.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:00558f86-62db-4d5f-98a7-8811200ac67b","http://resolver.tudelft.nl/uuid:00558f86-62db-4d5f-98a7-8811200ac67b","Deep confocal fluorescence microscopy with single-photon superconducting nanowire detector","Xia, Fei (Cornell University College of Engineering; Sorbonne Université); Gevers, Monique (Single Quantum); Fognini, Andreas (Single Quantum); Mok, Aaron T. (Cornell University College of Engineering); Li, Bo (Cornell University College of Engineering); Akabri, Najva (Cornell University College of Engineering); Esmaeil Zadeh, I.Z. (TU Delft ImPhys/Optics; Single Quantum); Qin-Dregely, Y. (Single Quantum); Xu, Chris (Cornell University College of Engineering)","Itzler, Mark A. (editor); Bienfang, Joshua C. (editor); McIntosh, K. Alex (editor)","2022","Using short-wave infrared wavelength advantages, we demonstrate one-photon fluorescence confocal microscopy of adult mouse brains with penetration depths up to 1.7mm. This is achieved by labeling quantum dots with 1300 nm excitation and 1700 nm emission and detecting them with a single-photon superconducting nanowire detector.","","en","conference paper","SPIE","","","","","","","","","","ImPhys/Optics","","",""
"uuid:0386fae7-947f-43de-aaa1-aa9c164e85af","http://resolver.tudelft.nl/uuid:0386fae7-947f-43de-aaa1-aa9c164e85af","Cross-Domain Classification of Moral Values","Liscio, E. (TU Delft Interactive Intelligence); Dondera, Alin E. (Student TU Delft); Geadau, Andrei (Student TU Delft); Jonker, C.M. (TU Delft Interactive Intelligence); Murukannaiah, P.K. (TU Delft Interactive Intelligence)","","2022","Moral values influence how we interpret and act upon the information we receive. Identifying human moral values is essential for artificially intelligent agents to co-exist with humans. Recent progress in natural language processing allows the identification of moral values in textual discourse. However, domain-specific moral rhetoric poses challenges for transferring knowledge from one domain to another. We provide the first extensive investigation on the effects of cross-domain classification of moral values from text. We compare a state-of-the-art deep learning model (BERT) in seven domains and four cross-domain settings. We show that a value classifier can generalize and transfer knowledge to novel domains, but it can introduce catastrophic forgetting. We also highlight the typical classification errors in cross-domain value classification and compare the model predictions to the annotators agreement. Our results provide insights to computer and social scientists that seek to identify moral rhetoric specific to a domain of discourse.","","en","conference paper","Association for Computational Linguistics (ACL)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:1101d21c-bd20-4e6c-8573-2945a48fef9a","http://resolver.tudelft.nl/uuid:1101d21c-bd20-4e6c-8573-2945a48fef9a","Unsupervised Domain Adaptation for Question Generation with Domain Data Selection and Self-training","Zhu, P. (TU Delft Web Information Systems); Hauff, C. (TU Delft Web Information Systems)","","2022","Question generation (QG) approaches based on large neural models require (i) large-scale and (ii) high-quality training data. These two requirements pose difficulties for specific application domains where training data is expensive and difficult to obtain. The trained QG models' effectiveness can degrade significantly when they are applied on a different domain due to domain shift. In this paper, we explore an unsupervised domain adaptation approach to combat the lack of training data and domain shift issue with domain data selection and self-training. We first present a novel answer-aware strategy for domain data selection to select data with the most similarity to a new domain. The selected data are then used as pseudo in-domain data to retrain the QG model. We then present generation confidenceguided self-training with two generation confidence modeling methods: (i) generated questions' perplexity and (ii) the fluency score. We test our approaches on three large public datasets with different domain similarities, using a transformer-based pre-trained QG model. The results show that our proposed approaches outperform the baselines, and show the viability of unsupervised domain adaptation with answer-aware data selection and self-training on the QG task. The code is available at https://github.com/zpeide/transfer_qg.","","en","conference paper","Association for Computational Linguistics (ACL)","","","","","","","","","","Web Information Systems","","",""
"uuid:7ba50857-075e-442d-860c-7f8860d1e2c8","http://resolver.tudelft.nl/uuid:7ba50857-075e-442d-860c-7f8860d1e2c8","Bringing Manufacturing into the MDO domain using MBSE","van der Laan, Ton (Fokker/GKN Aerospace); van Manen, Bas (Fokker/GKN Aerospace); Bruggeman, A.M.R.M. (TU Delft Flight Performance and Propulsion); Wang, Z. (TU Delft Aerospace Structures & Computational Mechanics); Peeters, D.M.J. (TU Delft Aerospace Structures & Computational Mechanics); Sonneveld, J.S. (TU Delft Flight Performance and Propulsion); Timmermans, Huub (Royal Netherlands Aerospace Centre NLR)","","2022","Most MDO problems currently do not include manufacturing as an optimization domain. Within the H2020 project AGILE 4.0 the intent is to bring manufacturing into the MDO domain using MBSE techniques developed within the project. To demonstrate how manufacturing can be brought into the MDO domain application cases are set up that resemble MDO problems from industry. In this paper, the MDO techniques will be used for the design of a Flap for a regional jet. The manufacturing aspect is represented by including the manufacturing cost of the flap in a Design Of Experiments (DOE). In this DOE different flap kinematic mechanisms and different flap sizes and paths are explored. The DOE is set up using the MDO toolset developed within AGILE 4.0. It allows for an automatic definition of the DOE workflow. The DOE results show that the choice of flap configuration has a significant effect on the Flap manufacturing cost, the flap wright and the landing performance of the aircraft. Next steps will be to investigate more flap configurations, improved the manufacturing cost model used and to set up a true flap optimization.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Flight Performance and Propulsion","","",""
"uuid:4bb9151a-3ba5-4b97-9480-3d71dea5379e","http://resolver.tudelft.nl/uuid:4bb9151a-3ba5-4b97-9480-3d71dea5379e","A 3V 15b 157W Cryo-CMOS DAC for Multiplexed Spin-Qubit Biasing","Enthoven, L.A. (TU Delft QCD/Sebastiano Lab); van Staveren, J. (TU Delft QCD/Sebastiano Lab); Gong, J.; Babaie, M. (TU Delft Electronics); Sebastiano, F. (TU Delft Quantum Circuit Architectures and Technology)","","2022","This paper presents a 15b cryo-CMOS DAC for multiplexed spin-qubit biasing implemented in a 22-nm FinFET process. The integrating-DAC architecture and the robust digitally-assisted high-voltage output stage enable a low power dissipation (157W) and small area (0.08mm2) independent of the number of biased qubits, and a 3V output range well beyond the nominal supply. This represents the first scalable solution for cryo-CMOS qubit biasing, which achieves a 1.8× better voltage resolution with a lower DNL over a 3× larger output range than the current state-of-the-art.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","QCD/Sebastiano Lab","","",""
"uuid:42ba0e43-7625-4aee-9e72-94c1ecf162e6","http://resolver.tudelft.nl/uuid:42ba0e43-7625-4aee-9e72-94c1ecf162e6","Parameterized Verification under Release Acquire is PSPACE-complete","Krishna, Shankaranarayanan (Indian Institute of Technology Bombay); Godbole, Adwait (University of California Berkeley); Meyer, Roland (Technical University of Braunschweig); Chakraborty, S.S. (TU Delft Programming Languages)","","2022","We study the safety verification problem for parameterized systems under the release-acquire (RA) semantics. In the non-parameterized setting, access to atomic compare-and-swap (CAS) instructions renders the safety verification problem undecidable. In the light of this result, we consider parameterized systems consisting of an unbounded number of environment threads executing identical but CAS-free programs combined with a fixed number of distinguished threads that are unrestricted. Our first contribution is an effective and simplified RA semantics for such systems. We leverage the simplified semantics to show that safety verification becomes PSPACE in the parameterized case, an optimistic result for algorithmic verification. Our proof uses an encoding to Datalog which, in addition to the complexity upper bound, suggests a verification algorithm based on Horn clause solvers. We also provide a matching lower bound showing that safety verification is PSPACE-hard.","model-checking; parameterized verification; release-acquire semantics; shared memory; weak memory models","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Programming Languages","","",""
"uuid:2798ef58-241b-4042-b598-dde8ec74154b","http://resolver.tudelft.nl/uuid:2798ef58-241b-4042-b598-dde8ec74154b","Experimental Investigation of the Aerodynamic Performance of a Propeller at Positive and Negative Thrust and Power","Nederlof, R. (TU Delft Flight Performance and Propulsion); Ragni, D. (TU Delft Wind Energy); Sinnige, T. (TU Delft Flight Performance and Propulsion)","","2022","The use of electric motors enables a more flexible operation of propellers compared to conventional combustion engines. One possible benefit is the easier operation at negative thrust, which could be beneficial for energy recovery, control purposes, and lower noise through steeper descents. By changing the pitch of the propellers and actively braking them, the torque and thrust are in the opposite direction compared to the conventional positive thrust conditions. The aerodynamic off-design operations at the blade section in this operational regime impact the blade loading. An experimental investigation was carried out to analyze the aerodynamic performance of a three-bladed propeller in both positive and negative thrust and power conditions. Next to the integral propeller forces, the slipstream was analyzed to obtain a better understanding of the physical phenomena that determine the performance in the negative thrust regime. Both stereoscopic PIV and a 5-hole probe were used to obtain the local velocity and total pressure distributions inside the slipstream for three different thrust settings. The results show that the negative thrust operation is dominated by stall on a large part of the blades, limiting the negative shaft power. The maximum energy-harvesting efficiency is obtained for a low pitch setting and was found to be about 11%. However, the energy-harvesting at low pitch settings comes at a cost of high negative thrust. For low negative thrust values, the propeller can actually harvest more energy at a higher pitch setting. The slipstream analyses showed an almost flat blade radial loading distribution in the negative thrust regime indicating sub-optimal blade performance and possible separation on the blade sections. The velocity field in the propeller slipstream showed a reduction in axial velocity and an opposite swirl direction compared to the positive thrust mode when the propeller was used to harvest energy.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:0c5943d2-069c-4d3b-a1b0-db886baa6c93","http://resolver.tudelft.nl/uuid:0c5943d2-069c-4d3b-a1b0-db886baa6c93","Great Chain of Agents: The Role of Metaphorical Representation of Agents in Conversational Crowdsourcing","Jung, Ji Youn (Student TU Delft); Qiu, S. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence); Gadiraju, Ujwal (TU Delft Web Information Systems)","Lampe, Cliff (editor); Barbosa, Simone (editor)","2022","Conversational agents are being widely adopted across several domains to serve a variety of purposes ranging from providing intelligent assistance to companionship. Recent literature has shown that users develop intuitive folk theories and a metaphorical understanding of conversational agents (CAs) due to the lack of a mental model of the agents. However, investigation of metaphorical agent representation in the HCI community has mainly focused on the human level, despite non-human metaphors for agents being prevalent in the real world. We adopted Lakoff and Turner's 'Great Chain of Being' framework to systematically investigate the impact of using non-human metaphors to represent conversational agents on worker engagement in crowdsourcing marketplaces. We designed a text-based conversational agent that assists crowd workers in task execution. Through a between-subjects experimental study (N = 341), we explored how different human and non-human metaphors affect worker engagement, the perceived cognitive load of workers, intrinsic motivation, and their trust in the agents. Our findings bridge the gap of how users experience CAs with non-human metaphors in the context of conversational crowdsourcing.","Conceptual metaphors; Conversational agent; Crowdsourcing; Engagement; Great chain of being; Human-agent interaction; Human-AI interaction; Trust","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:1c1b1b2b-9834-4be9-824f-bfbeb73570f0","http://resolver.tudelft.nl/uuid:1c1b1b2b-9834-4be9-824f-bfbeb73570f0","For What It's Worth: Humans Overwrite Their Economic Self-interest to Avoid Bargaining With AI Systems","Erlei, Alexander (Georg-August-University); Das, Richeek (Indian Institute of Technology Bombay); Meub, Lukas (Georg-August-University); Anand, A. (Leibniz Universität); Gadiraju, Ujwal (TU Delft Web Information Systems)","","2022","As algorithms are increasingly augmenting and substituting human decision-making, understanding how the introduction of computational agents changes the fundamentals of human behavior becomes vital. This pertains to not only users, but also those parties who face the consequences of an algorithmic decision. In a controlled experiment with 480 participants, we exploit an extended version of two-player ultimatum bargaining where responders choose to bargain with either another human, another human with an AI decision aid or an autonomous AI-system acting on behalf of a passive human proposer. Our results show strong responder preferences against the algorithm, as most responders opt for a human opponent and demand higher compensation to reach a contract with autonomous agents. To map these preferences to economic expectations, we elicit incentivized subject beliefs about their opponent's behavior. The majority of responders maximize their expected value when this is line with approaching the human proposer. In contrast, responders predicting income maximization for the autonomous AI-system overwhelmingly override economic self-interest to avoid the algorithm.","AI system; Decision Support System; Human-AI Interaction; Market Interaction; Online Experiment; Ultimatum Bargaining","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:c7e51e9f-bda4-42ce-a625-c94ca07d391f","http://resolver.tudelft.nl/uuid:c7e51e9f-bda4-42ce-a625-c94ca07d391f","Studying the effect of strain induced birefringence on the sensitivity of FBG sensors for Guided wave measurements","Soman, Rohan (Polish Academy of Sciences); Fazzi, L. (TU Delft Structural Integrity & Composites); Nokhbatolfoghahai, A. (TU Delft Structural Integrity & Composites); Groves, R.M. (TU Delft Structural Integrity & Composites); Ostachowicz, Wieslaw (Polish Academy of Sciences)","Fromme, Paul (editor); Su, Zhongqing (editor)","2022","To perform active structural health monitoring (SHM), guided waves (GW) have received great interest as they can inspect large areas with a few sensors and are sensitive to barely-visible structural damages. Fiber Bragg grating (FBG) sensors offer several advantages such as small size, low weight and ability to be embedded but their use has been limited for GW sensing due to their limited sensitivity while using spectrometers. FBG sensors in the edge-filtering configuration have overcome this issue with reasonable sensitivity and there is a renewed interest in their use. It is well known that when subjected to a transverse strain, the circular cross-section of the fiber deforms into an elliptical shape generating the birefringence phenomenon. This deformation, influences the coupling mode of the light inside the FBG and hence, modifies the resulting reflectivity spectrum. This paper investigates how controlled changes in the reflectivity spectrum can be introduced using different transverse loads. The effect of the modified spectrum on the sensitivity of the FBG for GW measurements is then studied. The study also investigates the effect of the transverse strain on the coupling of the GW from the structure into the fiber.","fiber Bragg grating birefringence; fiber optic sensors; guided waves; plate; sensitivity","en","conference paper","SPIE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Structural Integrity & Composites","","",""
"uuid:81474f5a-1216-4dba-845f-3be5c2c19fe3","http://resolver.tudelft.nl/uuid:81474f5a-1216-4dba-845f-3be5c2c19fe3","Data variation-aware medical image segmentation","Dushatskiy, A. (Centrum Wiskunde & Informatica (CWI)); Lowe, Gerry (Mount Vernon Cancer Centre); Bosman, P.A.N. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI)); Alderliesten, T. (Leiden University Medical Center)","Colliot, Olivier (editor); Isgum, Ivana (editor); Landman, Bennett A. (editor); Loew, Murray H. (editor)","2022","Deep learning algorithms have become the golden standard for segmentation of medical imaging data. In most works, the variability and heterogeneity of real clinical data is acknowledged to still be a problem. One way to automatically overcome this is to capture and exploit this variation explicitly. Here, we propose an approach that improves on our previous work in this area and explain how it potentially can improve clinical acceptance of (semi-)automatic segmentation methods. In contrast to a standard neural network that produces one segmentation, we propose to use a multi-path Unet network that produces multiple segmentation variants, presumably corresponding to the variations that reside in the dataset. Different paths of the network are trained on disjoint data subsets. Because a priori it may be unclear what variations exist in the data, the subsets should be automatically determined. This is achieved by searching for the best data partitioning with an evolutionary optimization algorithm. Because each network path can become more specialized when trained on a more homogeneous data subset, better segmentation quality can be achieved. In practical usage, various automatically produced segmentations can be presented to a medical expert, from which the preferred segmentation can be selected. In experiments with a real clinical dataset of CT scans with prostate segmentations, our approach provides an improvement of several percentage points in terms of Dice and surface Dice coefficients compared to when all network paths are trained on all training data. Noticeably, the largest improvement occurs in the upper part of the prostate that is known to be most prone to inter-observer segmentation variation.","Deep Learning; Evolutionary Algorithms; Medical Image Segmentation; Observer Variation; Prostate Segmentation","en","conference paper","SPIE","","","","","","","","","","Algorithmics","","",""
"uuid:b81c988e-a8ab-4f5c-805f-e3dd5b962fcc","http://resolver.tudelft.nl/uuid:b81c988e-a8ab-4f5c-805f-e3dd5b962fcc","Gamified apps for sustainable consumption: A systematic review","Guillén, Georgina M. (Tampere University); Galeote, Daniel Fernández (Tampere University); Sicevic, Nevena (Tampere University); Hamari, Juho (Tampere University); Quist, J.N. (TU Delft Energie and Industrie)","Bujić, Mila (editor); Koivisto, Jonna (editor); Hamari, Juho (editor)","2022","Mobile apps are ubiquitous, affecting our everyday practices because “there is always an app for that”. In this vein, there have been a significant number of apps devised to support people’s lifestyles to make them more sustainable. This study aims to draw an overview of gamified mobile apps for sustainable consumption. Following a systematic process, this study analyzes 67 gamified apps’ sustainability approaches and gamification concepts. It was found that (1) sustainable consumption is generally presented as the efficient use of resources to impact the environment positively, rarely addressing societal impacts or economic gains from shifting consumption practices. Other findings include (2) a lack of diversity in gamification characteristics, given the prevalence of direct communication with the user, the absence of virtual identities, and most apps targeting behavior change without attitude change. A potentially problematic design choice is (3) the presence, in some cases, of external rewards that are often contradictory to the message of sustainable consumption as they lead to more consumption. Nonetheless, based on most apps embedding sustainable consumption activities in the gamification concept and having a large number of users, it is possible to conclude that gamification has the potential to motivate shifts in their users’ lifestyles.","gamification; Mobile apps; review; sustainable consumption","en","conference paper","","","","","","","","","","","Energie and Industrie","","",""
"uuid:f3800d88-755e-46e8-927d-5e70188fc47d","http://resolver.tudelft.nl/uuid:f3800d88-755e-46e8-927d-5e70188fc47d","Join Path-Based Data Augmentation for Decision Trees","Ionescu, A. (TU Delft Web Information Systems); Hai, R. (TU Delft Web Information Systems); Fragkoulis, M. (Delivery Hero SE); Katsifodimos, A (TU Delft Web Information Systems)","O'Conner, L. (editor)","2022","Machine Learning (ML) applications require high-quality datasets. Automated data augmentation techniques can help increase the richness of training data, thus increasing the ML model accuracy. Existing solutions focus on efficiency and ML model accuracy but do not exploit the richness of dataset relationships. With relational data, the challenge lies in identifying join paths that best augment a feature table to increase the performance of a model. In this paper we propose a two-step, automated data augmentation approach for relational data that involves: (i) enumerating join paths of various lengths given a base table and (ii) ranking the join paths using filter methods for feature selection. We show that our approach can improve prediction accuracy and reduce runtime compared to the baseline approach.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Web Information Systems","","",""
"uuid:a1c4fc01-5e10-46d9-b531-b718070ed63e","http://resolver.tudelft.nl/uuid:a1c4fc01-5e10-46d9-b531-b718070ed63e","BotHunter: An Approach to Detect Software Bots in GitHub","Abdellatif, Ahmad (Concordia University); Wessel, Mairieli (TU Delft Software Engineering); Steinmacher, Igor (Universidade Tecnológica Federal Do Paraná (UTFPR)); Gerosa, Marco A. (Northern Arizona University); Shihab, Emad (Concordia University)","","2022","Bots have become popular in software projects as they play critical roles, from running tests to fixing bugs/vulnerabilities. However, the large number of software bots adds extra effort to practitioners and researchers to distinguish human accounts from bot accounts to avoid bias in data-driven studies. Researchers developed several approaches to identify bots at specific activity levels (issue/pull request or commit), considering a single repository and disregarding features that showed to be effective in other domains. To address this gap, we propose using a machine learning-based approach to identify the bot accounts regardless of their activity level. We selected and extracted 19 features related to the account's profile information, activities, and comment similarity. Then, we evaluated the performance of five machine learning classifiers using a dataset that has more than 5,000 GitHub accounts. Our results show that the Random Forest classifier performs the best, with an F1-score of 92.4% and AUC of 98.7%. Furthermore, the account profile information (e.g., account login) contains the most relevant features to identify the account type. Finally, we compare the performance of our Random Forest classifier to the state-of-the-art approaches, and our results show that our model outperforms the state-of-the-art techniques in identifying the account type regardless of their activity level.","Empirical Software Engineering; Software Bots","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","","","2023-06-01","","","Software Engineering","","",""
"uuid:8661c485-5d4b-48c5-bba9-8bb21f72ff72","http://resolver.tudelft.nl/uuid:8661c485-5d4b-48c5-bba9-8bb21f72ff72","Finding typing compiler bugs","Chaliasos, Stefanos (Imperial College London); Sotiropoulos, Thodoris (Athens University of Economics and Business); Spinellis, D. (TU Delft Software Engineering; Athens University of Economics and Business); Gervais, Arthur (Imperial College London); Livshits, Benjamin (Imperial College London); Mitropoulos, Dimitris (National and Capodistrian University of Athens)","Jhala, Ranjit (editor); Dillig, Isil (editor)","2022","We propose a testing framework for validating static typing procedures in compilers. Our core component is a program generator suitably crafted for producing programs that are likely to trigger typing compiler bugs. One of our main contributions is that our program generator gives rise to transformation-based compiler testing for finding typing bugs. We present two novel approaches (type erasure mutation and type overwriting mutation) that apply targeted transformations to an input program to reveal type inference and soundness compiler bugs respectively. Both approaches are guided by an intra-procedural type inference analysis used to capture type information flow. We implement our techniques as a tool, which we call Hephaestus. The extensibility of Hephaestus enables us to test the compilers of three popular JVM languages: Java, Kotlin, and Groovy. Within nine months of testing, we have found 156 bugs (137 confirmed and 85 fixed) with diverse manifestations and root causes in all the examined compilers. Most of the discovered bugs lie in the heart of many critical components related to static typing, such as type inference.","compiler bugs; compiler testing; Groovy; Java; Kotlin; static typing","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-02","","","Software Engineering","","",""
"uuid:869a2249-19dd-4a54-a4f5-6184cba7bb08","http://resolver.tudelft.nl/uuid:869a2249-19dd-4a54-a4f5-6184cba7bb08","Lasagne: a static binary translator for weak memory model architectures","Rocha, Rodrigo C.O. (University of Edinburgh); Sprokholt, D.G. (TU Delft Programming Languages); Fink, Martin (Technische Universität München); Gouicem, Redha (Technische Universität München); Spink, Tom (University of St Andrews); Chakraborty, S.S. (TU Delft Programming Languages); Bhatotia, Pramod (Technische Universität München)","Jhala, Ranjit (editor); Dillig, Isil (editor)","2022","The emergence of new architectures create a recurring challenge to ensure that existing programs still work on them. Manually porting legacy code is often impractical. Static binary translation (SBT) is a process where a program's binary is automatically translated from one architecture to another, while preserving their original semantics. However, these SBT tools have limited support to various advanced architectural features. Importantly, they are currently unable to translate concurrent binaries. The main challenge arises from the mismatches of the memory consistency model specified by the different architectures, especially when porting existing binaries to a weak memory model architecture. In this paper, we propose Lasagne, an end-to-end static binary translator with precise translation rules between x86 and Arm concurrency semantics. First, we propose a concurrency model for Lasagne's intermediate representation (IR) and formally proved mappings between the IR and the two architectures. The memory ordering is preserved by introducing fences in the translated code. Finally, we propose optimizations focused on raising the level of abstraction of memory address calculations and reducing the number of fences. Our evaluation shows that Lasagne reduces the number of fences by up to about 65%, with an average reduction of 45.5%, significantly reducing their runtime overhead.","Binary Translation; Compiler; Memory Model","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Programming Languages","","",""
"uuid:e2f3ef3d-d802-44ee-be6d-70da94fb6480","http://resolver.tudelft.nl/uuid:e2f3ef3d-d802-44ee-be6d-70da94fb6480","Would Magnonic Circuits Outperform CMOS Counterparts?","Mahmoud, A.N.N. (TU Delft Computer Engineering); Cucu Laurenciu, N. (TU Delft Computer Engineering); Vanderveken, Frederic (IMEC-Solliance); Ciubotaru, Florin (IMEC-Solliance); Adelmann, Christoph (IMEC-Solliance); Cotofana, S.D. (TU Delft Computer Engineering); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","","2022","In the early stages of a novel technology development, it is difficult to provide a comprehensive assessment of its potential capabilities and impact. Nevertheless, some preliminary estimates can be drawn and are certainly of great interest and in this paper we follow this line of reasoning within the framework of the Spin Wave (SW) based computing paradigm. In particular, we are interested in assessing the technological development horizon that needs to be reached in order to unleash the full SW paradigm potential such that SW circuits can outperform CMOS counterparts in terms of energy consumption. In view of the zero power SWs propagation through ferromagnetic waveguides, the overall SW circuit power consumption is determined by the one associated to SWs generation and sensing by means of transducers. While current antenna based transducers are clearly power hungry recent developments indicate that magneto-electric (ME) cells have a great potential for ultra-low power SW generation and sensing. Given that MEs have been only proposed at the conceptual level and no actual experimental demonstration has been reported we cannot evaluate the impact of their utilization on the SW circuit energy consumption. However, we can perform a reverse engineering alike analysis to determine ME delay and power consumption upper bounds that can place SW circuits in the leading position. To this end, we utilize a 32-bit Brent-Kung Adder (BKA) as discussion vehicle and compute the maximum ME delay and power consumption that could potentially enable a SW implementation able to outperform its 7nm CMOS counterpart. We evaluate different BKA SW implementations that rely on conversion- or normalization-based gate cascading and consider continuous or pulsed SW generation scenarios. Our evaluations indicate that 31nW is the maximum transducer power consumption for which a 32-bit Brent-Kung SW implementation can outperform its 7nm CMOS counterpart in terms of energy consumption.","benchmarking; brent-kung prefix adder; cmos; computing paradigm; delay; power consumption; spin-wave","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:264c6e4b-da48-44a0-9c4d-0da4e8ab03ac","http://resolver.tudelft.nl/uuid:264c6e4b-da48-44a0-9c4d-0da4e8ab03ac","Social Inclusion in Curated Contexts: Insights from Museum Practices","Huang, H. (TU Delft Multimedia Computing); Liem, C.C.S. (TU Delft Multimedia Computing)","","2022","Artificial intelligence literature suggests that minority and fragile communities in society can be negatively impacted by machine learning algorithms due to inherent biases in the design process, which lead to socially exclusive decisions and policies. Faced with similar challenges in dealing with an increasingly diversified audience, the museum sector has seen changes in theory and practice, particularly in the areas of representation and meaning-making. While rarity and grandeur used to be at the centre stage of the early museum practices, folk life and museums' relationships with the diverse communities they serve become a widely integrated part of the contemporary practices. These changes address issues of diversity and accessibility in order to offer more socially inclusive services. Drawing on these changes and reflecting back on the AI world, we argue that the museum experience provides useful lessons for building AI with socially inclusive approaches, especially in situations in which both a collection and access to it will need to be curated or filtered, as frequently happens in search engines, recommender systems and digital libraries. We highlight three principles: (1) Instead of upholding the value of neutrality, practitioners are aware of the influences of their own backgrounds and those of others on their work. By not claiming to be neutral but practising cultural humility, the chances of addressing potential biases can be increased. (2) There should be room for situational interpretation beyond the stages of data collection and machine learning. Before applying models and predictions, the contexts in which relevant parties exist should be taken into account. (3) Community participation serves the needs of communities and has the added benefit of bringing practitioners and communities together.","Curation; diversity; libraries; museums; social inclusion","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Multimedia Computing","","",""
"uuid:b9c8742e-296f-4467-8dfb-2e7335936081","http://resolver.tudelft.nl/uuid:b9c8742e-296f-4467-8dfb-2e7335936081","Enhancing Creativity as Innovation via Asynchronous Crowdwork","Murukannaiah, P.K. (TU Delft Interactive Intelligence); Ajmeri, Nirav (University of Bristol); Singh, Munindar P. (University of North Carolina)","","2022","Synchronous, face-to-face interactions such as brainstorming are considered essential for creative tasks (the old normal). However, face-to-face interactions are difficult to arrange because of the diverse locations and conflicting availability of people - a challenge made more prominent by work-from-home practices during the COVID-19 pandemic (the new normal). In addition, face-to-face interactions are susceptible to cognitive interference. We employ crowdsourcing as an avenue to investigate creativity in asynchronous, online interactions. We choose product ideation, a natural task for the crowd since it requires human insight and creativity into what product features would be novel and useful. We compare the performance of solo crowd workers with asynchronous teams of crowd workers formed without prior coordination. Our findings suggest that, first, crowd teamwork yields fewer but more creative ideas than solo crowdwork. The enhanced team creativity results when Second, cognitive interference, known to inhibit creativity in face-to-face teams, may not be significant in crowd teams. Third, teamwork promotes better achievement emotions for crowd workers. These findings provide a basis for trading off creativity, quantity, and worker happiness in setting up crowdsourcing workflows for product ideation.","creativity; Crowdsourcing; innovation; personality; teamwork","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:966a5972-ca66-414a-9556-a2031a5582a2","http://resolver.tudelft.nl/uuid:966a5972-ca66-414a-9556-a2031a5582a2","Exploring the Feasibility of Crowd-Powered Decomposition of Complex User Questions in Text-to-SQL Tasks","Salimzadeh, S. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems); Hauff, C. (TU Delft Web Information Systems); van Deursen, A. (TU Delft Software Technology)","","2022","Natural Language Interfaces to Databases (NLIDB), also known as Text-to-SQL models, enable users with different levels of knowledge in Structured Query Language (SQL) to access relational databases without any programming effort. By translating natural languages into SQL query, not only do NLIDBs minimize the burden of memorizing the schema of databases and writing complex SQL queries, but they also allow non-experts to acquire information from databases in natural languages. However, existing NLIDBs largely fail to translate natural languages to SQL when they are complex, preventing them from being deployed in real-world scenarios and generalizing across unseen complex databases. In this paper, we explored the feasibility of decomposing complex user questions into multiple sub-questions - each with a reduced complexity - as a means to circumvent the problem of complex SQL generation. We investigated the feasibility of decomposing complex user questions in a manner that each sub-question is simple enough for existing NLIDBs to generate correct SQL queries, using non-expert crowd workers in juxtaposition with SQL experts. Through an empirical study on an NLIDB benchmark dataset, we found that crowd-powered decomposition of complex user questions led to an accuracy boost of an existing Text-to-SQL pipeline from 30% to 59% (96% accuracy boost). Similarly, decomposition by SQL experts resulted in boosting the accuracy to 76% (153% accuracy boost). Our findings suggest that crowd-powered decomposition can be a scalable alternative to producing the training data necessary to build machine learning models that can automatically decompose complex user questions, thereby improving Text-to-SQL pipelines.","Corpus Annotation; Crowdsourcing; Human Computation; Natural Language Interface to Databases; Semantic Parsing; Text-to-SQL","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","Software Technology","Web Information Systems","","",""
"uuid:e8bbd923-c0e3-4e0b-98e5-6771946580e7","http://resolver.tudelft.nl/uuid:e8bbd923-c0e3-4e0b-98e5-6771946580e7","Blind Spots of Objective Measures: Exploiting Imperceivable Errors for Immersive Tactile Internet","Kroep, H. J.C. (Student TU Delft); Gokhale, V. (TU Delft Embedded Systems); Venkatesha Prasad, Ranga Rao (TU Delft Embedded Systems)","","2022","Tactile Internet (TI) enables the transfer of human skills over the Internet, enabling teleoperation with force feed-back. Advancements are being made rapidly at several fronts to realize a functional TI soon. Generally, TI is expected to faithfully reproduce operator's actions at the other end, where a robotic arm emulates it while providing force feedback to the operator. Performance of TI is usually characterized using objective metrics such as network delay, packet losses, and RMSE. Pari passu, subjective evaluations are used as additional validation, and performance evaluation itself is not primarily based on user experience. Hence objective evaluation, which generally minimizes error (signal mismatch), is oblivious to subjective experience. In this paper, we argue that user-centric designs of TI solutions are necessary. We first consider a few common TI errors and examine their perceivability, The idea is to reduce the impact of perceivable errors and exploit the imperceivable errors to our advantage, while the objective metrics may indicate that the errors are high. To harness the imperceivable errors, we design Adaptive Offset Framework (AOF) to improve the TI signal reconstruction under realistic network settings. We use AOF to highlight the contradictory inferences drawn by objective and subjective evaluations while realizing that subjective evaluations are closer to ground truth. This strongly suggests the existence of 'blind spots of objective measures'. Further, we show that AOF significantly improves the user grade, up to 3 points (on a scale of 10) compared to the standard reconstruction method.","QoS; Tactile internet; teleoperation; user experience","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Embedded Systems","","",""
"uuid:afbc4e54-32ca-4762-8447-a8e8648d39c7","http://resolver.tudelft.nl/uuid:afbc4e54-32ca-4762-8447-a8e8648d39c7","How can Explainability Methods be Used to Support Bug Identification in Computer Vision Models?","Balayn, A.M.A. (TU Delft Web Information Systems); Rikalo, N. (TU Delft Human-Centred Artificial Intelligence); Lofi, C. (TU Delft Web Information Systems); Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Web Information Systems; TU Delft Human-Centred Artificial Intelligence)","","2022","Deep learning models for image classification suffer from dangerous issues often discovered after deployment. The process of identifying bugs that cause these issues remains limited and understudied. Especially, explainability methods are often presented as obvious tools for bug identification. Yet, the current practice lacks an understanding of what kind of explanations can best support the different steps of the bug identification process, and how practitioners could interact with those explanations. Through a formative study and an iterative co-creation process, we build an interactive design probe providing various potentially relevant explainability functionalities, integrated into interfaces that allow for flexible workflows. Using the probe, we perform 18 user-studies with a diverse set of machine learning practitioners. Two-thirds of the practitioners engage in successful bug identification. They use multiple types of explanations, e.g. visual and textual ones, through non-standardized sequences of interactions including queries and exploration. Our results highlight the need for interactive, guiding, interfaces with diverse explanations, shedding light on future research directions.","computer vision; machine learning explainability; machine learning model debugging; user interface","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:354ee6e2-9bd7-4419-8586-7913074d179e","http://resolver.tudelft.nl/uuid:354ee6e2-9bd7-4419-8586-7913074d179e","Referencing-in-Array Scheme for RRAM-based CIM Architecture","Singh, A. (TU Delft Computer Engineering); Bishnoi, R.K. (TU Delft Computer Engineering); Joshi, Rajiv V. (IBM Thomas J. Watson Research Centre); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","Bolchini, Cristiana (editor); Verbauwhede, Ingrid (editor); Vatajelu, Ioana (editor)","2022","Resistive random access memory (RRAM) based computation-in-memory (CIM) architectures are attracting a lot of attention due to their potential in performing fast and energy-efficient computing. However, the RRAM variability and non-idealities limit the computing accuracy of such architectures, especially for multi-operand logic operations. This paper pro-poses a voltage-based differential referencing-in-array scheme that enables accurate two and multi-operand logic operations for RRAM-based CIM architecture. The scheme makes use of a 2T2R cell configuration to create a complementary bitcell structure that inherently acts also as a reference during the operation execution; this results in a high sensing margin. More-over, the variation-sensitive multi-operand (N)AND operation is implemented using complementary-input (N)OR operation to further improve its accuracy. Simulation results for a post-layout extracted 512x512 (256Kb) RRAM-based CIM array show that up to 56 operand (N)OR/(N)AND operation can be accurately and reliably performed as opposed to a maximum of 4 operands supported by state-of-the-art solutions, while offering up to 11.4X better energy-efficiency.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:11c763d8-09d0-4154-ae5c-fa84ed695881","http://resolver.tudelft.nl/uuid:11c763d8-09d0-4154-ae5c-fa84ed695881","Health Care Professionals' Perspectives on the Uses of Patient-Generated Health Data","Guardado, Sharon (University of Oulu); Isomursu, Minna (University of Oulu); Giunti, Guido (TU Delft Applied Ergonomics and Design; University of Oulu)","Seroussi, Brigitte (editor); Weber, Patrick (editor); Dhombres, Ferdinand (editor); Grouin, Cyril (editor); Liebe, Jan-David (editor); Liebe, Jan-David (editor); Liebe, Jan-David (editor); Pelayo, Sylvia (editor); Pinna, Andrea (editor); Rance, Bastien (editor); Rance, Bastien (editor); Sacchi, Lucia (editor); Ugon, Adrien (editor); Ugon, Adrien (editor); Benis, Arriel (editor); Gallos, Parisis (editor)","2022","Integration of digital self-management solutions into health care processes requires the involvement of health care professionals in the adoption and use of the solutions as part of the care pathway. We conducted 23 interviews with diverse profiles of health care professionals participating in the treatment of chronic patients in three different countries. Our results indicate that health care professionals appeared relatively motivated at the prospect of having access to patient-generated data. Nevertheless, they appeared less confident in weighing what types of data could be collected efficiently through mobile devices and how it could be presented in ways that would provide value to the care process. Our results identify four broad categories for how patient-generated health data could be useful: monitoring, prevention, research, and transparency of condition parameters.","Patient-generated health data; Self-management mHealth","en","conference paper","IOS Press","","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:844e3e54-e50e-404e-912d-a1cd5a09b687","http://resolver.tudelft.nl/uuid:844e3e54-e50e-404e-912d-a1cd5a09b687","Towards a multi-stakeholder value-based assessment framework for algorithmic systems","Yurrita Semperena, M. (TU Delft Human Information Communication Design); Murray-Rust, D.S. (TU Delft Human Information Communication Design); Balayn, A.M.A. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Sustainable Design Engineering; TU Delft Human-Centred Artificial Intelligence)","","2022","In an effort to regulate Machine Learning-driven (ML) systems, current auditing processes mostly focus on detecting harmful algorithmic biases. While these strategies have proven to be impactful, some values outlined in documents dealing with ethics in ML-driven systems are still underrepresented in auditing processes. Such unaddressed values mainly deal with contextual factors that cannot be easily quantified. In this paper, we develop a value-based assessment framework that is not limited to bias auditing and that covers prominent ethical principles for algorithmic systems. Our framework presents a circular arrangement of values with two bipolar dimensions that make common motivations and potential tensions explicit. In order to operationalize these high-level principles, values are then broken down into specific criteria and their manifestations. However, some of these value-specific criteria are mutually exclusive and require negotiation. As opposed to some other auditing frameworks that merely rely on ML researchers' and practitioners' input, we argue that it is necessary to include stakeholders that present diverse standpoints to systematically negotiate and consolidate value and criteria tensions. To that end, we map stakeholders with different insight needs, and assign tailored means for communicating value manifestations to them. We, therefore, contribute to current ML auditing practices with an assessment framework that visualizes closeness and tensions between values and we give guidelines on how to operationalize them, while opening up the evaluation and deliberation process to a wide range of stakeholders.","algorithm assessment; ML development and deployment pipeline; multi-stakeholder; values","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","Sustainable Design Engineering","Human Information Communication Design","","",""
"uuid:0d0a1144-2971-4904-8fcb-86bff67522bc","http://resolver.tudelft.nl/uuid:0d0a1144-2971-4904-8fcb-86bff67522bc","Radar Perception for Autonomous Unmanned Aerial Vehicles: A Survey","Corradi, Federico (Stichting IMEC Nederland); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2022","The advent of consumer and industrial Unmanned Aerial Vehicles (UAVs), commonly referred to as drones, has opened business opportunities in many fields, including logistics, smart agriculture, inspection, surveillance, and construction. In addition, the autonomous operations of UAVs reduce risks by minimizing the time spent by human workers in harsh environments and lowering costs by automating tasks. For reliability and safety, the drones must sense and avoid potential obstacles and must be capable of safely navigating in unknown environments. UAVs' perception requires reliability in various settings, such as high dust levels, humidity, intense sun glare, dark, and fog that can severely obstruct many conventional sensing methods. Radar systems have unique strengths; they can reliably estimate how far an object is and measure its relative speed via the Doppler effect. In addition, because radars exploit radio waves to sense, they perform well in rain, fog, snow, or smoky environments. This stands in contrast to optical technologies, such as cameras or LIght Detection And Ranging (Lidars), which are more susceptible to the same challenges as the human eye. This survey paper aims to address the signal processing challenges for the exploitation of radar systems in unmanned aerial vehicles for advanced perception, considering recent integration trends and technology capabilities. The focus is on signal processing techniques for low-cost and power-efficient radar sensors, which operate onboard the UAVs in real-Time to ensure their needs in terms of perception, situational awareness, and navigation. Additionally, we highlight the challenges that remain to be tackled and the opportunities that lie ahead in the search for a more efficient, safe, and autonomous way for UAVs to perceive and interact with the world.","deep learning; drone sensory perception; micro-Doppler processing; radar odometry; radar sensing","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:6bf5dfbf-d55b-413f-9ef8-1189003fa045","http://resolver.tudelft.nl/uuid:6bf5dfbf-d55b-413f-9ef8-1189003fa045","Type4Py: Practical Deep Similarity Learning-Based Type Inference for Python","Mir, S.A.M. (TU Delft Software Engineering); Latoskinas, Evaldas (Student TU Delft); Proksch, S. (TU Delft Software Engineering); Gousios, G. (TU Delft Software Technology; TU Delft Software Engineering)","","2022","Dynamic languages, such as Python and Javascript, trade static typing for developer flexibility and productivity. Lack of static typing can cause run-time exceptions and is a major factor for weak IDE support. To alleviate these issues, PEP 484 introduced optional type annotations for Python. As retrofitting types to existing code-bases is error-prone and laborious, machine learning (ML)-based approaches have been proposed to enable automatic type infer-ence based on existing, partially annotated codebases. However, previous ML-based approaches are trained and evaluated on human-provided type annotations, which might not always be sound, and hence this may limit the practicality for real-world usage. In this paper, we present TYPE4Py, a deep similarity learning-based hier-archical neural network model. It learns to discriminate between similar and dissimilar types in a high-dimensional space, which results in clusters of types. Likely types for arguments, variables, and return values can then be inferred through the nearest neigh-bor search. Unlike previous work, we trained and evaluated our model on a type-checked dataset and used mean reciprocal rank (MRR) to reflect the performance perceived by users. The obtained results show that TYPE4Py achieves an MRR of 77.1 %, which is a substantial improvement of 8.1% and 16.7% over the state-of-the-art approaches Typilus and Typewriter, respectively. Finally, to aid developers with retrofitting types, we released a Visual Stu-dio Code extension, which uses TYPE4Py to provide ML-based type auto-completion for Python.","Machine Learning; Mean Reciprocal Rank; Python; Similarity Learning; Type Inference","en","conference paper","IEEE","","","","","","","","","Software Technology","Software Engineering","","",""
"uuid:9424307a-80a3-4a39-a4c3-d080eb44bf74","http://resolver.tudelft.nl/uuid:9424307a-80a3-4a39-a4c3-d080eb44bf74","Code Smells for Machine Learning Applications","Zhang, H. (ING); Cruz, Luis (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Technology)","","2022","The popularity of machine learning has wildly expanded in recent years. Machine learning techniques have been heatedly studied in academia and applied in the industry to create business value. However, there is a lack of guidelines for code quality in machine learning applications. In particular, code smells have rarely been studied in this domain. Although machine learning code is usually integrated as a small part of an overarching system, it usually plays an important role in its core functionality. Hence ensuring code quality is quintessential to avoid issues in the long run. This paper proposes and identifies a list of 22 machine learning-specific code smells collected from various sources, including papers, grey literature, GitHub commits, and Stack Overflow posts. We pinpoint each smell with a description of its context, potential issues in the long run, and proposed solutions. In addition, we link them to their respective pipeline stage and the evidence from both academic and grey literature. The code smell catalog helps data scientists and developers produce and maintain high-quality machine learning application code. ACM Reference Format: Haiyin Zhang, Luís Cruz, and Arie van Deursen. 2022. Code Smells for Machine Learning Applications. In 1st Conference on AI Engineering - Software Engineering for AI (CAIN'22), May 16-24, 2022, Pittsburgh, PA, USA. ACM, New York, NY, USA, 12 pages. https://doi.org/10.1145/3522664.3528620","Anti-pattern; Code Quality; Code Smell; Machine Learning; Technical Debt","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-02","","Software Technology","Software Engineering","","",""
"uuid:0d505ff2-3aed-42f7-ac42-6bfb452c3e3e","http://resolver.tudelft.nl/uuid:0d505ff2-3aed-42f7-ac42-6bfb452c3e3e","Sargassum detection and path estimation using neural networks","López-Portillo, José A. (Universidad Nacional Autónoma de México); Casasola-Rodríguez, Iván G. (Universidad Nacional Autónoma de México); Escalante-Ramírez, Boris (Universidad Nacional Autónoma de México); Olveres, Jimena (Universidad Nacional Autónoma de México); Arriaga, Jaime (TU Delft Environmental Fluid Mechanics); Appendini, Christian (Universidad Nacional Autónoma de México)","Schelkens, Peter (editor); Kozacki, Tomasz (editor)","2022","Sargassum has affected the Mexican Caribbean coasts since 2015 in atypical amounts, causing economic and ecological problems. Removal once it reaches the coast is complex since it is not easily separated from the sand, damaging dune vegetation, heavy transport compacts the sand and further deteriorates the coastline. Therefore, it is important to detect and estimate the sargassum mats path to optimize the collection efforts in the water. There have been some improvements in systems that rely on satellite images to determine areas and possible paths of sargassum, but these methods do not solve the problems near the coastline where the big mats observed in deep sea end up segregating in little mats which often do not show up in the satellite images. Besides, the temporal scales of nearshore sargassum dynamics are characterized by finer temporal resolution. This paper focuses on cameras located near the coast of Puerto Morelos reef lagoon where images are recorded of both beach and near-coastal sea. First, we apply preprocessing techniques based on time that allows us to discriminate the moving sargassum mats from the static sea bottom, then, using classic image processing techniques and neural networks we detect, trace, and estimate the path of the mat towards the place of arrival on the beach. We compared classic algorithms with neural networks. Some of the algorithms we tested are k-means and random forest for segmentation and dense optical flow to follow and estimate the path. This new methodology allows to supervise in real time the demeanor of sargassum close to shore without complex technical support.","cameras; coast; detect; estimate; Sargassum; trace","en","conference paper","SPIE","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:a6adeb57-3211-45ad-9329-9f134c82d790","http://resolver.tudelft.nl/uuid:a6adeb57-3211-45ad-9329-9f134c82d790","Bias in Automated Speaker Recognition","Hutiri, Wiebke (TU Delft Information and Communication Technology); Ding, Aaron Yi (TU Delft Information and Communication Technology)","","2022","Automated speaker recognition uses data processing to identify speakers by their voice. Today, automated speaker recognition is deployed on billions of smart devices and in services such as call centres. Despite their wide-scale deployment and known sources of bias in related domains like face recognition and natural language processing, bias in automated speaker recognition has not been studied systematically. We present an in-depth empirical and analytical study of bias in the machine learning development workflow of speaker verification, a voice biometric and core task in automated speaker recognition. Drawing on an established framework for understanding sources of harm in machine learning, we show that bias exists at every development stage in the well-known VoxCeleb Speaker Recognition Challenge, including data generation, model building, and implementation. Most affected are female speakers and non-US nationalities, who experience significant performance degradation. Leveraging the insights from our findings, we make practical recommendations for mitigating bias in automated speaker recognition, and outline future research directions.","audit; bias; evaluation; fairness; speaker recognition; speaker verification","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Information and Communication Technology","","",""
"uuid:1b635898-fe62-42bf-b502-6714953d75b8","http://resolver.tudelft.nl/uuid:1b635898-fe62-42bf-b502-6714953d75b8","The Effects of Crowd Worker Biases in Fact-Checking Tasks","Draws, T.A. (TU Delft Web Information Systems); La Barbera, David (Università degli Studi di Udine); Soprano, Michael (Università degli Studi di Udine); Roitero, Kevin (Università degli Studi di Udine); Ceolin, Davide (Centrum Wiskunde & Informatica (CWI)); Checco, Alessandro (Sapienza University of Rome); Mizzaro, Stefano (Università degli Studi di Udine)","","2022","Due to the increasing amount of information shared online every day, the need for sound and reliable ways of distinguishing between trustworthy and non-trustworthy information is as present as ever. One technique for performing fact-checking at scale is to employ human intelligence in the form of crowd workers. Although earlier work has suggested that crowd workers can reliably identify misinformation, cognitive biases of crowd workers may reduce the quality of truthfulness judgments in this context. We performed a systematic exploratory analysis of publicly available crowdsourced data to identify a set of potential systematic biases that may occur when crowd workers perform fact-checking tasks. Following this exploratory study, we collected a novel data set of crowdsourced truthfulness judgments to validate our hypotheses. Our findings suggest that workers generally overestimate the truthfulness of statements and that different individual characteristics (i.e., their belief in science) and cognitive biases (i.e., the affect heuristic and overconfidence) can affect their annotations. Interestingly, we find that, depending on the general judgment tendencies of workers, their biases may sometimes lead to more accurate judgments.","Bias; Crowdsourcing; Explainability; Misinformation; Truthfulness","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Web Information Systems","","",""
"uuid:1bc69351-0de3-4a65-84f7-5441e58f7bc3","http://resolver.tudelft.nl/uuid:1bc69351-0de3-4a65-84f7-5441e58f7bc3","Quantum Mechanics, Ambiguity and Design: Towards a Framework","Verstegen, Bas (Student TU Delft); Ozcan Vieira, E. (TU Delft Design Aesthetics); Delle Monache, S. (TU Delft Design Aesthetics)","","2022","Quantum Mechanics could have fundamental impact on design models and measurement. Quantum mechanics allows us to fill in the blanks of classical models of design, through its ability to explain ambiguous states of design. An ambiguous state is where design exists in between two binary states, as a superposition. Designers are most likely to be unfamiliar with quantum mechanics, as well as the subject of quantum mechanics being complex and sometimes contradictory to human scale mechanics. By discussing the opportunities of quantum mechanics for design, we are proposing a framework to model and measure ambiguous dimensions of design through quantum superpositions. The proposed framework includes the dimensions for the directionality of design (convergence or divergence), the degree of design embodiment (from low to high) and the decision-making of the designer (yes to no). Once the designer attempts the measurement of a superposition, a binary state can be distilled. For the act of designing, filling in the blanks is equal to sculpting away superposed states. In this philosophy, to design is to measure. This early stage research raises areas of opportunities and suggests further research directions for quantum mechanics and design.","quantum; Creativity; Design process; ambiguity","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-20","","","Design Aesthetics","","",""
"uuid:39ff6b6a-0503-4b70-8100-f24f9e162326","http://resolver.tudelft.nl/uuid:39ff6b6a-0503-4b70-8100-f24f9e162326","Deep-learning-based Position Control of a Robotic Catheter under Environmental Contact","Wu, D. (TU Delft Medical Instruments & Bio-Inspired Technology; Katholieke Universiteit Leuven); Zhang, Yao (Katholieke Universiteit Leuven); Ourak, Mouloud (Katholieke Universiteit Leuven); Ha, Xuan Thao (Katholieke Universiteit Leuven); Niu, Kenan (Katholieke Universiteit Leuven); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Poorten, Emmanuel Vander (Katholieke Universiteit Leuven)","","2022","Precise control of robotic catheters remains challenging in interventions. Inherent non-linearities such as hysteresis and external disturbances such as blood flow or contact with the vessel walls have a large impact on the reachable positioning precision. As inaccurate positioning of the catheter tip could lead to tissue damage, controllers that would perform adequately in the presence of hysteresis and environmental contacts would be highly desirable. This paper proposes a method based on multiple Long Short-Term Memory Networks (LSTMs). To this end, a so-called free-space-LSTM (f-LSTM) is trained in order to steer the catheter when it moves in free. Constrained-space-LSTMs (c-LSTMs) are trained to drive the catheter when it is in contact with an obstacle. Based on contact estimation methods, LSTMs are switched. The f-LSTM and c-LSTMs are first tested in free space motion and under constraint situations. The results reveal that LSTMs perform well (RMSE < 0.5 mm) for a steerable robot section with a total length of 77 mm when tested in the same situation where trained. However, when f-LSTM and c-LSTM were tested in an environment different from the one in which they were trained, errors tended to increase. The results highlight the need to exhaustively estimate the contact location and switch between different LSTMs accordingly. The effective working range of a c-LSTM was investigated as well. Experiments showed that a well-Trained single c-LSTM could be used effectively in a range of 8.8 mm among the entire length of a steerable catheter section, while maintaining the average tip positioning error below 2 mm in this range.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-28","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:40ab4817-c385-4771-8acd-ebd5300b5f31","http://resolver.tudelft.nl/uuid:40ab4817-c385-4771-8acd-ebd5300b5f31","Flavorium: An Exploration of Flavobacteria's Living Aesthetics for Living Color Interfaces","Groutars, E.G. (TU Delft Emerging Materials; Avans University of Applied Sciences, Breda); Risseeuw, C.C. (TU Delft Materials and Manufacturing); Ingham, Colin (Hoekmine BV); Hamidjaja, Raditijo (Hoekmine BV); Elkhuizen, W.S. (TU Delft Mechatronic Design); Pont, S.C. (TU Delft Human Information Communication Design); Karana, E. (TU Delft Emerging Materials; Avans University of Applied Sciences, Breda)","Lampe, Cliff (editor); Barbosa, Simone (editor)","2022","Flavobacteria, which can be found in marine environments, are able to grow in highly organized colonies producing vivid iridescent colorations. While much is known about the biology of these organisms, their design potential as responsive media in user interfaces has not been explored. Our paper aims at bridging this gap by providing insights into the type, degree, and duration of change in Flavobacteria's expression, i.e., their living aesthetics. We present a tool to capture and characterize these changes concerning form, texture and iridescent color. To support the long-term study of their living aesthetics, we designed Flavorium. This bio-digital artifact provides the necessary habitat conditions for Flavobacteria to thrive for a month. Granting insights into the responsive behavior of this organism, this work presents a design space, vocabulary, and application concepts to inspire HCI and design scholars to investigate the complex temporal qualities of living media for future user interfaces.","Biological HCI; Flavobacteria; Iridescent Color; Living Aesthetics; Living Media Interfaces","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Emerging Materials","","",""
"uuid:a23c156c-3507-4eb4-81b1-01ea0b096cee","http://resolver.tudelft.nl/uuid:a23c156c-3507-4eb4-81b1-01ea0b096cee","A Simpler Alternative: Minimizing Transition Systems Modulo Alternating Simulation Equivalence","de Albuquerque Gleizer, G. (TU Delft Team Tamas Keviczky); Madnani, K.N. (TU Delft Team Manuel Mazo Jr); Mazo, M. (TU Delft Team Manuel Mazo Jr)","","2022","This paper studies the reduction (abstraction) of finite-state transition systems for control synthesis problems. We revisit the notion of alternating simulation equivalence (ASE), a more relaxed condition than alternating bisimulations, to relate systems and their abstractions. As with alternating bisimulations, ASE preserves the property that the existence of a controller for the abstraction is necessary and sufficient for a controller to exist for the original system. Moreover, being a less stringent condition, ASE can reduce systems further to produce smaller abstractions. We provide an algorithm that produces minimal AS equivalent abstractions. The theoretical results are then applied to obtain (un)schedulability certificates of periodic event-triggered control systems sharing a communication channel. A numerical example illustrates the results.","Alternating Simulation; Controller Synthesis; Event Triggered Control; Minimization; Scheduling.","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Team Tamas Keviczky","","",""
"uuid:cb37f836-64e5-4afe-8520-377296808028","http://resolver.tudelft.nl/uuid:cb37f836-64e5-4afe-8520-377296808028","ETCetera: Beyond Event-Triggered Control","Delimpaltadakis, Giannis (TU Delft Team Manuel Mazo Jr); de Albuquerque Gleizer, G. (TU Delft Team Tamas Keviczky); Van Straalen, Ivo (Student TU Delft); Mazo, M. (TU Delft Team Manuel Mazo Jr)","","2022","We present ETCetera, a Python library developed for the analysis and synthesis of the sampling behaviour of event triggered control (ETC) systems. In particular, the tool constructs abstractions of the sampling behaviour of given ETC systems, in the form of timed automata (TA) or finite-state transition systems (FSTSs). When the abstraction is an FSTS, ETCetera provides diverse manipulation tools for analysis of ETC's sampling performance, synthesis of communication traffic schedulers (when networks shared by multiple ETC loops are considered), and optimization of sampling strategies. Additionally, the TA models may be exported to UPPAAL for analysis and synthesis of schedulers. Several examples of the tool's application for analysis and synthesis problems with different types of dynamics and event-triggered implementations are provided.","abstraction; event-triggered control; networked control systems; scheduling","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Team Manuel Mazo Jr","","",""
"uuid:e66f228f-2c08-4ff3-a548-8ff91806d262","http://resolver.tudelft.nl/uuid:e66f228f-2c08-4ff3-a548-8ff91806d262","Genetic Algorithm–Assisted Design of Redistribution Layer Vias for a Fan-Out Panel-Level SiC MOSFET Power Module Packaging","Fan, Jiajie (Fudan University; Research Institute of Fudan University, Ningbo); Qian, Yichen (Hohai University); Chen, Wei (Fudan University); Jiang, Jing (Fudan University); Tang, Zhuorui (Fudan University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","O'Conner, L. (editor)","2022","A fan-out panel-level packaging (FOPLP) with an embedded redistribution layer (RDL) via interconnection reduces the size, thermal resistance, and parasitic inductance of power module packaging. In this study, the effect of the RDL via size on the reliability of a FOPLP SiC MOSFET power module was investigated. To improve the thermal management and thermal cycling reliability of the designed SiC module, genetic algorithm (GA)–assisted optimization methods were proposed to optimize the RDL via size. First, the heat dissipation and the plastic work density of the SiC MOSFET module with various via diameters and depths were simulated using finite element simulations. Next, both the ant colony optimization-backpropagation neural network (ACOBPNN) with finite element simulation and the nondominated sorting genetic algorithm (NSGA-II) with theoretical model were developed to optimize the RDL via size. The results revealed that: (1) smaller via depth and size reduce the heat dissipation and thermal cycling reliability of the RDL via; (2) through both the ACO-BPNN and NSGA-II, the same optimal heat dissipation and plastic work density can be achieved in the designed module. (3) ACO-BPNN with assist of finite element simulation can provide a more effective optimization in complex packaging structure.","SiC MOSFET; FOPLP; ACO-BPNN; NSGA-II; Reliability optimization","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:3b24fa83-f2fd-430b-b492-a93913997a81","http://resolver.tudelft.nl/uuid:3b24fa83-f2fd-430b-b492-a93913997a81","Informing the Product Development of an mHealth Solution for People with Multiple Sclerosis Through Early Health Technology Assessment","Giunti, Guido (TU Delft Applied Ergonomics and Design; University of Oulu); Haverinen, Jari (University of Oulu); Reponen, Jarmo (University of Oulu)","Otero, Paula (editor); Scott, Philip (editor); Martin, Susan Z. (editor); Huesing, Elaine (editor)","2022","The potential of mHealth is enormous for chronic conditions, yet the integration of these technologies into the clinical infrastructures and healthcare pathways remains an ongoing challenge. Digi-HTA has been developed to support health technology assessment activities for novel digital healthcare technologies. The use of Early Health Technology Assessment (EHTA) can help product development. The present study describes the way in which EHTA can guide the development of a product to anticipate future needs and market access.","Chronic Disease; Health Technology Assessment; Telemedicine","en","conference paper","IOS Press","","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:ab15d689-441a-4b73-8805-24248c6633cf","http://resolver.tudelft.nl/uuid:ab15d689-441a-4b73-8805-24248c6633cf","Deep Reinforcement Learning for Active Wake Control","Neustroev, G. (TU Delft Algorithmics); Andringa, S.P.E. (TU Delft Algorithmics); Verzijlbergh, R.A. (TU Delft Energie and Industrie); de Weerdt, M.M. (TU Delft Algorithmics)","","2022","Wind farms suffer from so-called wake effects: when turbines are located in the wind shadows of other turbines, their power output is substantially reduced. These losses can be partially mitigated via actively changing the yaw from the individually optimal direction. Most existing wake control techniques have two major limitations: they use simplified wake models to optimize the control strategy, and they assume that the atmospheric conditions remain stable. In this paper, we address these limitations by applying reinforcement learning (RL). RL forgoes the wake model entirely and learns an optimal control strategy based on the observed atmospheric conditions and a reward signal, in this case the power output of the farm. It also accounts for random transitions in the observations, such as turbulent fluctuations in the wind. To evaluate RL for active wake control, we provide a simulator based on the state-of-the-art FLORIS model in the OpenAI gym format. Next, we propose three different state-action representations of the active wake control problem and investigate their effect on the performance of RL-based wake control. Finally, we compare RL to a state-of-the-art wake control strategy based on FLORIS and show that RL is less sensitive to changes in unobservable data.","Active Wake Control; Deep Reinforcement Learning; Wind Energy","en","conference paper","International Foundation for Autonomous Agents and Multiagent Systems (IFAAMAS)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-28","","","Algorithmics","","",""
"uuid:9864c313-2e8a-4521-a624-dc956ef615fd","http://resolver.tudelft.nl/uuid:9864c313-2e8a-4521-a624-dc956ef615fd","EdgeTuner: Fast Scheduling Algorithm Tuning for Dynamic Edge-Cloud Workloads and Resources","Han, Rui (Beijing Institute of Technology); Wen, Shilin (Beijing Institute of Technology); Liu, Chi Harold; Yuan, Ye (Beijing Institute of Technology); Wang, Guoren (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2022","Edge-cloud jobs are rapidly prevailing in many application domains, posing the challenge of using both resource-strenuous edge devices and elastic cloud resources. Efficient resource allocation on such jobs via scheduling algorithms is essential to guarantee their performance, e.g. latency. Deep reinforcement learning (DRL) is increasingly adopted to make scheduling decisions but faces the conundrum of achieving high rewards at a low training overhead. It is unknown if such a DRL can be applied to timely tune the scheduling algorithms that are adopted in response to fast changing workloads and resources. In this paper, we propose EdgeTuner to effectively leverage DRL to select scheduling algorithms online for edge-cloud jobs. The enabling features of EdgeTuner are sophisticated DRL model that captures complex dynamics of Edge-Cloud jobs/tasks and an effective simulator to emulate the response times of short-running jobs in accordance to dynamically changing scheduling algorithms. EdgeTuner trains DRL agents offline by directly interacting with the simulator. We implement EdgeTuner on Kubernetes scheduler and extensively evaluate it on Kubernetes cluster testbed driven by the production traces. Our results show that EdgeTuner outperforms prevailing scheduling algorithms by achieving significant lower job response time while accelerating DRL training speed by more than 180x.","DRL; Edge-cloud workloads; Kubernetes; run-time tuning; scheduling algorithm","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Data-Intensive Systems","","",""
"uuid:e2f12fc1-07bf-4209-9898-19fcf3163261","http://resolver.tudelft.nl/uuid:e2f12fc1-07bf-4209-9898-19fcf3163261","A Novel Obstacle Detection and Avoidance Dataset for Drones","Dupeyroux, J.J.G. (TU Delft Control & Simulation); Dinaux, Raoul (Student TU Delft); Wessendorp, Nikhil (Student TU Delft); de Croon, G.C.H.E. (TU Delft Control & Simulation)","","2022","In this paper, we introduce the Obstacle Detection and Avoidance (ODA) Dataset for Drones, aiming at providing raw data obtained in a real indoor environment with sensors adapted for aerial robotics in the context of obstacle detection and avoidance. Our micro air vehicle (MAV) is equipped with the following sensors: (i) an event-based camera, the performance of which makes it optimized for drone applications; (ii) a standard RGB camera; (iii) a 24-GHz radar sensor to enhance multi-sensory solutions; and (iv) a 6-Axes IMU. The ground truth position and attitude are provided by an OptiTrack motion capture system. The resulting dataset consists of more than 1350 sequences obtained in four distinct conditions (one or two obstacles, full or dim light). It is intended for benchmarking algorithmic and neural solutions for obstacle detection and avoidance with UAVs, but also course estimation and in general autonomous navigation. The dataset is available at: https://github.com/tudelft/ODA_Dataset [6].","Camera; Event-based Camera; Micro Air Vehicles (MAVs); Neuromorphic Vision; Radar; Robot Operating System (ROS); Unmanned Aerial Vehicles (UAVs)","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Control & Simulation","","",""
"uuid:bbc3c3d6-0e32-487f-83aa-d576553a29ba","http://resolver.tudelft.nl/uuid:bbc3c3d6-0e32-487f-83aa-d576553a29ba","CodeFill: Multi-token Code Completion by Jointly learning from Structure and Naming Sequences","Izadi, M. (TU Delft Software Engineering); Gismondi, Roberta (Student TU Delft); Gousios, G. (TU Delft Software Technology; TU Delft Software Engineering)","","2022","Code completion is an essential feature of IDEs, yet current auto-completers are restricted to either grammar-based or NLP-based single token completions. Both approaches have significant draw-backs: grammar-based autocompletion is restricted in dynamically-typed language environments, whereas NLP-based autocompleters struggle to understand the semantics of the programming language and the developer's code context. In this work, we present CodeFill, a language model for autocompletion that combines learned structure and naming information. Using a parallel Transformer architecture and multi-task learning, CodeFill consumes sequences of source code token names and their equivalent AST token types. Uniquely, CodeFill is trained both for single-token and multi-token (statement) prediction, which enables it to learn long-range dependencies among grammatical and naming elements. We train CodeFill on two datasets, consisting of 29M and 425M lines of code, respectively. To make the evaluation more realistic, we develop a method to automatically infer points in the source code at which completion matters. We compare CodeFill against four baselines and two state-of-the-art models, GPT-C and TravTrans+. CodeFill surpasses all baselines in single token prediction (MRR: 70.9% vs. 66.2% and 67.8%) and outperforms the state of the art for multi-token prediction (ROUGE-L: 63.7% vs. 52.4% and 59.2%, for n=4 tokens). We publicly release our source code and datasets.","Automatic Code Completion; Dynamically-typed Languages; Multi-Task Learning; Transformers; Types","en","conference paper","IEEE","","","","","","","","","Software Technology","Software Engineering","","",""
"uuid:1ed1420e-4879-448e-b903-d0fd2df11cd8","http://resolver.tudelft.nl/uuid:1ed1420e-4879-448e-b903-d0fd2df11cd8","Difficult for Thee, But Not for Me: Measuring the Difficulty and User Experience of Remediating Persistent IoT Malware","Rodriguez, Elsa (Student TU Delft); Fukkink, Max (Student TU Delft); Parkin, S.E. (TU Delft Organisation & Governance); van Eeten, M.J.G. (TU Delft Organisation & Governance); Hernandez Ganan, C. (TU Delft Organisation & Governance)","","2022","Consumer IoT devices may suffer malware attacks, and be recruited into botnets or worse. There is evidence that generic advice to device owners to address IoT malware can be successful, but this does not account for emerging forms of persistent IoT malware. Less is known about persistent malware, which resides on persistent storage, requiring targeted manual effort to remove it. This paper presents a field study on the removal of persistent IoT malware by consumers. We partnered with an ISP to contrast remediation times of 760 customers across three malware categories: Windows malware, non-persistent IoT malware, and persistent IoT malware. We also contacted ISP customers identified as having persistent IoT malware on their network-attached storage devices, specifically QSnatch. We found that persistent IoT malware exhibits a mean infection duration many times higher than Windows or Mirai malware; QSnatch has a survival probability of 30% after 180 days, whereby most if not all other observed malware types have been removed. For interviewed device users, QSnatch infections lasted longer, so are apparently more difficult to get rid of, yet participants did not report experiencing difficulty in following notification instructions. We see two factors driving this paradoxical finding: First, most users reported having high technical competency. Also, we found evidence of planning behavior for these tasks and the need for multiple notifications. Our findings demonstrate the critical nature of interventions from outside for persistent malware, since automatic scan of an AV tool or a power cycle, like we are used to for Windows malware and Mirai infections, will not solve persistent IoT malware infections.","IoT malware remediation; IoT security; notifications; persistent IoT malware; QSnatch","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Organisation & Governance","","",""
"uuid:252d8a94-dd07-4fd8-80d3-b18698a396da","http://resolver.tudelft.nl/uuid:252d8a94-dd07-4fd8-80d3-b18698a396da","Designing a VR Lobby for Remote Opera Social Experiences","Lee, Sueyoon (Centrum Wiskunde & Informatica (CWI)); Striner, Alina (Centrum Wiskunde & Informatica (CWI)); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI))","","2022","Several social VR platforms support virtual entertainment events, however their value for post-show activities remains unclear. Through a user-centered approach, we design a social VR lobby experience to enrich four motivations of theatre-goers: social, intellectual, emotional, and spiritual engagement. We ran a context-mapping focus group session with professionals (N=6) to conceptualize the social VR space for digital opera experiences. Based on our findings, we propose a social VR lobby consisting of four rooms: 1) a Bar for social engagement, 2) an Info Booth for intellectual engagement, 3) a Photo Zone for emotional engagement, and 4) an Interactive Stage for spiritual engagement. Based on this work, we plan to experimentally evaluate audience experiences in each room in order to create a social VR lobby template for theater experiences.","Opera; post-experience; social VR; virtual reality","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Multimedia Computing","","",""
"uuid:d2125ced-aacf-43bb-9fc3-13735cd85587","http://resolver.tudelft.nl/uuid:d2125ced-aacf-43bb-9fc3-13735cd85587","Phase retrieval from overexposed PSF: A projection-based approach","Soloviev, O.A. (TU Delft Team Michel Verhaegen; Flexible Optical B.V.); Noom, J. (TU Delft Team Michel Verhaegen); Nguyen, Hieu Thao (TU Delft Team Michel Verhaegen); Vdovin, Gleb (TU Delft Team Mulders; Flexible Optical B.V.); Verhaegen, M.H.G. (TU Delft Team Michel Verhaegen)","Liu, Yang (editor); Popescu, Gabriel (editor); Park, YongKeun (editor)","2022","We investigate the general adjustment of projection-based phase retrieval algorithms for use with saturated data. In the phase retrieval problem, model fidelity of experimental data containing a non-zero background level, fixed pattern noise, or overexposure, often presents a serious obstacle for standard algorithms. Recently, it was shown that overexposure can help to increase the signal-to-noise ratio in AI applications. We present our first results in exploring this direction in the phase retrieval problem, using as an example the Gerchberg-Saxton algorithm with simulated data. The proposed method can find application in microscopy, characterisation of precise optical instruments, and machine vision applications of Industry4.0.","overexposure; Phase retrieval; projection-based methods","en","conference paper","SPIE","","","","","","","","","","Team Michel Verhaegen","","",""
"uuid:c07f93c7-fd32-4992-b8a1-638e6c8ee4b8","http://resolver.tudelft.nl/uuid:c07f93c7-fd32-4992-b8a1-638e6c8ee4b8","Photoacoustic raster scan imaging using an optomechanical ultrasound sensor in silicon photonics","Pieters, Cedric (IMEC-Solliance); Westerveld, W.J. (TU Delft Optical Technologies; IMEC-Solliance); Mahmud-Ul-Hasan, Hasan (IMEC-Solliance); Severi, Simone (IMEC-Solliance); Kjellman, Jon (IMEC-Solliance); Jansen, Roelof (IMEC-Solliance); Rochus, Veronique (IMEC-Solliance); Rottenberg, Xavier (IMEC-Solliance)","Oraevsky, Alexander A. (editor); Wang, Lihong V. (editor)","2022","Photoacoustic tomography defines new challenges for ultrasound detection compared to ultrasonography. To address these challenges, a sensitive, small, scalable, and broadband optomechanical ultrasound sensor (OMUS) has been developed. The OMUS is an on-chip optical ultrasound sensor, using optical interferometric ultrasound detection. It consists of an acoustic membrane on top of an optical ring resonator that modulates the optical ring resonance with high efficiency enabled by an innovative optomechanical waveguide. Raster scanning photoacoustic tomography has been demonstrated with a single-element OMUS. Based on performance and form factor, the OMUS combined with passive optical multiplexing may enable new applications in photoacoustic imaging.","micro ring resonators; OMUS; optical sensors; optomechanical sensor; photoacoustic imaging; raster scanning tomography; silicon photonics; ultrasound detection","en","conference paper","SPIE","","","","","","","","","","Optical Technologies","","",""
"uuid:769621e7-11de-4819-b736-7436ee57b78f","http://resolver.tudelft.nl/uuid:769621e7-11de-4819-b736-7436ee57b78f","A PMUT based photoacoustic system as a microfluidic concentration detector","Roy, Kaustav (Indian Institute of Science); Shastri, V.U. (TU Delft Micro and Nano Engineering); Kumar, Akshay (Indian Institute of Science); Rout, Jagi (Indian Institute of Science); Isha, Isha (Indian Institute of Science); Kalyan, Kritank (University of Pennsylvania); Prakash, Jaya (Indian Institute of Science); Pratap, Rudra (Indian Institute of Science)","Oraevsky, Alexander A. (editor); Wang, Lihong V. (editor)","2022","We report on the development of a novel piezo-MEMS-based optofluidic platform to detect the concentration of various species dissolved in a fluid. This platform employs piezoelectric micromachined ultrasound transducers (PMUTs) to work as a photoacoustic receiver, receiving ultrasound from fluid targets present in microfluidic channels while illuminated with a nanosecond pulsed laser. We fabricate both the PMUTs and the microfluidic channels and subsequently use them for the experiment. We also show the capability of PMUTs as a general photoacoustic receiver and demonstrate its signal-to-noise characteristics (31) and its wide fractional bandwidth (73%).","concentration detection; microfabrication; microfluidic; photoacoustic; piezo-MEMS; PMUTs","en","conference paper","SPIE","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:b8271b80-a38e-41f1-8455-a728040ce795","http://resolver.tudelft.nl/uuid:b8271b80-a38e-41f1-8455-a728040ce795","Ruling the Rules: Quantifying the Evolution of Rulesets, Alerts and Incidents in Network Intrusion Detection","Vermeer, M. (TU Delft Organisation & Governance); van Eeten, M.J.G. (TU Delft Organisation & Governance); Hernandez Ganan, C. (TU Delft Organisation & Governance)","","2022","Notwithstanding the predicted demise of signature-based network monitoring, it is still part of the bedrock of security operations. Rulesets are fundamental to the efficacy of Network Intrusion Detection Systems (NIDS). Yet, they have rarely been studied in production environments. We partner with a Managed Security Service Provider (MSSP) to gain more insight into the evolution of rulesets, the alerts that they trigger and the incidents that get investigated. We analyze a combined ruleset - including both commercial and proprietary rules - that consists of 130 thousand rules and was used to monitor hundreds of networks. We find that these rulesets keep growing over time but there is almost no overlap among them in terms of detection options or what indicators of compromise they contain. The combined ruleset triggered more than 62 million alerts and led to 150 thousand incident investigations by SOC analysts, though the vast majority of rules never triggered a single alert. We find that just 0.5% of all rules are responsible for more than 80% of the alerts and incidents and only 1.2% of all alerts were deemed to merit closer investigation. Of all incidents, 16% were labeled as false positives and 9% carried significant risk to the client organization. Independently of the type of rule, updating rules is a minor activity. Most rules are never modified and only a fraction is deleted, except for periodic purges in some sets. Seven in-depth interviews with rule developers corroborate the patterns we found in our analysis. Finally, we identify several rule management practices that influence rule and ruleset efficacy, such as supplementing commercial rules with your own and making rules as specific as possible.","alerts; intrusion detection; network security; nids; rules; soc","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Organisation & Governance","","",""
"uuid:83f42930-5696-40c3-ae63-35a8e5c18967","http://resolver.tudelft.nl/uuid:83f42930-5696-40c3-ae63-35a8e5c18967","Measuring Web Cookies in Governmental Websites","Gotze, Matthias (Technical University of Berlin); Matic, Srdjan (IMDEA Software Institute); Iordanou, Costas (Cyprus University of Technology); Smaragdakis, G. (TU Delft Cyber Security); Laoutaris, Nikolaos (IMDEA Software Institute)","","2022","In recent years, governments worldwide have moved their services online to better serve their citizens. Benefits aside, this choice increases the danger of tracking via such sites. This is of great concern as governmental websites increasingly become the only interaction point with the government. In this paper, we investigate popular governmental websites across different countries and assess to what extent the visits to these sites are tracked by third-parties. Our results show that, unfortunately, tracking is a serious concern, as in some countries up to 90% of these websites create cookies of third-party trackers without any consent from users. Non-session cookies, that are created by trackers and can last for days or months, are widely present even in countries with strict user privacy laws. We also show that the above is a problem for official websites of international organizations and popular websites that inform the public about the COVID-19 pandemic.","COVID-19; GDPR.; Official Web Services; User Tracking; Web Cookies","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Cyber Security","","",""
"uuid:014311cf-47c3-4d1f-8a65-104226e4af35","http://resolver.tudelft.nl/uuid:014311cf-47c3-4d1f-8a65-104226e4af35","No Spring Chicken: Quantifying the Lifespan of Exploits in IoT Malware Using Static and Dynamic Analysis","Al Alsadi, Arwa (TU Delft Organisation & Governance); Sameshima, Kaichi (Yokohama National University); Bleier, Jakob (Technische Universität Wien); Yoshioka, Katsunari (Yokohama National University); Lindorfer, Martina (Technische Universität Wien); van Eeten, M.J.G. (TU Delft Organisation & Governance); Hernandez Ganan, C. (TU Delft Organisation & Governance)","","2022","The Internet of things (IoT) is composed by a wide variety of software and hardware components that inherently contain vulnerabilities. Previous research has shown that it takes only a few minutes from the moment an IoT device is connected to the Internet to the first infection attempts. Still, we know little about the evolution of exploit vectors: Which vulnerabilities are being targeted in the wild, how has the functionality changed over time, and for how long are vulnerabilities being targeted? Understanding these questions can help in the secure development, and deployment of IoT networks. We present the first longitudinal study of IoT malware exploits by analyzing 17,720 samples collected from three different sources from 2015 to 2020. Leveraging static and dynamic analysis, we extract exploits from these binaries to then analyze them along the following four dimensions: (1) evolution of infection vectors over the years, (2) exploit lifespan, vulnerability age, and the time-to-exploit of vulnerabilities, (3) functionality of exploits, and (4) targeted IoT devices and manufacturers. Our descriptive analysis uncovers several patterns: IoT malware keeps evolving, shifting from simply leveraging brute force attacks to including dozens of device-specific exploits. Once exploits are developed, they are rarely abandoned. The most recent binaries still target (very) old vulnerabilities. In some cases, new exploits are developed for a vulnerability that has been known for years. We find that the mean time-to-exploit after vulnerability disclosure is around 29 months, much longer than for malware targeting other environments.","dynamic analysis; exploits; infection vectors; iot; malware; static analysis; vulnerabilities","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Organisation & Governance","","",""
"uuid:230a34de-91c8-41a2-babf-1dc9701ccb38","http://resolver.tudelft.nl/uuid:230a34de-91c8-41a2-babf-1dc9701ccb38","Experiences Using Patient and Public Involvement in Digital Health Research for Multiple Sclerosis","Yrttiaho, Tiia (University of Oulu); Isomursu, Minna (University of Oulu); Giunti, Guido (TU Delft Applied Ergonomics and Design; University of Oulu)","Seroussi, Brigitte (editor); Weber, Patrick (editor); Dhombres, Ferdinand (editor); Grouin, Cyril (editor); Liebe, Jan-David (editor); Liebe, Jan-David (editor); Liebe, Jan-David (editor); Pelayo, Sylvia (editor); Pinna, Andrea (editor); Rance, Bastien (editor); Rance, Bastien (editor); Sacchi, Lucia (editor); Ugon, Adrien (editor); Ugon, Adrien (editor); Benis, Arriel (editor); Gallos, Parisis (editor)","2022","Patient and public involvement (PPI) is increasingly used for improving quality of the research. There are many barriers in translating PPI into practice, including lacking examples of good practices. Frameworks that have been developed in one setting do not readily transfer to other settings. In this paper, we examine the implementation of PPI in the context of a digital health research project that explores the design, development and use of mHealth for persons with Multiple Sclerosis taking an iterative user-centered design approach. Methods: Instrumental case study to describe the PPI process on a digital health research project. Results: Overall experience was positive. We found 3 roles for PPI involvement: strategic members; design and development partners; and expert members. Challenges lay on unclear PPI terminology; managing roles and expectations; and ensuring accessibility.","digital health; mhealth; Multiple sclerosis; patient and public involvement","en","conference paper","IOS Press","","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:36fa71dd-174c-474d-a50d-b99cd10e18c3","http://resolver.tudelft.nl/uuid:36fa71dd-174c-474d-a50d-b99cd10e18c3","Intermittently-powered bluetooth that works","de Winkel, J. (TU Delft Embedded Systems); Tang, Haozhe (Student TU Delft); Pawełczak, Przemysław (TU Delft Embedded Systems)","","2022","We present an architecture for intermittently-powered wireless communication systems that does not require any changes to the official protocol specification. Our core idea is to save the intermediate state of the wireless protocol to non-volatile memory within each connection interval. The protocol state is then deterministically restored at a predefined (harvested energy-dependent) time, which follows the connection interval. As a case study for our architecture, we introduce FreeBie: a battery-free intermittently-powered Bluetooth Low Energy (BLE) mote. To the best of our knowledge FreeBie is the first battery-free active wireless system that sustains bi-directional communication on intermittent harvested energy. The strength of our architecture is articulated by FreeBie consuming at least 9.5 times less power during device inactivity periods than a state-of-the-art BLE device.","battery-free; bluetooth; embedded systems; energy harvesting; intermittent computing; mobile networks","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Embedded Systems","","",""
"uuid:56377cd2-dae2-410d-b337-990d6a960941","http://resolver.tudelft.nl/uuid:56377cd2-dae2-410d-b337-990d6a960941","Fairness vsWelfare: A Hybrid Congestion Aftermarket","Hekkelman, B. (TU Delft Intelligent Electrical Power Grids; Centrum Wiskunde & Informatica (CWI)); la Poutré, J.A. (TU Delft Intelligent Electrical Power Grids; Centrum Wiskunde & Informatica (CWI))","","2022","We consider network flow congestion management modelled after electricity distribution networks. The desired consumption or production of the agents that populate such networks are determined by a higher-level (e.g. national) market mechanism, but this can lead to congestion locally. We first consider congestion solutions in the form of curtailment independent of the price set by the higher-level market. Congestion solutions of this type that satisfy properties of fairness are described in the literature. We contrast these fair solutions with curtailment solutions that maximize total welfare, and we present an algorithmic mechanism that computes such maximal welfare solutions. We then combine the two approaches to compute hybrid congestion solutions where agents can choose to either claim their fair share or to participate in a welfare-maximizing aftermarket. We incentivize aftermarket participation with an individually rational pricing scheme, while offering agents' fair shares at the higher-level price. Our aftermarket solution provides a budget balanced alternative to locational marginal pricing that gives agents the choice to claim their fair share at a fair price.","Congestion management; Fairness; Mechanisms; Resource allocation","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:2838d508-7ed5-4fab-ad62-001e57e9f1b9","http://resolver.tudelft.nl/uuid:2838d508-7ed5-4fab-ad62-001e57e9f1b9","Hierarchical Memory Diagnosis","Cardoso Medeiros, G. (TU Delft Quantum & Computer Engineering); Fieback, M. (TU Delft Computer Engineering); Gebregiorgis, A.B. (TU Delft Computer Engineering); Taouil, M. (TU Delft Computer Engineering); Poehls, L. B. (Rheinisch-Westfälische Technische Hochschule); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","","2022","High-quality memory diagnosis methodologies are critical enablers for scaled memory devices as they reduce time to market and provide valuable information regarding test escapes and customer returns. This paper presents an efficient Hierarchical Memory Diagnosis (HMD) approach that accurately diagnoses faults in the entire memory. Faults are diagnosed hierarchically; first, their location, then their nature (i.e., static or dynamic), and finally, their functional fault model. The HMD approach leads to a more accurate diagnostic, enabling the precise identification of yield loss causes.","Algorithm; Diagnosis; Fault; Memory; Test","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:ce538f8c-7f18-4d2a-9fcb-ed3490c241d3","http://resolver.tudelft.nl/uuid:ce538f8c-7f18-4d2a-9fcb-ed3490c241d3","The Co-Creation Space: An Online Safe Space for Community Opera Creation","Röggla, Thomas (Centrum Wiskunde & Informatica (CWI)); Striner, Alina (Centrum Wiskunde & Informatica (CWI)); Rivas Pagador, Héctor (Vicomtech, Donostia-San Sebastian); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI))","","2022","This work presents the Co-Creation Space, a multilingual platform for professional and community artists to 1) generate raw artistic ideas, and 2) discuss and reflect on the shared meaning of those ideas. The paper describes the architecture and the technology behind the platform, and how it was used to facilitate the communication process during several user trials. By supporting ideation sessions around media items guided by a facilitator and allowing users to express themselves and be part of the creation of an artistic product, participants were enabled to access new cultural spaces and be part of the creative process.","Media sharing; Opera; Social interaction; Toolkit; Web application","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Multimedia Computing","","",""
"uuid:929b2360-2d3a-4acb-8f68-ac736c50a400","http://resolver.tudelft.nl/uuid:929b2360-2d3a-4acb-8f68-ac736c50a400","Spectropolarimetry of life: Airborne measurements from a hot air balloon","Mulder, W. (TU Delft Astrodynamics & Space Missions; Universiteit Leiden); Patty, C. H.Lucas (University of Bern); Spadaccia, Stefano (University of Bern); Pommerol, Antoine (University of Bern); Demory, Brice Olivier (University of Bern); Keller, Christoph U. (Universiteit Leiden; Lowell Observatory); Kühn, Jonas G. (University of Bern; Université de Genève); Snik, Frans (Universiteit Leiden); Stam, D.M. (TU Delft Astrodynamics & Space Missions)","Lakshminarayanan, Vasudevan (editor); Creath, Katherine (editor); Shaw, Joseph A. (editor)","2022","Does life exist outside our Solar System A first step towards searching for life outside our Solar System is detecting life on Earth by using remote sensing applications. One powerful and unambiguous biosignature is the circular polarization resulting from the homochirality of biotic molecules and systems. We aim to investigate the possibility of identifying and characterizing life on Earth by using airborne spectropolarimetric observations from a hot air balloon during our field campaign in Switzerland, May 2022. In this proceeding we present the optical-setup and the data obtained from aerial circular spectropolarimetric measurements of farmland, forests, lakes and urban sites. We make use of the well-calibrated FlyPol instrument that measures the fractionally induced circular polarization (V/I) of (reflected) light with a sensitivity of < 10-4. The instrument operates in the visible spectrum, ranging from 400 to 900 nm. We demonstrate the possibility to distinguish biotic from abiotic features using circular polarization spectra and additional broadband linear polarization information. We review the performance of our optical-setup and discuss potential improvements. This sets the requirements on how to perform future airborne spectropolarimetric measurements of the Earth's surface features from several elevations.","Biosignatures; Earth observation; Field campaign; Polarization; Remote-sensing; Spectropolarimetry","en","conference paper","SPIE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Astrodynamics & Space Missions","","",""
"uuid:33b3ec43-787b-435c-8014-0b3cfd7df8e7","http://resolver.tudelft.nl/uuid:33b3ec43-787b-435c-8014-0b3cfd7df8e7","Multiparametric ultrasound and machine learning for prostate cancer localization","Chen, Peiran (Eindhoven University of Technology); Calis, Metin; Wijkstra, Hessel (Eindhoven University of Technology; Universiteit van Amsterdam); Huang, Pintong (The Second Affiliated Hospital of Zhejiang University); Hunyadi, Borbala (TU Delft Signal Processing Systems); Mischi, Massimo (Eindhoven University of Technology)","","2022","A cost-effective, widely available, and practical diagnostic imaging tool for prostate cancer (PCa) localization is still lacking. Recently, the contrast-ultrasound dispersion imaging (CUDI) technique has been developed for PCa localization by quantifying dynamic contrast-enhanced ultrasound (DCE-US) acquisitions. Tissue stiffness is an additional PCa biomarker that can be quantified by ultrasound shear-wave elastography (SWE). In this work, a dedicated preprocessing of 3D DCE-US acquisitions was investigated by using multilinear singular value decomposition (MLSVD), aiming at improving the CUDI performance. Moreover, the diagnostic potential of a multiparametric ultrasound imaging approach combining 3D CUDI features with SWE tissue elasticity for clinically significant (cs)PCa localization was evaluated by comparison with the histopathological outcome of systematic biopsies. In this multiparametric approach, the performance of five classifiers was evaluated and compared for biopsy-region csPCa classification. The classification performance was assessed by the area under the Receiver Operating Characteristics curve (AUC) in a k-fold cross validation fashion comprising sequential floating forward selection of the features. The combination of CUDI features with MLSVD preprocessing and SWE elasticity yielded the best AUC=0.87 for csPCa localization. Our results suggest 3D multiparametric ultrasound imaging approach combing a dedicated preprocessing step to be a useful tool for PCa diagnostics.","machine learning; multilinear singular value decomposition; prostate cancer; ultrasound","en","conference paper","European Signal Processing Conference, EUSIPCO","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-24","","","Signal Processing Systems","","",""
"uuid:7d129503-c891-4bb5-848c-6c4c53b729cf","http://resolver.tudelft.nl/uuid:7d129503-c891-4bb5-848c-6c4c53b729cf","Single-Pulse Estimation of Target Velocity on Planar Arrays","Kokke, C.A. (TU Delft Signal Processing Systems); Coutino, Mario (TU Delft Microwave Technology and Systems for Radar; TU Delft Signal Processing Systems; DIANA FEA); Heusdens, R. (TU Delft Signal Processing Systems; Netherlands Defence Academy); Leus, G.J.T. (TU Delft Signal Processing Systems); Anitori, L. (TU Delft Microwave Technology and Systems for Radar; TU Delft Atmospheric Remote Sensing; DIANA FEA)","","2022","Doppler velocity estimation in pulse-Doppler radar is done by evaluating the target returns of bursts of pulses. While this provides convenience and accuracy, it requires multiple pulses. In adaptive and cognitive radar systems, the ability to adapt on consecutive pulses, instead of bursts, brings potential performance benefits. Hence, with radar transceiver arrays growing increasingly larger in their number of elements over the years, it may be time to re-evaluate how Doppler velocity can be estimated when using large planar arrays. In this work, we present variance bounds on the estimation of velocity using the Doppler shift as it appears in the array model. We also propose an efficient method of performing the velocity estimation and we verify its performance using Monte Carlo simulations.","array signal processing; Cramér-Rao bound; Doppler processing; pulse-Doppler radar; velocity estimation","en","conference paper","European Signal Processing Conference, EUSIPCO","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-24","","","Signal Processing Systems","","",""
"uuid:75d6e233-bdb5-46c2-8c27-1ffccb0b17b1","http://resolver.tudelft.nl/uuid:75d6e233-bdb5-46c2-8c27-1ffccb0b17b1","Understanding Design Preferences for Robots for Pain Management: A Co-Design Study","Zhang, Feiran (Eindhoven University of Technology); Broz, F. (TU Delft Interactive Intelligence); Dertien, Edwin (University of Twente); Kousi, Nefeli (University of Twente); Van Gurp, Jules A.M. (Eindhoven University of Technology); Ferrari, Oriana Isabella (Eindhoven University of Technology); Malagon, Ignacio (Radboud University Medical Center); Barakova, Emilia I. (Eindhoven University of Technology)","","2022","There is growing interest in psychological interventions using socially assistive robots to mitigate distress and pain in the pediatric population. This work seeks to address the deficit in understanding of what features and functionality young children and their parents desire to help with pain management by using co-design, a common approach to exploring participants' imaginations and gathering design requirements. To close this gap, we carried out a co-design workshop involving seven families (with children aged between 4-6 and their parents) to understand their expectations and design preferences for a robot designed for pain management in children. Data were collected from surveys, video and audio recordings, interviews, and field notes. We present the robot prototypes constructed during the workshops and derive several preferences of the children (e.g, zoomorphic shape, distractors and emotional expressions as behaviors). Additionally, we report methodological insights regarding the involvement of young children and their parents in the co-design process. Based on the findings of this co-design study, we discuss personalization as a possible design concept for future child-robot interaction development.","child; child-robot interaction; co-design; pain management; parent; social robots","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Interactive Intelligence","","",""
"uuid:b835d8f3-fc44-43a7-a30a-a574a8e019f8","http://resolver.tudelft.nl/uuid:b835d8f3-fc44-43a7-a30a-a574a8e019f8","A Novel Engine Architecture for Low NOx Emissions","Blondeel, Tim (Student TU Delft); Yin, F. (TU Delft Aircraft Noise and Climate Effects); Gangoli Rao, A. (TU Delft Flight Performance and Propulsion)","","2022","The fuel efficiency of turbofan engines has improved significantly, hence reducing aviation's CO2 emissions. However, the increased operating pressure and temperature for fuel efficiency cause adverse effects on NOx emissions. Therefore, a novel engine concept, which can reduce NOx emissions without affecting the cycle efficiency, is of high interest to the aviation community. This paper investigates the potential of an intercooler and inter-turbine burner (ITB) for the future low NOx aircraft propulsion system. The study evaluates performance and NOx emissions of four engine architectures: a very high bypass ratio (VHBR) turbofan engine (baseline), a VHBR engine with intercooler, a VHBR engine with ITB, and a VHBR engine with both intercooler and ITB. The cycles are optimized for minimum cruise Thrust Specific Fuel Consumption (TSFC), considering the same design space, thrust requirements, and operational constraints. The ITB is only used during take-off to minimize cruise fuel consumption. The analysis shows that using an ITB solely, with the energy split of 75% (the first burner) / 25% (ITB), reduces the cruise NOx emission by 26%, and the cruise TSFC slightly by 0.5%. The intercooler alone reduces the NOx emissions by 16% and the cruise TSFC by 0.8%. The combination of intercooler and ITB reduces the NOx emissions further by 38%. The analysis confirms that introducing an intercooler and ITB can potentially resolve the contradicting effects of fuel efficiency and NOx emissions for the future advanced turbofan engine.","Inter-stage turbine burner; Intercooler; low NOemissions; Novel turbofan architecture","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:e41959ec-301e-4c28-9ba7-1d9306fd13ff","http://resolver.tudelft.nl/uuid:e41959ec-301e-4c28-9ba7-1d9306fd13ff","Operating Characteristics of a Flameless Combustor Obtained By Experiments Informed Modelling","Sampat, R.P. (TU Delft Flight Performance and Propulsion); Goselink, N.G.H. (TU Delft Ship Design, Production and Operations); Schrijer, F.F.J. (TU Delft Aerodynamics); Gangoli Rao, A. (TU Delft Flight Performance and Propulsion)","","2022","Flameless Combustion is an interesting low NOx combustion technology for gas turbine engines. In order to design systems for stringent performance standards, it is important to understand emission formation in this regime. To this end, the characteristics of a combustor capable of operating in the Flameless regime are studied. Particle Image Velocimetry and thermocouple measurements were performed to obtain the velocity field and gas temperatures respectively, in the combustor under reacting conditions. Results from experiments were used to generate an ""informed"" chemical reactor network (CRN) model from which, temperature and species distributions were obtained. As such, this paper presents measured data and a methodology to combine it with CRN modelling to obtain gas composition and temperature. The temperature, NOx, CO and O2 mole fractions obtained at three different operating conditions shall be validated with gas composition measurements in the future.","","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Flight Performance and Propulsion","","",""
"uuid:f177de1e-892c-4aa4-81c7-80882cff03bb","http://resolver.tudelft.nl/uuid:f177de1e-892c-4aa4-81c7-80882cff03bb","pLUTo: Enabling Massively Parallel Computation in DRAM via Lookup Tables","Ferreira, Joao Dinis (ETH Zürich); Falcao, Gabriel (Universidade de Coimbra); Gomez-Luna, Juan (ETH Zürich); Alser, Mohammed (ETH Zürich); Orosa, Lois (ETH Zürich); Sadrosadati, Mohammad (ETH Zürich); Kim, Jeremie S. (ETH Zürich); Oliveira, Geraldo F. (ETH Zürich); Shahroodi, T. (TU Delft Computer Engineering)","","2022","Data movement between the main memory and the processor is a key contributor to execution time and energy consumption in memory-intensive applications. This data movement bottleneck can be alleviated using Processing-in-Memory (PiM). One category of PiM is Processing-using-Memory (PuM), in which computation takes place inside the memory array by exploiting intrinsic analog properties of the memory device. PuM yields high performance and energy efficiency, but existing PuM techniques support a limited range of operations. As a result, current PuM architectures cannot efficiently perform some complex operations (e.g., multiplication, division, exponentiation) without large increases in chip area and design complexity. To overcome these limitations of existing PuM architectures, we introduce pLUTo (processing-using-memory with lookup table (LUT) operations), a DRAM-based PuM architecture that leverages the high storage density of DRAM to enable the massively parallel storing and querying of lookup tables (LUTs). The key idea of pLUTo is to replace complex operations with low-cost, bulk memory reads (i.e., LUT queries) instead of relying on complex extra logic. We evaluate pLUTo across 11 real-world workloads that showcase the limitations of prior PuM approaches and show that our solution outperforms optimized CPU and GPU base-lines by an average of 713 × and 1.2 ×, respectively, while simultaneously reducing energy consumption by an average of 1855 × and 39.5 ×. Across these workloads, pLUTo outperforms state-of-the-art PiM architectures by an average of 18.3 ×. We also show that different versions of pLUTo provide different levels of flexibility and performance at different additional DRAM area overheads (between 10.2% and 23.1%). pLUTo's source code and all scripts required to reproduce the results of this paper are openly and fully available at https://github.com/CMU-SAFARI/pLUTo.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Computer Engineering","","",""
"uuid:7b334e72-1230-4dbe-8973-d1facf647b9b","http://resolver.tudelft.nl/uuid:7b334e72-1230-4dbe-8973-d1facf647b9b","HyEnA: A Hybrid Method for Extracting Arguments from Opinions","van der Meer, M.T. (TU Delft Interactive Intelligence; Universiteit Leiden); Liscio, E. (TU Delft Interactive Intelligence); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden); Plaat, Aske (Universiteit Leiden); Vossen, Piek (Computational Lexicology and Terminology Lab (CLTL)); Murukannaiah, P.K. (TU Delft Interactive Intelligence)","Schlobach, Stefan (editor); Perez-Ortiz, Maria (editor); Tielman, Myrthe (editor)","2022","The key arguments underlying a large and noisy set of opinions help understand the opinions quickly and accurately. Fully automated methods can extract arguments but (1) require large labeled datasets and (2) work well for known viewpoints, but not for novel points of view. We propose HyEnA, a hybrid (human + AI) method for extracting arguments from opinionated texts, combining the speed of automated processing with the understanding and reasoning capabilities of humans. We evaluate HyEnA on three feedback corpora. We find that, on the one hand, HyEnA achieves higher coverage and precision than a state-of-the-art automated method, when compared on a common set of diverse opinions, justifying the need for human insight. On the other hand, HyEnA requires less human effort and does not compromise quality compared to (fully manual) expert analysis, demonstrating the benefit of combining human and machine intelligence.","argument extraction; hybrid intelligence; natural language processing","en","conference paper","IOS Press","","","","","","","","","","Interactive Intelligence","","",""
"uuid:d16ce36e-3edc-4a77-86f7-a9e2e8e9c5ae","http://resolver.tudelft.nl/uuid:d16ce36e-3edc-4a77-86f7-a9e2e8e9c5ae","Does Personalization Help? Predicting How Social Situations Affect Personal Values","Kola, I. (TU Delft Interactive Intelligence); Isufaj, R. (TU Delft Interactive Intelligence; Universitat Autònoma de Barcelona); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden)","Schlobach, Stefan (editor); Perez-Ortiz, Maria (editor); Tielman, Myrthe (editor)","2022","Personal values represent what people find important in their lives, and are key drivers of human behavior. For this reason, support agents should provide help that is aligned with the personal values of the users. To do this, the support agent not only should know the value preferences of the user, but also how different situations in the user's life affect these personal values. We represent situations using their psychological characteristics, and we build predictive models that given the psychological characteristics of a situation, predict whether the situation promotes, demotes or does not affect a personal value. In this work, we focus on predictions for the value ‘enjoyment of life', and use different machine learning classifiers, all of them performing better than chance when training on data from multiple people. The best predictive model is a multi-layer perceptron classifier, which achieves an accuracy of 72%. Further, we hypothesize that the accuracy of such models would drop when tested on individual data sets. The data supports our hypothesis, and the accuracy of the best performing model drops by at least 11% when tested on individual data. To tackle this, we propose an active learning procedure to build personalized prediction models having the user in the loop. Results show that this approach outperforms the previously built model while using only 30% of the training data. Our findings suggest that how situations affect personal values can have subjective interpretations, but we can account for those subjective interpretations by involving the user when building a prediction model.","Active Learning; Personal Values; Predictive Models; Support Agents","en","conference paper","IOS Press","","","","","","","","","","Interactive Intelligence","","",""
"uuid:15596d30-79ec-4cef-a184-0bfa09f60579","http://resolver.tudelft.nl/uuid:15596d30-79ec-4cef-a184-0bfa09f60579","Sensing Performance of Different Codes for Phase-Coded FMCW Radars","Kumbul, U. (TU Delft Microwave Sensing, Signals & Systems); Petrov, N. (TU Delft Microwave Sensing, Signals & Systems; NXP Semiconductors); Vaucher, Cicero S. (NXP Semiconductors); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","The sensing properties of the binary phase codes are investigated with their application to phase-coded (linearly) frequency modulated continuous waveform (PC-FMCW). It is shown that the ambiguity function of FMCW signal modulated with a binary phase code corresponds to sheared ambiguity function of the code itself. The range profiles of PC-FMCW with different code families are analysed and compared in terms of integrated sidelobe level (ISL).","Ambiguity function; Modulated chirps; Phase modulation; Phase-coded FMCW","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:9aab5c5e-d3af-485a-bcee-857171175aa9","http://resolver.tudelft.nl/uuid:9aab5c5e-d3af-485a-bcee-857171175aa9","Improved Direction Finding Accuracy for A Limited Number of Antenna Elements with Harmonic Characteristic Analysis","Yuan, S. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2022","A direction-finding approach for arrays with a limited number of antenna elements has been investigated. A method based on the harmonic analysis of the received signal has been proposed to solve it. The angle estimation accuracy has been improved by angle searching and peak detection. The proposed method is theoretically described and numerical simulations are provided to verify its effectiveness. Compared with classical direction-finding methods with limited antenna elements, significant improvements have been demonstrated.","array signal processing; direction on arrival (DOA); Harmonic Characteristic analysis; limited antenna elements","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:d368b44a-b3dd-45e4-9626-b6b2b87085c4","http://resolver.tudelft.nl/uuid:d368b44a-b3dd-45e4-9626-b6b2b87085c4","Low coherence interferometry to characterize the induced vibrations and topology change of the cryogenic mirror of the Einstein Telescope prototype","Vilaboa Pérez, Jesús (Sart Tilman B52); Georges, Marc (Sart Tilman B52); Lenaerts, Cédric (Sart Tilman B52); Loicq, J.J.D. (TU Delft Spaceborne Instrumentation; Sart Tilman B52)","Navarro, Ramon (editor); Geyl, Roland (editor)","2022","We describe the state of development of a white light interferometer to characterize the cryogenic mirrors for GW detector on operation. We include the first experimental results from the proof of concept of the metrology instrument. The instrument will characterize the topology as well as the vibration of the mirrors. This development takes place in the frame of the E-TEST project. E-TEST is one of the technology demonstrators for the future Einstein Telescope (ET). ET is dedicated to the measure and characterization of gravitational waves. The prototype built by E-TEST includes a large silicon mirror of 40 cm diameter suspended by innovative vibration isolation hanging modules. To reach the detection specification, the mirror is cooled down at cryogenic temperatures around 20 K. Nevertheless, even after the isolation, the mirror may not reach perfect stability once at cryogenic temperatures. Furthermore, the mirror may experience surface topology changes and wavefront deformation due to the extreme variations in temperature and gradient. With our metrology instrument, we can obtain on a single camera frame a set of interferogram maps of the area observed on the mirror at different optical path differences. To do this, we design an innovative phase mask for a white light low-coherence interferometer. In addition, we implement new algorithms for the white light interferogram analysis, avoiding the limitations of the conventional Phase Shifting Interferometry algorithms.","Dynamical Interferometry; Optical Phase Mask; Single-Frame lowcoherence Interferometry; Topology and vibration determination with interferometry; White Light Interferometry","en","conference paper","SPIE","","","","","","","","","","Spaceborne Instrumentation","","",""
"uuid:d19b52b2-4988-442a-bf81-c957e9614e19","http://resolver.tudelft.nl/uuid:d19b52b2-4988-442a-bf81-c957e9614e19","Synergy between quantum computing and semiconductor technology","Verberk, Rogier (TNO); Michalak, D.J. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Versluis, R. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Polinder, H. (TU Delft BUS/TNO STAFF; TNO); Samkharadze, Nodar (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Amitonov, S. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Sammak, A. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Tryputen, L. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Brousse, D. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Hanfoug, R. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO)","Behringer, Uwe F. W. (editor)","2022","As part of the National Agenda for Quantum Technology, QuTech (TU Delft and TNO) has agreed to make quantum technology accessible to society and industry via its full-stack prototype: Quantum Inspire. This system includes two different types of programmable quantum chips: circuits made from superconducting materials (transmons), and circuits made from silicon-based materials that localize and control single-electron spins (spin qubits). Silicon-based spin qubits are a natural match to the semiconductor manufacturing community, and several industrial fabrication facilities are already producing spin-qubit chips. Here, we discuss our latest results in spin-qubit technology and highlight where the semiconducting community has opportunities to drive the field forward. Specifically, developments in the following areas would enable fabrication of more powerful spin-qubit based quantum computing devices: circuit design rules implementing cryogenic device physics models, high-fidelity gate patterning of low resistance or superconducting metals, gate-oxide defect mitigation in relevant materials, silicon-germanium heterostructure optimization, and accurate magnetic field generation from on-chip micromagnets.","Device Manufacturing; Quantum Computing; Spin Qubit in Silicon","en","conference paper","SPIE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BUS/TNO STAFF","","",""
"uuid:668a53e9-1688-433b-9934-eb0de73dc89f","http://resolver.tudelft.nl/uuid:668a53e9-1688-433b-9934-eb0de73dc89f","Towards creating a conversational memory for long-term meeting support: predicting memorable moments in multi-party conversations through eye-gaze","Tsfasman, Maria; Fenech, Kristian (Eötvös University); Tarvirdians, M. (TU Delft Interactive Intelligence); Lorincz, Andras (Eötvös University); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden); Oertel, Catharine (TU Delft Interactive Intelligence)","","2022","When working in a group, it is essential to understand each other's viewpoints to increase group cohesion and meeting productivity. This can be challenging in teams: participants might be left misunderstood and the discussion could be going around in circles. To tackle this problem, previous research on group interactions has addressed topics such as dominance detection, group engagement, and group creativity. Conversational memory, however, remains a widely unexplored area in the field of multimodal analysis of group interaction. The ability to track what each participant or a group as a whole find memorable from each meeting would allow a system or agent to continuously optimise its strategy to help a team meet its goals. In the present paper, we therefore investigate what participants take away from each meeting and how it is reflected in group dynamics.As a first step toward such a system, we recorded a multimodal longitudinal meeting corpus (MEMO), which comprises a first-party annotation of what participants remember from a discussion and why they remember it. We investigated whether participants of group interactions encode what they remember non-verbally and whether we can use such non-verbal multimodal features to predict what groups are likely to remember automatically. We devise a coding scheme to cluster participants' memorisation reasons into higher-level constructs. We find that low-level multimodal cues, such as gaze and speaker activity, can predict conversational memorability. We also find that non-verbal signals can indicate when a memorable moment starts and ends. We could predict four levels of conversational memorability with an average accuracy of 44 %. We also showed that reasons related to participants' personal feelings and experiences are the most frequently mentioned grounds for remembering meeting segments.","conversational memory; multi-modal corpora; multi-party interaction; social signals","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:fcc28e65-c75c-4aa1-88d1-2d1c3ae88ad8","http://resolver.tudelft.nl/uuid:fcc28e65-c75c-4aa1-88d1-2d1c3ae88ad8","Designing Hybrid Intelligence Techniques for Facilitating Collaboration Informed by Social Science","Matej Hrkalovic, T. (TU Delft Pattern Recognition and Bioinformatics; Vrije Universiteit Amsterdam)","","2022","Designing (socially) intelligent systems for facilitating collaborations in human-human and human-AI teams will require them to have a basic understanding of principles underlying social decision-making. Partner selection - the ability to identify and select suitable partners for collaborative relationships - is one relevant component of social intelligence and an important ingredient for successful relationship management. In everyday life, decision to engage in joint undertakings are often based on impressions made during social interactions with potential partners. These impressions, and consequently, partner selection are informed by (non)-verbal behavioral cues. Despite its importance, research investigating how these impressions and partner selection decisions unfold in naturalistic settings seem to be lacking. Thus, in this paper, we present a project focused on understanding, predicting and modeling partner selection and understanding its relationship with human impressions in semi- naturalistic settings, such as social interactions, with the aim of informing future designing approaches of (hybrid) intelligence system that can understand, predict and aid in initiating and facilitating (current and future) collaborations.","Collaboration; Impression formation; Partner Selection; Social Signal Processing; User-modelling","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:5344f9ed-8666-4b9d-b934-1f0e1ab0bb7e","http://resolver.tudelft.nl/uuid:5344f9ed-8666-4b9d-b934-1f0e1ab0bb7e","Topological Protection in Radiative Photonic Crystal Cavities","Barczyk, R.T. (AMOLF); Parappurath, N. (AMOLF); Arora, S. (TU Delft QN/Kuipers Lab; Kavli institute of nanoscience Delft); Bauer, T.A. (TU Delft QN/Kuipers Lab; Kavli institute of nanoscience Delft); Kuipers, L. (TU Delft QN/Quantum Nanoscience; Kavli institute of nanoscience Delft); Verhagen, E. (AMOLF)","","2022","We study the signatures of topological light confinement in the leakage radiation of two-dimensional topological photonic crystal cavities that feature the quantum spin Hall effect at telecom wavelengths. The mode profiles in real and momentum space are retrieved using far field imaging and Fourier spectropolarimetry. We examine the scaling behavior of mode spectra, observe band-inversion-induced confinement, and demonstrate hallmarks of topological protection in the loss rates, which are largely unaffected by cavity shape and size.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","QN/Quantum Nanoscience","QN/Kuipers Lab","","",""
"uuid:3da888eb-21d1-4608-973a-d95381225bee","http://resolver.tudelft.nl/uuid:3da888eb-21d1-4608-973a-d95381225bee","Quantification of the development of trunk control in healthy infants using inertial measurement units","Blok, Janneke (Student TU Delft); Poggensee, K. (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC); Lemus Perez, D.S. (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC); Kok, M. (TU Delft Team Manon Kok); Pangalila, Robert F. (Erasmus MC; Rijndam Revalidatie); Vallery, H. (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC); Deferme, Jolien (Rijndam Revalidatie); Toussaint-Duyster, Leontien (Erasmus MC); Horemans, H.L.D. (TU Delft Biomechanical Engineering; Erasmus MC)","","2022","Trunk motor control is essential for the proper functioning of the upper extremities and is an important predictor of gait capacity in children with delayed development. Early diagnosis and intervention could increase the trunk motor capabilities in later life, but current tools used to assess the level of trunk motor control are largely subjective and many lack the sensitivity to accurately monitor development and the effects of therapy. Inertial measurement units could yield an objective quantitative assessment that is inexpensive and easy-to-implement. We hypothesized that root mean square of jerk, a proxy for movement smoothness, could be used to distinguish age and thereby presumed motor development. We attached a sensor to the trunks of six young children with no known developmental deficits. Root mean square of jerk decreases with age, up to 24 months, and is correlated to a more established method, i.e., center-of-pressure velocity, as well as other standard inertial measurement unit outputs. This metric therefore shows potential as a method to differentiate trunk motor control levels.","Motor drives; Pediatrics; Measurement units; Sensitivity; Medical treatment; Inertial navigation; Robot sensing systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-28","","Biomechanical Engineering","Biomechatronics & Human-Machine Control","","",""
"uuid:f53bc4af-6083-4005-988b-3372e352f8d1","http://resolver.tudelft.nl/uuid:f53bc4af-6083-4005-988b-3372e352f8d1","Exploring profiling and personalisation in sleep music design: Towards conceptualising musical sleep aids for hospital use","Delle Monache, S. (TU Delft Design Aesthetics); Jia, Doudou (Student TU Delft); Kamphuis, Daan (Reinier de Graaf Gasthuis); Ozcan Vieira, E. (TU Delft Design Aesthetics)","","2022","Music as a low-cost sleep aid is a promising way to improve the sleep quality of people. However, most available sleep music playlists are limited to generic, soothing songs, which do not take in account personalisation. In collaboration with the Neurology Department of the Reinier de Graaf hospital (Delft, The Netherlands), we explored a profile-based personalisation approach to deliver music that fits with people' sleep and music preferences. Through generative research, we collected people's preference data and proposed four, evocative sleep music profiles: The Explorer, the Diver, the Hunter, and the Observer. The results of the profiling evaluation suggest that the profile experience is credible, intuitive, and easy to use. Four profiles can reflect people's preferences, but may not be stable.","personalisation; Profiling; sleep music; sound-driven design","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Design Aesthetics","","",""
"uuid:c9911c29-eb14-4d05-9130-a055ef215b7a","http://resolver.tudelft.nl/uuid:c9911c29-eb14-4d05-9130-a055ef215b7a","Design Feasibility of an Energy-efficient Wrist Flexion-Extension Exoskeleton using Compliant Beams and Soft Actuators","Amoozandeh, A. (TU Delft Mechatronic Systems Design); Caasenbrood, Brandon (Eindhoven University of Technology)","","2022","Passive and active exoskeletons have been used over recent decades. However, regarding many physiological systems, we see that the majority explore both active and passive elements to minimize energy consumption while retaining proper motion control. In light of this, we propose a design that combines compliant mechanisms as passive support for gravity balancing of the hand's weight and soft actuators as active support for wrist flexion-extension. Our approach offers a safe, lightweight solution that intrinsically complements and supports the wrist's degrees of freedom. We hypothesize that the proposed soft wearable device is able to increase the range of motion and reduce muscle fatigue while being energy-conservative by balancing of the passive and active subsystems. In this work, we perform a design feasibility study for such soft wrist exoskeletons, particularly focused on wrist flexion-extension rehabilitation. Through optimization, geometries for the required functionality of the compliant beam and soft actuator are obtained, and their performance as separate subsystems is evaluated by simulations and experiments. Under the appropriate inputs, we show that the system can introduce a controllable bifurcation. Through experiments, we investigate such bi-stability and explore its usefulness for rehabilitative support of wrist flexion-extension. In short, the proposed wearable can offer a viable, energy-efficient alternative to traditional rehabilitation technologies.","Wrist; Performance evaluation; Actuators; Energy consumption; Manufacturing processes; Wearable computers; Exoskeletons","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mechatronic Systems Design","","",""
"uuid:d66f1fb5-6220-4c25-93ea-718884a7f45d","http://resolver.tudelft.nl/uuid:d66f1fb5-6220-4c25-93ea-718884a7f45d","Towards Unsupervised Rehabilitation: Development of a Portable Compliant Device for Sensorimotor Hand Rehabilitation","Van Damme, Nathan (University of Bern); Ratz, Raphael (University of Bern); Marchal Crespo, L. (TU Delft Human-Robot Interaction; University of Bern)","","2022","Sensorimotor impairments of the hand after stroke can drastically reduce the ability to perform activities of daily living. Recently, there has been an increased interest in minimally supervised and unsupervised rehabilitation to increase therapy dosage and to complement conventional therapy. Several devices have been developed that are simple to use and portable. Yet, they do not incorporate diversified somatosensory feedback, which has been suggested to promote sensorimotor recovery. Here we present the prototype of a portable one-degree-of-freedom hand trainer based on a novel compliant shell mechanism. Our solution is safe, intuitive, and can be used for various hand sizes. Importantly, it also provides rich sensory feedback through haptic rendering. We complement our device with a rehabilitation game, where we leverage interactive tangible game elements with diverse haptic characteristics to provide somatosensory training and foster recovery.","Training; Performance evaluation; Medical treatment; Prototypes; Games; Robot sensing systems; Rendering (computer graphics)","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Human-Robot Interaction","","",""
"uuid:4b0b9cbb-d489-4033-8b4f-91020521c1d5","http://resolver.tudelft.nl/uuid:4b0b9cbb-d489-4033-8b4f-91020521c1d5","Exploiting Digital Micro-Mirror Devices for Ambient Light Communication","Xu, M. (TU Delft Embedded Systems); Chavez Tapia, M.A. (TU Delft Embedded Systems); Zuniga, Marco (TU Delft Embedded Systems)","","2022","There is a growing interest in exploiting ambient light for wireless communication. This new research area has two key advantages: it utilizes a free portion of the spectrum and does not require modifications of the lighting infrastructure. Most existing designs, however, rely on a single type of optical surface at the transmitter: liquid crystal shutters (LCs). LCs have two inherent limitations, they cut the optical power in half, which affects the range; and they have slow time responses, which affects the data rate. We take a step back to provide a new perspective for ambient light communication with two novel contributions. First, we propose an optical model to understand the fundamental limits and opportunities of ambient light communication. Second, based on the insights of our analystical model, we build a novel platform, dubbed PhotoLink, that exploits a different type of optical surface: digital micro-mirror devices (DMDs). Considering the same scenario in terms of surface area and ambient light conditions, we benchmark the performance of PhotoLink using two types of receivers, one optimized for LCs and the other for DMDs. In both cases, PhotoLink outperforms the data rate of equivalent LC-transmitters by factors of 30 and 80: 30 kbps & 80 kbps vs. 1 kbps, while consuming less than 50 mW. Even when compared to a more sophisticated multi-cell LC platform, which has a surface area that is 500 times bigger than ours, PhotoLink's data rate is 10-fold: 80 kbps vs. 8 kbps. To the best of our knowledge this is the first work providing an optical model for ambient light communication and breaking the 10 kbps barrier for these types of links.","","en","conference paper","USENIX Association","","","","","","","","","","Embedded Systems","","",""
"uuid:97ac279a-63c8-4242-8425-75c1464575b7","http://resolver.tudelft.nl/uuid:97ac279a-63c8-4242-8425-75c1464575b7","Distributed kalman filters for relative formation control of multi-agent systems","van der Marel, M.P. (TU Delft Electrical Engineering, Mathematics and Computer Science); Rajan, R.T. (TU Delft Signal Processing Systems)","","2022","Formation control (FC) of multi-agent systems plays a critical role in a wide variety of fields. In the absence of absolute positioning, agents in FC systems rely on relative position measurements with respect to their neighbors. In distributed filter design literature, relative observation models are comparatively unexplored, and in FC literature, uncertainty models are rarely considered. In this article, we aim to bridge the gap between these domains, by exploring distributed filters tailored for relative FC of swarms. We propose statistically robust data models for tracking relative positions of agents in a FC network, and subsequently propose optimal Kalman filters for both centralized and distributed scenarios. Our simulations highlight the benefits of these estimators, and we identify future research directions based on our proposed framework.","distributed estimation; formation control; Kalman filter; multi-agent systems; relative navigation","en","conference paper","European Signal Processing Conference, EUSIPCO","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-01","Electrical Engineering, Mathematics and Computer Science","","Signal Processing Systems","","",""
"uuid:1815d450-4131-4d59-b9ea-454da9225be1","http://resolver.tudelft.nl/uuid:1815d450-4131-4d59-b9ea-454da9225be1","Cryogenic Comparator Characterization and Modeling for a Cryo-CMOS 7b 1-GSa/s SAR ADC","Kiene, G. (TU Delft QCD/Sebastiano Lab; TU Delft QuTech Advanced Research Centre); GUNAPUTI SREENIVASULU, A.M. (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft QuTech Advanced Research Centre); Overwater, R.W.J. (TU Delft QCD/Sebastiano Lab; TU Delft QuTech Advanced Research Centre); Babaie, M. (TU Delft Electronics; TU Delft QuTech Advanced Research Centre); Sebastiano, F. (TU Delft Quantum Circuit Architectures and Technology; TU Delft QuTech Advanced Research Centre)","","2022","This paper reports the experimental characterization and modelling of a stand-Alone StrongARM comparator at both room temperature (RT) and cryogenic temperature (4.2 K). The observed 6-dB improvement in the comparator input noise at 4.2 K is attributed to the reduction of the thermal noise and to the suppressed shot noise in the MOS transistors becoming dominant at cryogenic temperature. The proposed model is employed in the design of a loop-unrolled 2\times time-interleaved 1-GSa/s 7b SAR ADC for spin-qubit readout. As predicted by the comparator model, the ADC is noise-limited at RT to a SNDR of 38.2 dB at Nyquist input, while this improves to 41.1 dB at 4.2 K, now limited by distortion, thus resulting in the state-of-The-Art FoMw for cryo-CMOS ADC of 20.9 fJ/conv-step.","ADC; Cryo-CMOS; latching comparator; noise measurement; SAR; strongARM","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","Electrical Engineering, Mathematics and Computer Science","","QCD/Sebastiano Lab","","",""
"uuid:0ced02d1-5c32-486d-b0f7-48bf1c5c2bc3","http://resolver.tudelft.nl/uuid:0ced02d1-5c32-486d-b0f7-48bf1c5c2bc3","Subjective QoE Evaluation of User-Centered Adaptive Streaming of Dynamic Point Clouds","Subramanyam, S. (TU Delft Multimedia Computing); Viola, Irene (Centrum Wiskunde & Informatica (CWI)); Jansen, Jack (Centrum Wiskunde & Informatica (CWI)); Alexiou, Evangelos (Centrum Wiskunde & Informatica (CWI)); Hanjalic, A. (TU Delft Intelligent Systems); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI))","","2022","Technological advances in head-mounted displays and novel real-time 3D acquisition and reconstruction solutions have fostered the development of 6 Degrees of Freedom (6DoF) teleimmersive systems for social VR applications. Point clouds have emerged as a popular format for such applications, owing to their simplicity and versatility; yet, dense point cloud contents are too large to deliver directly over bandwidth-limited networks. In this context, user-adaptive delivery mechanisms are a promising solution to exploit the increased range of motion offered by 6DoF VR applications to yield gains in perceived quality of 3D point cloud user representations, while reducing their bandwidth requirements. In this paper, we perform a user study in VR to quantify the gains adaptive tile selection strategies can bring with respect to non-adaptive solutions. In particular, we define an auxiliary utility function, we employ established methods from the literature and newly-proposed schemes for distributing the bit budget across the tiles, and we evaluate them together with non-adaptive streaming baselines through subjective QoE assessment. Results confirm that considerable gains can be obtained with user-adaptive streaming, achieving bit rate gains of up to 65% with respect to a non-adaptive approach to deliver comparable quality. Our analysis provides useful insights for the design and development of social VR applications.","6DoF; adaptive streaming; point cloud; QoE assessment; teleimmersion; virtual reality","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Intelligent Systems","Multimedia Computing","","",""
"uuid:3a5b1311-9b18-4a26-9241-f118c837ae51","http://resolver.tudelft.nl/uuid:3a5b1311-9b18-4a26-9241-f118c837ae51","Platform Services Facilitating the Participation of Active Households in the Energy System - a Transaction Cost Perspective","Pelka, S. (TU Delft Energie and Industrie; Fraunhofer Institute for Systems and Innovation Research ISI); Kern, Dominik (Technische Universität Darmstadt; Fraunhofer Institute for Systems and Innovation Research ISI); George, Jan (Albert-Ludwigs-Universität Freiburg; Fraunhofer Institute for Systems and Innovation Research ISI)","","2022","Platforms facilitate the participation of households and their energy assets in the energy system. Platform services are considered attractive for households if the energy cost savings exceed the transaction cost of the service. We conceptualize different platform architecture, quantify their transaction cost and compare it to potential energy cost savings from the literature. The design of its communication infrastructure especially influences the attractiveness of the platform architecture for two reasons. First, its other cost, particularly the platform core, accounts only for a minor cost share. Second, the grey and scientific literature discusses multiple communication infrastructure designs referring to smart metering. For the German case, two key design options, the certified and regulated advanced metering infrastructure and the agile Internet of Things based communication are combined into a third option to create a fully functional and certified infrastructure. This is the most attractive option for households deploying multiple flexibility sources or one large and predominantly controllable one (such as a heat pump).","Distributed Energy Resources; Energy Trading Platform; Intermediary; Smart Metering; Transaction Cost Economics","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Energie and Industrie","","",""
"uuid:cf2152af-a575-4c0d-aa5f-89bc0b1cee7f","http://resolver.tudelft.nl/uuid:cf2152af-a575-4c0d-aa5f-89bc0b1cee7f","Workshop on Multimodal Motion Sickness Detection and Mitigation Methods for Car Journeys","Pöhlmann, Katharina Margareta Theresa (University of Glasgow); Li, Gang (University of Glasgow); Dam, Abhraneil (Virginia Polytechnic Institute and State University); Wang, Yu Kai (University of Technology Sydney); Wei, Chun Shu (National Yang Ming Chiao Tung University, Hsinchu); Brietzke, Adrian (Volkswagen AG); Papaioannou, G. (TU Delft Intelligent Vehicles)","","2022","The mass adoption of automated vehicles in the near future will benefit safety (of occupants and pedestrians), the environment (low emissions), and society (accessibility, on-demand travel). There are, however, still challenges that need to be addressed, with one of the most crucial being motion sickness. In automated vehicles, the interior could be transformed into a living room or a working space, allowing occupants to spend their time with non-driving activities. These changes are likely to provoke, and increase, motion sickness incidence. To that end, this workshop will explore the current state of motion sickness detection and mitigation methods from different angles (e.g., closed-loop detection, multimodal motion cues,etc.) through expert talks and reflections, followed by discussions. The workshop will develop an agenda for motion sickness research in automated vehicles, facilitate new research ideas and fruitful collaborations.","Automated Vehicles; Comfort; Detection; Mitigation; Motion Sickness","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Intelligent Vehicles","","",""
"uuid:2b7dd922-94ff-48e6-869c-f757d1fc56d3","http://resolver.tudelft.nl/uuid:2b7dd922-94ff-48e6-869c-f757d1fc56d3","CIM-based Robust Logic Accelerator using 28 nm STT-MRAM Characterization Chip Tape-out","Singh, A. (TU Delft Computer Engineering); Zahedi, M.Z. (TU Delft Computer Engineering); Shahroodi, T. (TU Delft Computer Engineering); Gupta, Mohit (IMEC-Solliance); Gebregiorgis, A.B. (TU Delft Computer Engineering); Komalan, Manu (IMEC-Solliance); Joshi, R.V. (IBM Thomas J. Watson Research Centre); Catthoor, Francky (IMEC-Solliance); Bishnoi, R.K. (TU Delft Computer Engineering); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","","2022","Spin-transfer torque magnetic random access memory (STT-MRAM) based computation-in-memory (CIM) architectures have shown great prospects for an energy-efficient computing. However, device variations and non-idealities narrow down the sensing margin that severely impacts the computing accuracy. In this work, we propose an adaptive referencing mechanism to improve the sensing margin of a CIM architecture for boolean binary logic (BBL) operations. We generate reference signals using multiple STT-MRAM devices and place them strategically into the array such that these signals can address the variations and trace the wire parasitics effectively. We have demonstrated this behavior using an STT-MRAM model, which is calibrated using 1Mbit characterized array. Results show that our proposed architecture for binary neural networks (BNN) achieves up to 17.8 TOPS/W on the MNIST dataset and 130× performance improvement for the text encryption compared to the software implementation on Intel Haswell processor.","binary logic; binary neural networks; computation-in-memory; STT-MRAM","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:fad5a828-9173-4bdd-9fb4-9512d8ce7e53","http://resolver.tudelft.nl/uuid:fad5a828-9173-4bdd-9fb4-9512d8ce7e53","Let's Negotiate with Automation: How can Humans and HMIs Negotiate Disagreement on Automated Vehicles?","Kim, S. (TU Delft Human Information Communication Design); van Grondelle, E.D. (TU Delft Design Aesthetics); van Zeumeren, I.M. (TU Delft Design Aesthetics); Mirnig, Alexander G. (University of Salzburg); Stojmenova, Kristina (University of Ljubljana)","","2022","In automated vehicles, the driver and the vehicle make a decision on the driving. However, there is no guarantee that drivers always agree or follow the system's decision. Drivers can reject the system's proposal or regain control, and it reduces the usefulness of automated vehicles. When a decision conflict happens, the vehicle can negotiate with the driver. Human-human communication depends on the individual's attitude and situation. Similarly, the negotiation style needs to differ depending on the context of conflict and the cause of disagreement. In this workshop, we address the negotiation approach to designing HMI and discuss considerations for applying the human-human negotiation style to human-automated vehicle interaction design. HMI design using a negotiation approach can address the decision conflict between humans and automation and expect enhancing trust and acceptance.","Automated driving; Design thinking; Human-machine interaction; Negotiation","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Human Information Communication Design","","",""
"uuid:4b0c076b-87b2-4533-ae45-409a11b820bf","http://resolver.tudelft.nl/uuid:4b0c076b-87b2-4533-ae45-409a11b820bf","Subsea buoyancy and gravity energy storage system for deep-water applications: A preliminary assessment","Novgorodcev Junior, André Reinaldo (TU Delft Offshore Engineering); Mols, F. (TU Delft Offshore Engineering; TU Delft Mechanical, Maritime and Materials Engineering); Jarquin Laguna, A. (TU Delft Offshore and Dredging Engineering)","","2022","This article presents a preliminary assessment of a subsea buoyancy and gravity energy storage system (SBGESS). The storage device is designed to power an off-grid subsea water injection system to be installed at the Libra oil field in Brazil at 2000 m below sea level. Two 12MW floating wind turbines provide the energy supply. The system performance is evaluated according to historical wind data from reanalysis models, the water injection pumps power curves, the required daily water flow rate, and the maximum number of shutdowns allowed per year. A control strategy with three different operation modes and one energysave sub-mode was implemented to optimise the size of the proposed energy storage system.","Buoyancy energy storage; Gravity energy storage; offshore energy","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","Mechanical, Maritime and Materials Engineering","","Offshore Engineering","","",""
"uuid:1cc31981-5a50-41d5-949e-5866d964fd44","http://resolver.tudelft.nl/uuid:1cc31981-5a50-41d5-949e-5866d964fd44","Bio-Remote Sensing in Predicting Infection in Neonates With Thermal Imaging and Machine Learning","Rassels, K. (TU Delft Biomechatronics & Human-Machine Control); French, P.J. (TU Delft Bio-Electronics)","","2022","Premature birth complications have different causes and vary in different parts of the world with sepsis as one of the leading causes of these complications. The body releases anti-inflammatory substances when an infection is detected and this, in turn, could damage healthy organs, especially when they are not fully developed. Preterm babies are susceptible to diseases due to their underdeveloped organs and immune systems. Hence, it is extremely important to treat sepsis as soon as the baby is diagnosed. Neonatal sepsis is a dangerous nonspecific disease in babies, and it is a clinically very difficult and challenging task to diagnose. Late or incorrect treatment of infants' sepsis can lead to death which is one of the most causes of mortality rate in neonates. In the traditional treatment of sepsis, the needed time and accuracy for diagnosis are still very concerning, considering the number of involved risks in late diagnosis or mistreatment of sepsis cases. Thus, the need for having a fast and reliable algorithm with high accuracy to predict sepsis before clinical recognition would help the doctors to treat the neonates in time and to reduce the mortality rate related to sepsis. This paper presents a fast, accurate, and reliable thermographic Bio-Remote Sensing approach to predicting sepsis in neonates and discusses the significance of combining the Thermal Imaging technique with Machine Learning (ML). At the same time, it provides a more practical and desirable solution for physicians by minimising the traditional diagnosis time and maximizing the accuracy of the prediction needed to detect sepsis in neonates.","Artificial Intelligence (AI), Machine Learning (ML), Premature babies, Incubator; Bio-Remote Sensing, Predicting Infection, Thermographic technology; Body temperature measurement; neonates; Sepsis, Infrared thermal imaging","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Biomechatronics & Human-Machine Control","","",""
"uuid:22682ac5-6679-4aee-94af-c1bcaf120ed8","http://resolver.tudelft.nl/uuid:22682ac5-6679-4aee-94af-c1bcaf120ed8","Real-time Thermographic Object Tracking of the Body Temperature of a Neonate","Rassels, K. (TU Delft Biomechatronics & Human-Machine Control); French, P.J. (TU Delft Bio-Electronics)","","2022","Neonates can show sudden rapid body movements when they are in pain, need care, or need to be fed. They can also be very quiet and immovable or move very slowly when they are asleep or being fed. Monitoring a neonate's body temperature for a long time provides physicians and nurses valuable information about the health condition of the baby. Thermographic technology is a remote and very safe way to measure an accurate neonate's body temperature to monitor his/her vital signs. However, the tracking of an elastic thermographic profile of a subject with a random and erratic movement in the short- and long-term is a challenging task. The combination of the real-time thermographic detection and tracking system provides a safe and more robust non-invasive method to measure the vital signs and monitor the physiological changes of the neonates over time. However, this method can also be used for other target age groups.","infrared thermal imaging; neonates; premature babies, incubator; temperature measurement; Thermographic object tracking; thermography technology; tracking body temperature","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Biomechatronics & Human-Machine Control","","",""
"uuid:537e380c-9bf5-42dc-af55-b4f0c80c9c1a","http://resolver.tudelft.nl/uuid:537e380c-9bf5-42dc-af55-b4f0c80c9c1a","Targeted Influence with Community and Gender-Aware Seeding","Styczen, MacIej (Swiss Federal Institute of Technology); Chen, Bing Jyue (Academia Sinica, Institute of Information Science); Teng, Ya Wen (Academia Sinica, Institute of Information Science); Pignolet, Yvonne Anne (The Dfinity Foundation Switzerland); Chen, Lydia Y. (TU Delft Data-Intensive Systems); Yang, De Nian (Academia Sinica, Institute of Information Science)","","2022","When spreading information over social networks, seeding algorithms selecting users to start the dissemination play a crucial role. The majority of existing seeding algorithms focus solely on maximizing the total number of reached nodes, overlooking the issue of group fairness, in particular, gender imbalance. To tackle the challenge of maximizing information spread on certain target groups, e.g., females, we introduce the concept of the community and gender-aware potential of users. We first show that the network's community structure is closely related to the gender distribution. Then, we propose an algorithm that leverages the information about community structure and its gender potential to iteratively modify a seed set such that the information spread on the target group meets the target ratio. Finally, we validate the algorithm by performing experiments on synthetic and real-world datasets. Our results show that the proposed seeding algorithm achieves not only the target ratio but also the highest information spread, compared to the state-of-the-art gender-aware seeding algorithm.","fairness; influence maximization; social networks","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Data-Intensive Systems","","",""
"uuid:759a5d5f-69cc-4065-b852-40cdab3df983","http://resolver.tudelft.nl/uuid:759a5d5f-69cc-4065-b852-40cdab3df983","High Time Resolution, Low-Noise, Power-Efficient, Charge-Sensitive Amplifier in 40 nm Technology","Mohammad Zaki, A.R. (TU Delft Electronic Instrumentation); Nihtianova, S. (TU Delft Electronic Instrumentation)","","2022","Particle detection circuits are used for a wide range of applications from experimental physics to material testing and medical imaging. In the state-of-The-Art systems, the trend is to design low-noise and low-power readout front-end electronics with a low detection error rate and small silicon area occupation. This paper presents the design of a high time resolution, low-noise, and power-efficient charge sensitive amplifier (CSA) in 40 nm CMOS technology. For every charge pulse of the detector, the CSA generates voltage signals with a peak amplitude of 30.6 mV, a rise time of 2.35 ns, and an equivalent noise charge (ENC) of 44e- with 0.14 mW power consumption.","charge sensitive amplifier (CSA); high time resolution; low-noise; power-efficient; readout front-end","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:e9140f3a-01fb-44fb-a319-3242b79ced97","http://resolver.tudelft.nl/uuid:e9140f3a-01fb-44fb-a319-3242b79ced97","Talking Trucks: Decentralized Collaborative Multi-Agent Order Scheduling for Self-Organizing Logistics","Pingen, Geert L.J. (TNO); van Ommeren, Christian R. (TNO); van Leeuwen, Cornelis J. (TNO); Fransen, Ruben W. (TNO); Elfrink, Tijmen (TNO); de Vries, Yorick C. (Student TU Delft; TNO); Karunakaran, Janarthanan (Van Berkel Logistics B.V.); Demirović, E. (TU Delft Algorithmics); Yorke-Smith, N. (TU Delft Algorithmics)","Kumar, Akshat (editor); Thiebaux, Sylvie (editor); Varakantham, Pradeep (editor); Yeoh, William (editor)","2022","Logistics planning is a complex optimization problem involving multiple decision makers. Automated scheduling systems offer support to human planners; however state-of-the-art approaches often employ a centralized control paradigm. While these approaches have shown great value, their application is hindered in dynamic settings with no central authority. Motivated by real-world scenarios, we present a decentralized approach to collaborative multi-agent scheduling by casting the problem as a Distributed Constraint Optimization Problem (DCOP). Our model-based heuristic approach uses message passing with a novel pruning technique to allow agents to cooperate on mutual agreement, leading to a near-optimal solution while offering low computational costs and flexibility in case of disruptions. Performance is evaluated in three real-world field trials with a logistics carrier and compared against a centralized model-free Deep Q-Network (DQN)-based Reinforcement Learning (RL) approach, a Mixed-Integer Linear Programming (MILP)-based solver, and both human and heuristic baselines. The results demonstrate that it is feasible to have virtual agents make autonomous decisions using our DCOP method, leading to an efficient distributed solution. To facilitate further research in Self-Organizing Logistics (SOL), we provide a novel real-life dataset.","","en","conference paper","Association for the Advancement of Artificial Intelligence (AAAI)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-13","","","Algorithmics","","",""
"uuid:7b11804e-ea62-4537-a644-2249e5d8bdd5","http://resolver.tudelft.nl/uuid:7b11804e-ea62-4537-a644-2249e5d8bdd5","Coordination between Governmental Resources and Citizen Engagement with Open Government Data: A Coupling Coordinated Model","Zhang, Ying (Zhejiang University); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","Amaral, Luis (editor); Soares, Delfina (editor); Zheng, Lei (editor)","2022","Government resources used for opening data and citizen engagement with open government data (OGD) are expected to have a mutual influence, but this has not been empirically investigated. Therefore, this paper investigates this mutual relationship by measuring both governmental resources and citizen engagement based on resource-based theory. The weight of their measurements is evaluated by the entropy method. Data was collected from 337 Chinese municipal governments to investigate whether governmental resources and citizen engagement with OGD are dependent. The findings by a coupling coordinated model and regression analysis revelated that: Although governmental resources and citizen engagement with OGD have a strong interaction, the coordination between them is low. An explanation for this is that the development of citizen engagement with OGD lags behind the deployment of governmental resources in most Chinese cities.","citizen engagement; coordination; governmental resources; open government data","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-18","","Engineering, Systems and Services","","","",""
"uuid:12cf1f37-abe0-45c6-b4e4-a64e7d4231e4","http://resolver.tudelft.nl/uuid:12cf1f37-abe0-45c6-b4e4-a64e7d4231e4","Water-depth estimation using propeller noise by distributed acoustic sensing","Buisman, M. (Student TU Delft); Martuganova, E.M. (German Research Centre for Geosciences); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics)","","2022","This work shows the potential of using DAS for continuous water-depth monitoring by using the difference in acoustic energy in water and mud. The advantage over conventional methods is that our method can be used continuously and remotely, given that there is traffic nearby. Due to the low cost of fibres and the far-reaching dynamic range of interrogators, DAS could be a very attractive alternative for water-depth monitoring using propeller noise in shallow marine environments, ports and waterways.","","en","conference paper","EAGE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:5b4de004-7a15-4b61-947d-2873b57ff406","http://resolver.tudelft.nl/uuid:5b4de004-7a15-4b61-947d-2873b57ff406","Two for the price of one: communication efficient and privacy-preserving distributed average consensus using quantization","Li, Qiongxiu (Aalborg University); Lopuhaä-Zwakenberg, Milan (University of Twente); Heusdens, R. (TU Delft Signal Processing Systems); Christensen, Mads Græsbøll (Aalborg University)","","2022","Both communication overhead and privacy are main concerns in designing distributed computing algorithms. It is very challenging to address them simultaneously as encryption methods required for privacy-preservation often incur high communication costs. In this paper, we argue that there is a fundamental link between communication efficiency and privacy-preservation through quantization. Based on the observation that quantization, which can save communication bandwidth, will introduce error into the system, we propose a novel privacy-preserving distributed average consensus algorithm which uses the error introduced by quantization as noise to obfuscate the private data for protecting it from being revealed to others. Similar to existing differential privacy based approaches, the proposed approach is robust and has low computational complexity in dealing with two widely considered adversary models: the passive and eavesdropping adversaries. In addition, the method is generally applicable to many distributed optimizers, like PDMM and (generalized) ADMM. We conduct numerical simulations to validate that the proposed approach has superior performance compared to existing algorithms in terms of accuracy, communication bandwidth and privacy.","ADMM; communication; Distributed average consensus; PDMM; privacy; wireless sensor networks","en","conference paper","European Signal Processing Conference, EUSIPCO","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-01","","","Signal Processing Systems","","",""
"uuid:43002202-ce76-4329-aaaa-c0e74aeb68cf","http://resolver.tudelft.nl/uuid:43002202-ce76-4329-aaaa-c0e74aeb68cf","Dynamic Bi-Colored Graph Partitioning","He, Y. (TU Delft Signal Processing Systems); Coutino, Mario (TNO); Isufi, E. (TU Delft Multimedia Computing); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2022","In this work, we focus on partitioning dynamic graphs with two types of nodes (bi-colored), though not necessarily bipartite graphs. They commonly appear in communication network applications, e.g., one color being base stations, the other users, and the dynamic process being the varying connection status between base stations and moving users. We introduce a partition cost function that incorporates the coloring of the graph and propose solutions based on the generalized eigenvalue problem (GEVP) for the static two-way partition problem. The static multi-way partition problem is then handled by a heuristic based on the two-way partition problem. Regarding the adaptive partition, an eigenvector update-based method is proposed. Numerical experiments demonstrate the performance of the devised approaches.","dynamic graphs; generalized eigenvalue problem; graph partitioning; spectral clustering","en","conference paper","European Signal Processing Conference, EUSIPCO","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-24","","","Signal Processing Systems","","",""
"uuid:b4a62038-cffb-4883-a732-07352f1c7a09","http://resolver.tudelft.nl/uuid:b4a62038-cffb-4883-a732-07352f1c7a09","Design optimization of a high-speed twin-stage compressor for next-gen aircraft environmental control system","Giuffré, A. (TU Delft Flight Performance and Propulsion); Colonna, Piero (TU Delft Flight Performance and Propulsion); Pini, M. (TU Delft Flight Performance and Propulsion)","","2022","The environmental control system (ECS) is the largest auxiliary power consumer, i.e, around 75% of non-propulsive power, among the aircraft subsystems. The adoption of a novel ECS architecture, based on an electrically-driven vapor compression cycle system, can enable a twofold increase of coefficient of performance (COP), as compared to the conventional air cycle machine (ACM). The core of this technology is a high-speed, miniature centrifugal compressor, consisting of two impellers mounted in back-to-back configuration, and running on gas bearings operating with refrigerant. The fluid dynamic design optimization of the twin-stage compressor, to be installed in the vapor compression cycle test rig under realization at Delft University of Technology, is documented in this paper. First, the scaling analysis for centrifugal compressor is extended to provide guidelines for the design of twin-stage machines. Then, a multi-objective conceptual design optimization is performed by resorting to an in-house reduced-order model (ROM), coupled to a genetic algorithm. The fluid dynamic performance and the structural integrity of the optimal design are assessed by means of a hybrid framework, encompassing CFD and ROMs, and by FEA. The results show that it is possible to design a twin-stage compressor for the target application, featuring an average efficiency higher than 70%, a maximum compression ratio exceeding 9, and an operating range of 0.27 at the design rotational speed, despite the detrimental effects of motor cooling and miniature size.","","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-01","","","Flight Performance and Propulsion","","",""
"uuid:df595b7a-f2a9-4f7b-a3cd-ae47cf69deb5","http://resolver.tudelft.nl/uuid:df595b7a-f2a9-4f7b-a3cd-ae47cf69deb5","Relative Kinematics Estimation Using Accelerometer Measurements","Mishra, A. (TU Delft Signal Processing Systems); Rajan, R.T. (TU Delft Signal Processing Systems)","","2022","Given a network of N static nodes in D-dimensional space and the pairwise distances between them, the challenge of estimating the coordinates of the nodes is a well-studied problem. However, for numerous application domains, the nodes are mobile and the estimation of relative kinematics (e.g., position, velocity and acceleration) is a challenge, which has received limited attention in literature. In this paper, we introduce a time-varying Grammian-based data model for estimating the relative kinematics of mobile nodes with polynomial trajectories, given the time-varying pairwise distance measurements between the nodes. Furthermore, we consider a scenario where the nodes have on-board accelerometers, and extend the proposed data model to include these accelerometer measurements. We propose closed-form solutions to estimate the relative kinematics, based on the proposed data models. We conduct simulations to showcase the performance of the proposed estimators, which show improvement against state-of-the-art methods.","accelerometer; Euclidean distance matrices; joint estimation; mobile nodes; relative kinematics","en","conference paper","European Signal Processing Conference, EUSIPCO","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Signal Processing Systems","","",""
"uuid:658a8513-64d6-43f3-a2b3-d1324be51179","http://resolver.tudelft.nl/uuid:658a8513-64d6-43f3-a2b3-d1324be51179","Transitioning Towards Quantum-Safe Government: Examining Stages of Growth Models for Quantum-Safe Public Key Infrastructure Systems","Kong, I. (TU Delft Information and Communication Technology)","Amaral, Luis (editor); Soares, Delfina (editor); Zheng, Lei (editor)","2022","Public Key Infrastructures (PKIs) provide digital public services and communication by securing information-sharing and strong credentials for digital identity management to individuals, businesses, and government agencies. While cryptographic algorithms that current PKI systems depend on are mostly resilient against hacks and other threats launched from computers we use today, the advancement of quantum computing technology introduces new security threats. This calls for current PKI systems to be modified with quantum-safe cryptographic algorithms. However, transitioning to Quantum-safe (QS) PKI systems remains complex, and the challenges are socio-technical. The research aims to guide organizations transitioning towards QS PKI systems. In doing so, we will deconstruct the QS transition into a series of stages and paths using growth models and examine how organizations can transit over time towards QS PKI systems.","Public Key Infrastructure; Quantum-Safe Government; Quantum-Safe Transition; Stages of Growth Models","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Information and Communication Technology","","",""
"uuid:115e70ad-6cc9-46df-abee-c3c415e4ffc3","http://resolver.tudelft.nl/uuid:115e70ad-6cc9-46df-abee-c3c415e4ffc3","Interactive Visualization of Agent-Based Pandemic Simulation in Web Browser","Mrozek, Michal (Warsaw University of Technology); Sirenko, M. (TU Delft Transport and Logistics); Foks-Ryznar, Anna (Space Research Centre (CBK PAN), Warsaw); Sawicki, Bartosz (Warsaw University of Technology)","","2022","The paper presents a web-based system designed to visualize a simulation of ca. 500,000 residents of a city during the COVID-19 outbreak. Each agent's infection status and mobility are presented in the time scale. The main effort was to ensure simplicity from the user's perspective and smooth operation on various client devices. The full range of software engineering problems is discussed, from efficient data storage to optimization of visualization methods.","agent-based model; big data; covid-19 outbreak; web application","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-27","","","Transport and Logistics","","",""
"uuid:b2ece310-ad76-4439-a02c-d97ad4348218","http://resolver.tudelft.nl/uuid:b2ece310-ad76-4439-a02c-d97ad4348218","Advances in cochlear implants","French, P.J. (TU Delft Bio-Electronics); Lawand, N. (Dynamic Ear Company); Miralles, A. (Student TU Delft)","","2022","Cochlear implants restore hearing to many people around the world. These devices are hand-made and have limitations in terms of sound quality. The maximum number of electrodes at present is 22 and this means that the sound spectrum is divided into 22 blocks. Furthermore, the breadth of the implant limits penetration and thus lower frequencies are lost. Silicon based technology enables an increase in the number of electrodes and also a reduction in the cross-section of the probe. This will improve sound quality and reduce risks of damage during insertion. This paper shows the development of new technologies to improve the quality of cochlear implants. These involve a move to polymers which make use of silicon-based technology in their manufacture.","cochlear implant; implants; neural stimulation; Polymer devices","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-Electronics","","",""
"uuid:fd41943d-f98d-4f72-8913-042f3865c9c2","http://resolver.tudelft.nl/uuid:fd41943d-f98d-4f72-8913-042f3865c9c2","A Conversational User Interface for Instructional Maintenance Reports","Kernan Freire, S. (TU Delft Internet of Things); Niforatos, E. (TU Delft Internet of Things); Rusak, Z. (Royal HaskoningDHV); Aschenbrenner, D. (Aalen University, Aalen); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2022","Maintaining a complex system, such as a modern production line, is a knowledge-intensive task. Many firms use maintenance reports as a decision support tool. However, reports are often poor quality and tedious to compile. A Conversational User Interface (CUI) could streamline the reporting process by validating the user's input, eliciting more valuable information, and reducing the time needed. In this paper, we use a Technology Probe to explore the potential of a CUI to create instructional maintenance reports. We conducted a between-groups study (N = 24) in which participants had to replace the inner tube of a bicycle tire. One group documented the procedure using a CUI while replacing the inner tube, whereas the other group compiled a paper report afterward. The CUI was enacted by a researcher according to a set of rules. Our results indicate that using a CUI for maintenance reports saves a significant amount of time, is no more cognitively demanding than writing a report, and results in maintenance reports of higher quality.","Conversational user interface; Knowledge management; Knowledge sharing; Maintenance reporting; Technology probe; Voice assistant","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Internet of Things","","",""
"uuid:c75f864b-814f-4f8d-b749-0b503906af15","http://resolver.tudelft.nl/uuid:c75f864b-814f-4f8d-b749-0b503906af15","Quantifying the Uncertainty of Short-Term Vegetation Anomalies Detection Using Eo-Based Coarse-Resolution Vegetation Products","Zhou, J. (TU Delft Optical and Laser Remote Sensing; Central China Normal University; Key Laboratory for Geographical Process Analysis & Simulation of Hubei Province, Wuhan); Liu, Xuan (Central China Normal University; Key Laboratory for Geographical Process Analysis & Simulation of Hubei Province, Wuhan); Xiong, Xuqian (Central China Normal University; Key Laboratory for Geographical Process Analysis & Simulation of Hubei Province, Wuhan); Jia, Li (Chinese Academy of Sciences); Lu, Jing (Chinese Academy of Sciences); Cui, Yilin (Central China Normal University; Key Laboratory for Geographical Process Analysis & Simulation of Hubei Province, Wuhan)","","2022","Satellite-based Earth Observation systems archived a variety of vegetation products during the last 50 years, which can reveal regional to global ecosystem dynamics across diverse spatiotemporal scales. The anomaly metrics such as Vegetation Condition Index (VCI) defined by comparing the current vegetation growth condition to historical average status based on long-term EO-based vegetation products were widely used to delineate abnormal vegetation variation exerted by either climatic or anthropogenic factors (e.g., droughts, wildfires). However, currently available long-term vegetation products may differ from each other in terms of sensors (observational platform or spectral bands), bio-physical definitions (e.g., NDVI, EVI, LAI, and VOD), spatiotemporal resolution, as well as the time-spans, which results in inconsistency across these vegetation products. Taking the VCI as an example, this study evaluated the uncertainty of vegetation anomalies detected based on different vegetation products over the middle reach of the Yangtze River by explicitly considering the effect of sensors, biophysical definitions, and time-spans. The preliminary results showed that VCI derived from NDVI products from different sensors (AVHRR vs. MODIS) induced significant inconsistent anomalies over most landscapes. The differences resulting from products with different biophysical definitions (NDVI vs. EVI, LAI, and VOD) are much lower than those from different sensors but still significant over specific areas. As for the time-spans, the 20-year NDVI based VCI presented a considerable reduction in variance over the study area on average compared to VCI calculated based on 5-year NDVI. In summary, caution should be taken when applying EO-based vegetation products for vegetation anomalies mapping, especially for quantitative assessment.","EO-based vegetation products; uncertainty; vegetation anomalies; Vegetation Condition Index","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Optical and Laser Remote Sensing","","",""
"uuid:c21d6f42-3a17-4677-ac27-197505caa28b","http://resolver.tudelft.nl/uuid:c21d6f42-3a17-4677-ac27-197505caa28b","Divide and Code: Efficient and Real-time Data Recovery from Corrupted LoRa Frames","Yazdani, N. (TU Delft Embedded Systems; Aarhus University); Kouvelas, N. (TU Delft Embedded Systems; Inter-university Micro-Electronics Center); Lucani, Daniel E. (Aarhus University); Venkatesha Prasad, Ranga Rao (TU Delft Embedded Systems)","","2022","Due to power limitations and coexistence in ISM bands, up to 50% of the Long Range (LoRa)-frames are corrupted at low signal strengths (≈ -115dBm) and the built-in redundancy schemes in LoRa-Wide Area Network (LoRaWAN) cannot correct the corrupted bytes. To address this, higher Spreading Factors (SF) are used resulting in wasted energy, increased traffic load, and highly compromised effective data rate. Our on-field experiments showed a high correlation in the corruption of close-by frames. We propose a novel Divide & Code (DC) scheme for LoRaWANs as an alternative to using higher SF. DC pre-encodes LoRa payloads using lightweight and memoryless encoding. After receiving a corrupted frame, DC uses a combination of most probable patterns of errors, Time Thresholds (TT), and splitting of payloads into subgroups for batch processing to recover frames effectively and maintain low complexity and timely operation. By implementing DC on our LoRa-testbed, we show it outperforms vanilla-LoRaWAN and Reed-Solomon codes in decoding and energy consumption. Our schemes decode up to 80.5% of corrupted payloads on SF10 by trying only 0.03% of all patterns of error combinations. TT keeps processing times below 2 ms with only minor reductions in the decoding ratio of corrupted payloads. Finally, we showcase that introducing 30% redundancy with DC results in minimum energy consumption and high decoding ratio at low SNRs.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-25","","","Embedded Systems","","",""
"uuid:3a021470-c1a1-4ec1-8a73-6fc72e8cc62d","http://resolver.tudelft.nl/uuid:3a021470-c1a1-4ec1-8a73-6fc72e8cc62d","Recent Trends and Perspectives on Defect-Oriented Testing","Bernardi, P. (Politecnico di Torino); Cantoro, R. (Politecnico di Torino); Coyette, A. (Onsemi); Dobbeleare, W. (Onsemi); Fieback, M. (TU Delft Quantum & Computer Engineering; TU Delft Computer Engineering); Floridia, A. (STMicroelectronics); Gielenk, G. (Katholieke Universiteit Leuven); Guerriero, A. M. (Infineon Technologies AG); Hamdioui, S. (TU Delft Quantum & Computer Engineering)","Savino, Alessandro (editor); Rech, Paolo (editor); Di Carlo, Stefano (editor); Gizopoulos, Dimitris (editor)","2022","Electronics employed in modern safety-critical systems require severe qualification during the manufacturing process and in the field, to prevent fault effects from manifesting themselves as critical failures during mission operations. Traditional fault models are not sufficient anymore to guarantee the required quality levels for chips utilized in mission-critical applications. The research community and industry have been investigating new test approaches such as device-aware test, cell-aware test, path-delay test, and even test methodologies based on the analysis of manufacturing data to move the scope from OPPM to OPPB. This special session presents four contributions, from academic researchers and industry professionals, to enable better chip quality. We present results on various activities towards this objective, including device-aware test, software-based self-test, and memory test.","cell-aware test; data analytics; device-aware test; DPPB; DPPM; emerging technologies; Flash; non-volatile memories; visual inspection","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:7c1c56d1-5ffc-4bbd-86f8-93f8b1eacc11","http://resolver.tudelft.nl/uuid:7c1c56d1-5ffc-4bbd-86f8-93f8b1eacc11","Co-designing an interactive data platform for contextualizing the role of citizens on energy and low-carbon transitions","Xexakis, Georgios (Holistic); Polutanou, Georgia (Holistic); Okur, Ö. (TU Delft System Engineering); Minkman, E. (TU Delft Organisation & Governance); Antwi, Sarpong Hammond (Dundalk Institute of Technology); Della Santina, C. (TU Delft Learning & Autonomous Control); Pearce, B.J. (TU Delft Policy Analysis)","","2022","Citizens are expected to play a significant role to the current energy transition in Europe, such as through prosumerism and collective initiatives for energy efficiency. While there are many platforms for domestic energy analytics and for engaging citizens and transition stakeholders on energy topics, context-specific information is frequently lacking. This article outlines the development of an Interactive Policy Platform that aims to provide contextualized, impact-driven, and ready-to-use information on the role of citizen initiatives in the energy and low-carbon transition in Europe. Specifically, it will help researchers, policymakers, and citizens to explore different dimensions of energy citizenship, understand the decarbonization potentials of diverse clusters of citizens, and identify the conditions under which citizen-led energy initiatives are currently operating. The Platform will be co-designed together with a sample of its future users, ensuring the usability of its interface and the relevance of the provided information. Ultimately, the Platform is envisioned to help transition stakeholders understand and support initiatives related to energy citizenship around Europe, thus contributing to the EU's promise of a just and inclusive decarbonization.","climate-economy modeling; energy citizenship; energy transition; interactive platform; stakeholder engagement","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","System Engineering","","",""
"uuid:46dc9fe4-6192-49fb-b094-c835067bc7e4","http://resolver.tudelft.nl/uuid:46dc9fe4-6192-49fb-b094-c835067bc7e4","Trust in Clinical AI: Expanding the Unit of Analysis","Browne, J.T. (TU Delft Methodologie en Organisatie van Design; Philips Research); Bakker, Saskia (Philips Research); Yu, Bin (Philips Research); Lloyd, P.A. (TU Delft Methodologie en Organisatie van Design); Ben Allouch, Somaya (Hogeschool van Amsterdam; Universiteit van Amsterdam)","Schlobach, Stefan (editor); Perez-Ortiz, Maria (editor); Tielman, Myrthe (editor)","2022","From diagnosis to patient scheduling, AI is increasingly being considered across different clinical applications. Despite increasingly powerful clinical AI, uptake into actual clinical workflows remains limited. One of the major challenges is developing appropriate trust with clinicians. In this paper, we investigate trust in clinical AI in a wider perspective beyond user interactions with the AI. We offer several points in the clinical AI development, usage, and monitoring process that can have a significant impact on trust. We argue that the calibration of trust in AI should go beyond explainable AI and focus on the entire process of clinical AI deployment. We illustrate our argument with case studies from practitioners implementing clinical AI in practice to show how trust can be affected by different stages in the deployment cycle.","Artificial Intelligence; Clinical AI; Trust; Trust Calibration","en","conference paper","IOS Press","","","","","","","","","","Methodologie en Organisatie van Design","","",""
"uuid:4215fdce-8d78-48b9-b5a0-884bd2b36626","http://resolver.tudelft.nl/uuid:4215fdce-8d78-48b9-b5a0-884bd2b36626","Estimating Value Preferences in a Hybrid Participatory System","Cavalcante Siebert, L. (TU Delft Interactive Intelligence); Liscio, E. (TU Delft Interactive Intelligence); Murukannaiah, P.K. (TU Delft Interactive Intelligence); Kaptein, Lionel (Student TU Delft); Spruit, Shannon (Populytics B.V); van den Hoven, M.J. (TU Delft Ethics & Philosophy of Technology); Jonker, C.M. (TU Delft Interactive Intelligence)","Schlobach, Stefan (editor); Perez-Ortiz, Maria (editor); Tielman, Myrthe (editor)","2022","We propose methods for an AI agent to estimate the value preferences of individuals in a hybrid participatory system, considering a setting where participants make choices and provide textual motivations for those choices. We focus on situations where there is a conflict between participants' choices and motivations, and operationalize the philosophical stance that 'valuing is deliberatively consequential.' That is, if a user's choice is based on a deliberation of value preferences, the value preferences can be observed in the motivation the user provides for the choice. Thus, we prioritize the value preferences estimated from motivations over the value preferences estimated from choices alone. We evaluate the proposed methods on a dataset of a large-scale survey on energy transition. The results show that explicitly addressing inconsistencies between choices and motivations improves the estimation of an individual's value preferences. The proposed methods can be integrated in a hybrid participatory system, where artificial agents ought to estimate humans' value preferences to pursue value alignment.","participatory systems; responsible AI; Value alignment","en","conference paper","IOS Press","","","","","","","","","","Interactive Intelligence","","",""
"uuid:bad4a727-23fb-4852-b6f6-887dea234246","http://resolver.tudelft.nl/uuid:bad4a727-23fb-4852-b6f6-887dea234246","WEDAR: Webcam-based Attention Analysis via Attention Regulator Behavior Recognition with a Novel E-reading Dataset","Lee, Y. (TU Delft Web Information Systems); Chen, H. (TU Delft Web Information Systems; University of Oulu); Zhao, Guoying (University of Oulu); Specht, M.M. (TU Delft Web Information Systems)","","2022","Human attention is critical yet challenging cognitive process to measure due to its diverse definitions and non-standardized evaluation. In this work, we focus on the attention self-regulation of learners, which commonly occurs as an effort to regain focus, contrary to attention loss. We focus on easy-to-observe behavioral signs in the real-world setting to grasp learners' attention in e-reading. We collected a novel dataset of 30 learners, which provides clues of learners' attentional states through various metrics, such as learner behaviors, distraction self-reports, and questionnaires for knowledge gain. To achieve automatic attention regulator behavior recognition, we annotated 931,440 frames into six behavior categories every second in the short clip form, using attention self-regulation from the literature study as our labels. The preliminary Pearson correlation coefficient analysis indicates certain correlations between distraction self-reports and unimodal attention regulator behaviors. Baseline model training has been conducted to recognize the attention regulator behaviors by implementing classical neural networks to our WEDAR dataset, with the highest prediction result of 75.18% and 68.15% in subject-dependent and subject-independent settings, respectively. Furthermore, we present the baseline of using attention regulator behaviors to recognize the attentional states, showing a promising performance of 89.41% (leave-five-subject-out). Our work inspires the detection & feedback loop design for attentive e-reading, connecting multimodal interaction, learning analytics, and affective computing.","Attention regulator behaviors; Neural networks; WEDAR dataset","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:6d3698c6-2258-4008-b238-5b1f74589014","http://resolver.tudelft.nl/uuid:6d3698c6-2258-4008-b238-5b1f74589014","Effects of Social Behaviours in Online Video Games on Team Trust","van Rhenen, Jan-Willem (Student TU Delft); Centeio Jorge, C. (TU Delft Interactive Intelligence); Matej Hrkalovic, T. (TU Delft Pattern Recognition and Bioinformatics; Vrije Universiteit Amsterdam); Dudzik, B.J.W. (TU Delft Pattern Recognition and Bioinformatics)","Gerling, K. (editor); Iacovides, J. (editor); Malaka, R. (editor)","2022","In competitive multiplayer online video games, teamwork is of utmost importance, implying high levels of interdependence between the joint outcomes of players. When engaging in such interdependent interactions, humans rely on trust to facilitate coordination of their individual behaviours. However, online games often take place between teams of strangers, with individual members having little to no information about each other than what they observe throughout the interaction itself. A better understanding of the social behaviours that are used by players to form trust could not only facilitate richer gaming experiences, but could also lead to insights about team interactions. As such, this paper presents a first step towards understanding how and which types of in-game behaviour relate to trust formation. In particular, we investigate a) which in-game behaviour were relevant for trust formation (first part of the study) and b) how they relate to the reported player's trust in their teammates (the second part of the study). The first part consisted of interviews with League of Legends players in order to create a taxonomy of in-game behaviours relevant for trust formation. As for the second part, we ran a small-scale pilot study where participants played the game and then answered a questionnaire to measure their trust in their teammates. Our preliminary results present a taxonomy of in-game behaviours which can be used to annotate the games regarding trust behaviours. Based on the pilot study, the list of behaviours could be extended as to improve the results. These findings can be used to research the role of trust formation in teamwork.","League of Legends; perceived trustworthiness; social behaviours; taxonomy creation; teamwork; trust formation","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Interactive Intelligence","","",""
"uuid:77ae6783-0bf2-449e-8876-87ac06a9aa4a","http://resolver.tudelft.nl/uuid:77ae6783-0bf2-449e-8876-87ac06a9aa4a","Conversation Group Detection With Spatio-Temporal Context","Tan, S. (TU Delft Pattern Recognition and Bioinformatics); Tax, D.M.J. (TU Delft Pattern Recognition and Bioinformatics); Hung, H.S. (TU Delft Pattern Recognition and Bioinformatics)","","2022","In this work, we propose an approach for detecting conversation groups in social scenarios like cocktail parties and networking events, from overhead camera recordings. We posit the detection of conversation groups as a learning problem that could benefit from leveraging the spatial context of the surroundings, and the inherent temporal context in interpersonal dynamics which is reflected in the temporal dynamics in human behavior signals, an aspect that has not been addressed in recent prior works. This motivates our approach which consists of a dynamic LSTM-based deep learning model that predicts continuous pairwise affinity values indicating how likely two people are in the same conversation group. These affinity values are also continuous in time, since relationships and group membership do not occur instantaneously, even though the ground truths of group membership are binary. Using the predicted affinity values, we apply a graph clustering method based on Dominant Set extraction to identify the conversation groups. We benchmark the proposed method against established methods on multiple social interaction datasets. Our results showed that the proposed method improves group detection performance in data that has more temporal granularity in conversation group labels. Additionally, we provide an analysis in the predicted affinity values in relation to the conversation group detection. Finally, we demonstrate the usability of the predicted affinity values in a forecasting framework to predict group membership for a given forecast horizon.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:1e6fa53e-e35d-4bb0-b5d6-48df937f64cb","http://resolver.tudelft.nl/uuid:1e6fa53e-e35d-4bb0-b5d6-48df937f64cb","Flood risk assessment for road infrastructures using bayesian networks: Case study of santarem - portugal","Arango, E.A. (University of Minho); Santamaria, Monica (University of Minho); Nogal Macho, M. (TU Delft Integral Design & Management); Sousa, Helder S. (University of Minho); Matos, Jose C. (University of Minho)","Sykora, Miroslav (editor); Lenner, Roman (editor); de Koker, Nico (editor)","2022","Assessing flood risks on road infrastructures is critical for the definition of mitigation strategies and adaptation processes. Some efforts have been made to conduct a regional flood risk assessment to support the decision-making process of exposed areas. However, these approaches focus on the physical damage of civil infrastructures without considering indirect impacts resulting from social aspects or traffic delays due to the functionality loss of transportation infrastructures. Moreover, existing methodologies do not include a proper assessment of the uncertainties involved in the risk quantification. This work aims to provide a consistent quantitative flood risk estimation and influence factor modelling for road infrastructures. To this end, a Flood Risk Factor (FRF) is computed as a function of hazard, vulnerability, and infrastructure importance factors. A Bayesian Network (BN) is constructed for considering the interdependencies among the selected input factors, as well as accounting for the uncertainties involved in the modelling process. The proposed approach allows weighting the relevant factors differently to compute the FRF and improves the understanding of the causal relations between them. The suggested method is applied to a case study located in the region of Santarem Portugal, allowing the identification of the sub-basins where the road network has the highest risks and illustrating the potential of Bayesian inference techniques for updating the model when new information becomes available.","Bayesian networks; decision-making; flood risk assessment; road networks","en","conference paper","Czech Sustainable Building Society Czech Technical University in Prague Klokner Institute of Czech Technical University in Prague IISBE, CIB, UNEP","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-18","","","Integral Design & Management","","",""
"uuid:5b8c2580-a4e1-4c9e-a244-505f0cb8794b","http://resolver.tudelft.nl/uuid:5b8c2580-a4e1-4c9e-a244-505f0cb8794b","The 4th Workshop on Modeling Socio-Emotional and Cognitive Processes from Multimodal Data In-the-Wild (MSECP-Wild)","Dudzik, B.J.W. (TU Delft Pattern Recognition and Bioinformatics); Küster, Dennis (University of Bremen); St-Onge, David (Ecole de Technologie Superieure (ETS)); Putze, Felix (University of Bremen)","","2022","The ability to automatically infer relevant aspects of human users' thoughts and feelings is crucial for technologies to adapt their behaviors in complex interactions intelligently (e.g., social robots or tutoring systems). Research on multimodal analysis has demonstrated the potential of technology to provide such estimates for a broad range of internal states and processes. However, constructing robust enough approaches for deployment in real-world applications remains an open problem. The MSECP-Wild workshop series serves as a multidisciplinary forum to present and discuss research addressing this challenge. This 4th iteration focuses on addressing varying contextual conditions (e.g., throughout an interaction or across different situations and environments) in intelligent systems as a crucial barrier for more valid real-world predictions and actions. Submissions to the workshop span efforts relevant to multimodal data collection and context-sensitive modeling. These works provide important impulses for discussions of the state-of-the-art and opportunities for future research on these subjects.","Affective Computing; Context-awareness; Multimodal Data; Social Signal Processing; Ubiquitous Computing; User-Modeling","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:915744c3-4ae8-4f31-bfe8-8a336759967a","http://resolver.tudelft.nl/uuid:915744c3-4ae8-4f31-bfe8-8a336759967a","Exploring the Detection of Spontaneous Recollections during Video-viewing In-the-Wild using Facial Behavior Analysis","Dudzik, B.J.W. (TU Delft Pattern Recognition and Bioinformatics); Hung, H.S. (TU Delft Pattern Recognition and Bioinformatics)","","2022","Intelligent systems might benefit from automatically detecting when a stimulus has triggered a user's recollection of personal memories, e.g., to identify that a piece of media content holds personal significance for them. While computational research has demonstrated the potential to identify related states based on facial behavior (e.g., mind-wandering), the automatic detection of spontaneous recollections specifically has not been investigated this far. Motivated by this, we present machine learning experiments exploring the feasibility of detecting whether a video clip has triggered personal memories in a viewer based on the analysis of their Head Rotation, Head Position, Eye Gaze, and Facial Expressions. Concretely, we introduce an approach for automatic detection and evaluate its potential for predictions using in-the-wild webcam recordings. Overall, our findings demonstrate the capacity for above chance detections in both settings, with substantially better performance for the video-independent variant. Beyond this, we investigate the role of person-specific recollection biases for predictions of our video-independent models and the importance of specific modalities of facial behavior. Finally, we discuss the implications of our findings for detecting recollections and user-modeling in adaptive systems.","Affective Computing; Cognitive Processing; Facial Behavior Analysis; Memories; Mind-Wandering; Recollection; User-Modeling","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:ef0fd84f-9f52-4241-982c-21dfa2492487","http://resolver.tudelft.nl/uuid:ef0fd84f-9f52-4241-982c-21dfa2492487","Interface contrast imaging for omni-directional full wavefield migration","Hoogerbrugge, L.A. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Computational Imaging); van Dongen, K.W.A. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Computational Imaging); Verschuur, D.J. (TU Delft ImPhys/Computational Imaging)","Murillas, Joseba (editor)","2022","Conventional Full Wavefield Migration (FWM) is a full-wavefield inversion method based on recursively applying one-way convolutional propagation and reflection operators in the space-frequency domain at every depth level. Therefore, it struggles to model diving waves and image steep reflectors accurately. In this paper, the Interface Contrast imaging technique, an imaging technique based on the scattering integral developed in the context of medical ultrasound, is presented and used to provide a natural omni-directional extension to the conventional FWM method. The resulting algorithm is applied to a synthetic 2D model featuring a steep reflector. The results of these simulations are given and show that the technique can successfully image steep reflectors. This result yields a proof-of-concept for further research into this algorithm, where including internal scattering is a top priority.","","en","conference paper","EAGE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Medical Imaging","","",""
"uuid:cc5e0c2a-f54c-4d42-a036-7fa82cec9ca9","http://resolver.tudelft.nl/uuid:cc5e0c2a-f54c-4d42-a036-7fa82cec9ca9","Using bremmer series for modelling elastic reflection responses in 1.5d media","Davydenko, M. (Wavekoda, the Hague); Verschuur, D.J. (TU Delft ImPhys/Computational Imaging)","Murillas, Joseba (editor)","2022","We develop a seismic modelling scheme that construct wavefields in elastic 1.5D media by using the Zoeppritz equations and exploiting the mechanics of the Bremmer series. The modified modelling algorithm allows to construct the wavefield in an iterative manner and provides access to upgoing and downgoing wavefield components at all depth levels, which provides additional flexibility and makes the method suitable for various applications such as well-log analysis and modelling VSP responses. We conduct numerical experiments and benchmark results of the proposed modelling scheme with the results obtained by Kennett modelling. Similarity of the results allows to validate the modified modelling scheme.","","en","conference paper","EAGE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Computational Imaging","","",""
"uuid:272ce98e-be33-4ca8-a7a7-37295b82beb0","http://resolver.tudelft.nl/uuid:272ce98e-be33-4ca8-a7a7-37295b82beb0","Blind Polarization Demultiplexing of Probabilistically Shaped Signals","Bajaj, V. (TU Delft Team Sander Wahls); Van de Plas, Raf (TU Delft Team Raf Van de Plas); Aref, Vahid (Nokia Solutions and Networks); Wahls, S. (TU Delft Team Sander Wahls)","","2022","We propose a novel method for blind polarization-demultiplexing of probabilistically shaped signals for coherent receivers. The method is capable of separating signals with (quasi) Gaussian distributions by exploiting temporal correlations added to the transmit signals. The proposed method is evaluated in challenging mixing scenarios.","blind source separation; polarization demultiplexing; probabilistic shaping","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Sander Wahls","","",""
"uuid:78a8f4d4-df46-4215-a807-fb1dc5af99e7","http://resolver.tudelft.nl/uuid:78a8f4d4-df46-4215-a807-fb1dc5af99e7","Using Mixed Incentives to Document Xi’an Guanzhong","Zhan, Juhong (Xi’an Jiaotong University); Jiang, Yue (Xi’an Jiaotong University); Cieri, Christopher (Linguistic Data Consortium); Liberman, Mark (Linguistic Data Consortium); Yuan, Jiahong (Baidu Research); Chen, Yiya (Universiteit Leiden); Scharenborg, O.E. (TU Delft Multimedia Computing)","Fiumara, James (editor); Cieri, Christopher (editor); Liberman, Mark (editor); Callison-Burch, Chris (editor)","2022","This paper describes our use of mixed incentives and the citizen science portal LanguageARC to prepare, collect and quality control a large corpus of object namings for the purpose of providing speech data to document the under-represented Guanzhong dialect of Chinese spoken in the Shaanxi province in the environs of Xi’an.","annotation; language resources; linguistic data; novel incentives; under-resourced languages","en","conference paper","European Language Resources Association (ELRA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Multimedia Computing","","",""
"uuid:5979a79b-9f7c-4e03-9c39-c4d5bf68e566","http://resolver.tudelft.nl/uuid:5979a79b-9f7c-4e03-9c39-c4d5bf68e566","Development of professional capabilities in a challenge based learning environment","Klaassen, R.G. (TU Delft Teaching & Learning Services); de Bruin, B.J.E. (TU Delft Cognitive Robotics)","Gudjonsdottir, Maria Sigridur (editor); Audunsson, Haraldur (editor); Donoso, Arkaitz Manterola (editor); al., et (editor)","2022","Often industry expects university graduates to hit the ground running. One way to deal with this expectation is to offer our graduates opportunities to collaborate with the industry - a collaboration to acquire theoretical skills and acumen in engineering practices and how a business works. Challenge-based learning environments intimated by the CDIO principles, which focus on real-life experiences, external stakeholder involvement, complex problem solving, and a focus explicitly on knowledge application, offer a rich environment that may allow the needed preparation. One of the proposed outcomes for students is the improved acquisition of professional capabilities. However, it is not established yet, whether these professional skills are acquired or strengthened in CBE settings. Professional capabilities focus on four levels; knowing oneself, critically thinking about the problem, collaborating, and having contextual and ethical awareness. In this study, we surveyed if students perceive improvement in applying professional skills. We particularly questioned professional skills enabling behaviors based on validated questionnaires of EPFL and Univ. Sydney. Additionally, we have gathered and analysed the peer feedback within teams on personal leadership. Contrary to the expectations, leadership skills and professional capabilities are unrelated.","","en","conference paper","Chalmers University of Technology","","","","","","","","","Cognitive Robotics","Teaching & Learning Services","","",""
"uuid:046f75db-5f27-4168-ac91-84743d398ca2","http://resolver.tudelft.nl/uuid:046f75db-5f27-4168-ac91-84743d398ca2","Do Bayesian Variational Autoencoders Know What They Don't Know?","Glazunov, Misha (Student TU Delft); Zarras, A. (TU Delft Cyber Security)","","2022","The problem of detecting the Out-of-Distribution (OoD) inputs is of paramount importance for Deep Neural Networks. It has been previously shown that even Deep Generative Models that allow estimating the density of the inputs may not be reliable and often tend to make over-confident predictions for OoDs, assigning to them a higher density than to the in-distribution data. This over-confidence in a single model can be potentially mitigated with Bayesian inference over the model parameters that take into account epistemic uncertainty. This paper investigates three approaches to Bayesian inference: stochastic gradient Markov chain Monte Carlo, Bayes by Backpropagation, and Stochastic Weight Averaging-Gaussian. The inference is implemented over the weights of the deep neural networks that parameterize the likelihood of the Variational Autoencoder. We empirically evaluate the approaches against several benchmarks that are often used for OoD detection: estimation of the marginal likelihood utilizing sampled model ensemble, typicality test, disagreement score, and Watanabe-Akaike Information Criterion. Finally, we introduce two simple scores that demonstrate the state-of-the-art performance.","","en","conference paper","Association For Uncertainty in Artificial Intelligence (AUAI)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:c659750b-9fe1-4a42-b9b1-e18e367bdb4a","http://resolver.tudelft.nl/uuid:c659750b-9fe1-4a42-b9b1-e18e367bdb4a","AmsterTime: A Visual Place Recognition Benchmark Dataset for Severe Domain Shift","Yildiz, B. (TU Delft Pattern Recognition and Bioinformatics); Khademi, S. (TU Delft History, Form & Aesthetics); Siebes, R.M. (Vrije Universiteit Amsterdam); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","","2022","We introduce AmsterTime: a challenging dataset to benchmark visual place recognition (VPR) in presence of a severe domain shift. AmsterTime offers a collection of 2,500 well-curated images matching the same scene from a street view matched to historical archival image data from Amsterdam city. The image pairs capture the same place with different cameras, viewpoints, and appearances. Unlike existing benchmark datasets, AmsterTime is directly crowdsourced in a GIS navigation platform (Mapillary). We evaluate various baselines, including non-learning, supervised and self-supervised methods, pre-trained on different relevant datasets, for both verification and retrieval tasks. Our result credits the best accuracy to the ResNet-101 model pre-trained on the Landmarks dataset for both verification and retrieval tasks by 84% and 24%, respectively. Additionally, a subset of Amsterdam landmarks is collected for feature evaluation in a classification task. Classification labels are further used to extract the visual explanations using Grad-CAM for inspection of the learned similar visuals in a deep metric learning models.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pattern Recognition and Bioinformatics","","",""
"uuid:312dbef7-d7ed-429a-aeb7-42a9f5ec561b","http://resolver.tudelft.nl/uuid:312dbef7-d7ed-429a-aeb7-42a9f5ec561b","The Co-Creation Space: Supporting Asynchronous Artistic Co-creation Dynamics","Striner, Alina (Centrum Wiskunde & Informatica (CWI)); Röggla, Thomas (Centrum Wiskunde & Informatica (CWI)); Zorrilla, Mikel (Vicomtech, Donostia-San Sebastian); Cabrero Barros, Sergio (Vicomtech, Donostia-San Sebastian); Masneri, Stefano (Vicomtech, Donostia-San Sebastian); Rivas Pagador, Héctor (Vicomtech, Donostia-San Sebastian); Calvis, Irene (Liceu Barcelona); Li, Jie (Centrum Wiskunde & Informatica (CWI)); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI))","","2022","Artistic co-creation empowers communities to shape their narratives, however HCI research does not support this multifaceted discussion and reflection process. In the context of community opera, we consider how to support co-creation through the design, implementation, and initial evaluation of the Co-Creation Space (CCS) to help community artists 1) generate raw artistic ideas, and 2) discuss and reflect on the shared meaning of those ideas. This work describes our user-centered process to gather requirements and design the tool, and validates its' usability with 6 community opera participants. Our findings support the value of our tool for group discussion and personal reflection during the creative process.","Art; Asynchronous; Co-creation","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Multimedia Computing","","",""
"uuid:34c39917-8113-4890-9e25-5e658445490a","http://resolver.tudelft.nl/uuid:34c39917-8113-4890-9e25-5e658445490a","Investigation on the drag force and flow field of an accelerating plate","Reijtenbagh, J. (TU Delft Fluid Mechanics); Tummers, M.J. (TU Delft Fluid Mechanics); Westerweel, J. (TU Delft Fluid Mechanics)","","2022","We present results on the instantaneous drag force acting on a rectangular plate that accelerates in a direction normal to the plate surface. Conventionally the drag force on an accelerating object is divided into a steady state term and an added mass term, which can both be time-dependent. However, for prolonged accelerations this theory does not hold. This paper shows a different method to scale the forces that act on an accelerating plate. We base this scaling on an experiment in which a plate was accelerated from rest through a water tank using an industrial gantry robot. In this experiment both the forces that act on the plate and the velocity fields, using PIV, were measured for a large range of accelerations and final velocities. The vorticity fields, obtained from the velocity fields, qualitatively show the same process of vortex formation across the whole range of accelerations. However, the instantaneous drag force and total circulation clearly differ for different accelerations. Shortly after the acceleration period ends, and the plate reaches its final velocity, the drag force and the circulation for different accelerations coincide and do not depend on the acceleration history anymore. We divided the force into two components: the steady state force, which can be scaled by using the drag coefficient, and an instationary force, for which we found a new scaling. This scaling, which involves the square root of both the velocity and the acceleration, can predict the instationary force significantly better than the conventional scaling.","","en","conference paper","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:c2eea506-3d5d-462d-ba94-267791f1ac10","http://resolver.tudelft.nl/uuid:c2eea506-3d5d-462d-ba94-267791f1ac10","Investigation of Potting Compounds on Thermal-Fatigue properties of Solder Interconnects","Du, L. (TU Delft Electronic Components, Technology and Materials); Zhao, Xiujuan (Signify); Watte, Piet (Signify); Poelma, René H. (Nexperia); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","The objective of this article is to investigate the thermal-fatigue properties of a commercially available lead-free solder alloy (SnBiAgCu) under the use of different types of potting compounds. Solder alloys with lower silver content are expected to substitute the conventional solder alloys SAC305 (Sn-3.0Ag-0.5Cu). First, the tensile behavior and creep behavior of the SnBiAgCu solder alloys were studied at three temperatures (25, 75, 125). Results show that this type of solder alloys presented higher tensile strength and creep deformation endurance than conventional SAC305 solder alloys. Second, a dynamic mechanical analysis was performed to get the storage modulus and glass transition temperature of three types of potting compounds, which were used in the thermal-fatigue simulation. Third, the experimentally determined material data was used for the averaged strain energy density increment calculated by the finite element method. This simulation approach was selected as damage metrics to evaluate solder interconnect reliability under different combinations of materials. It is found that the application of potting compounds will increase strain energy density significantly when compared with the strain energy density calculated without potting compound, which means that potting compounds will deteriorate the thermal-fatigue reliability of solder interconnects. These accurate data-driven simulation models can in the future form the basis for compact digital twins for predicting useful remaining lifetime.","creep; potting compounds; solder alloys; strain energy density; thermal-fatigue","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-09","","","Electronic Components, Technology and Materials","","",""
"uuid:79c09da5-f071-45cc-87c6-e2bb54854578","http://resolver.tudelft.nl/uuid:79c09da5-f071-45cc-87c6-e2bb54854578","Timetable Scheduling for Passenger-Centric Urban Rail Networks: Model Predictive Control based on a Novel Absorption Model","Liu, X. (TU Delft Team Bart De Schutter); Dabiri, A. (TU Delft Team Azita Dabiri); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2022","Timetable scheduling plays a key role in daily operations of urban rail transit systems, as it determines the quality of service provided to passengers. In order to develop efficient timetable scheduling methods, it is necessary to develop a proper model to integrate timetable-related and passenger-related factors in urban rail network efficiently. In this paper, a novel passenger absorption model for passenger- centric urban rail networks is established. The model explicitly integrates time-varying passenger origin-destination demands and the departure frequency of each line for real-time timetable scheduling. Then, a model predictive control (MPC) method for the timetable scheduling problem is proposed based on the developed model. The resulting MPC optimization problem can be formulated as a mixed-integer programming (MILP) problem, which can be solved efficiently by using the existing MILP solvers. The effectiveness of the absorption model and the corresponding MILP-based MPC approach is illustrated through the case study based on two Beijing subway lines.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:a5b18dde-f63f-45f9-a140-bfb7edb633f2","http://resolver.tudelft.nl/uuid:a5b18dde-f63f-45f9-a140-bfb7edb633f2","Enhancing Classifier Conservativeness and Robustness by Polynomiality","Wang, Z. (TU Delft Pattern Recognition and Bioinformatics); Loog, M. (TU Delft Pattern Recognition and Bioinformatics; University of Copenhagen)","","2022","We illustrate the detrimental effect, such as overconfident decisions, that exponential behavior can have in methods like classical LDA and logistic regression. We then show how polynomiality can remedy the situation. This, among others, leads purposefully to random-level performance in the tails, away from the bulk of the training data. A directly related, simple, yet important technical novelty we subsequently present is softRmax: a reasoned alternative to the standard softmax function employed in contemporary (deep) neural networks. It is derived through linking the standard softmax to Gaussian class-conditional models, as employed in LDA, and replacing those by a polynomial alternative. We show that two aspects of softRmax, conservativeness and inherent gradient regularization, lead to robustness against adversarial attacks without gradient obfuscation.","Adversarial attack and defense; Others; Transfer/low-shot/long-tail learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pattern Recognition and Bioinformatics","","",""
"uuid:c8de2a2d-4349-4a93-927c-31c79501d91e","http://resolver.tudelft.nl/uuid:c8de2a2d-4349-4a93-927c-31c79501d91e","Robust Algorithm for Signal Digital Detection on the Background of Non-Gaussian Passive Interferences","Ianovskyi, F. (TU Delft Atmospheric Remote Sensing; National Aviation University); Prokopenko, Igor (National Aviation University); Pitertsev, Alexander (National Aviation University); Rhee, Huinam (Sunchon National University); Dmytruk, Anastasiia (National Aviation University)","Kolosovs, Deniss (editor)","2022","This paper proposes generalized mathematical model of different passive interferences and develops an effective algorithm of digital signal processing for detection on the background of them. Models of interferences as random process of K-distribution is used with parametrization for the unwanted reflections from atmosphere, land, and sea. Robust algorithm for signal detection on the background of such interferences, in particular in case of non-gaussian distribution, is developed. Its effectiveness is researched and confirmed.","algorithm analysis; algorithm design; clutter; digital signal processing; radar detection; ranking","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Atmospheric Remote Sensing","","",""
"uuid:7313013a-eda4-41b4-aefc-803ecc46605b","http://resolver.tudelft.nl/uuid:7313013a-eda4-41b4-aefc-803ecc46605b","Passive Seismic Interferometry as a tool for seismic imaging from mine galleries","Hupe, T. (Ruhr-Universität Bochum; DMT GmbH); Orlowsky, D. (DMT GmbH); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics)","","2022","To test passive seismic interferometry (PSI) in underground mining environments, we carried out an active-source seismic and continuous noise measurement in a mine gallery of a former radioactive waste repository - the Asse II salt mine (Lower Saxony, Germany). To analyze the active-source data, we process the data inspired by conventional seismic processing techniques. On the contrary, for the passive-source data, we first perform an illumination diagnosis to identify and separate seismic wave types. Subsequently, we apply PSI by cross-correlation for the retrieval of body-wave arrivals and finally apply selective-stacking. In this context, we refine processing procedures for PSI inside of mine galleries and point out that data recordings of <24 h and summation times of 10 min to 30 min during selective stacking are sufficient when applying PSI to underground noise data. Using PSI imaging results, we identify several pre-known and unknown geological structures exceeding the number and distance of structures determined from active-source imaging results. Here, PSI showed advantages over the active-source seismic data regarding resolution, energy distribution, and spatial extent.","","en","conference paper","EAGE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:4ae5e757-eaa2-4aae-825a-ddca25448182","http://resolver.tudelft.nl/uuid:4ae5e757-eaa2-4aae-825a-ddca25448182","3-D contrast enhanced ultrasound imaging of an in vivo chicken embryo with a sparse array and deep learning based adaptive beamforming","Ossenkoppele, B.W. (TU Delft ImPhys/Imaging Physics; TU Delft ImPhys/Medical Imaging); Wei, Luxi (Erasmus MC); Luijten, Ben (Eindhoven University of Technology); Vos, H.J. (TU Delft ImPhys/Medical Imaging; Erasmus MC); de Jong, N. (TU Delft ImPhys/Medical Imaging; Erasmus MC); Van Sloun, Ruud J.G. (Eindhoven University of Technology; Philips Research); Verweij, M.D. (TU Delft ImPhys/Medical Imaging; Erasmus MC)","","2022","3-D contrast enhanced ultrasound enables better visualization of inherently 3-D vascular geometries compared to an intersecting plane. Additionally, it would allow the application of motion correction techniques for all directions. Both contrast detection and motion correction work better on high-frame rate data. However high-frame rate 3-D ultrasound imaging with dense matrix arrays is challenging to realize. Sparse arrays alleviate some of the limitations in cable count and data rate that fully populated arrays encounter, but their increased level of secondary lobes negatively impacts image contrast. Meanwhile the use of unfocused transmit beams needed to achieve high-frame rates negatively impacts resolution. Here we propose to use adaptive beamforming by deep learning (ABLE) to improve the image quality of contrast enhanced ultrasound images acquired with a sparse spiral array. We train the neural network on simulated data and evaluate simulated images and in vivo images of an ex ovo chicken embryo. ABLE improved resolution compared to delay-and-sum (DAS) and spatial coherence (SC) beamforming on the simulated and in vivo data. The qualitative improvements persist after histogram matching, indicating that the image quality improvement of the ABLE images was not purely due to dynamic range stretching.","beamforming; contrast enhanced ultrasound; deep learning; sparse array; spiral array","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","ImPhys/Imaging Physics","ImPhys/Medical Imaging","","",""
"uuid:aa9c876f-e2ca-478a-bbca-1d738aaca9d9","http://resolver.tudelft.nl/uuid:aa9c876f-e2ca-478a-bbca-1d738aaca9d9","High-Reynolds number effects in shock-wave/turbulent boundary-layer interactions","Laguarda, L. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics); Schrijer, F.F.J. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics)","","2022","We investigate Reynolds number effects in shockwave/turbulent boundary-layer interactions (STBLI) with strong mean flow separation. Three wall-resolved large-eddy simulations (LES) are performed for this purpose, with different Reynolds number but otherwise equal flow parameters and simulation setup. The resulting LES data covers more than a decade of friction Reynolds number. The high-Reynolds case, with friction Reynolds number Reτ = 5118 and momentum Reynolds number Reθ = 26438 at the virtual impingement point without the shock, features a turbulent boundary layer with clear inner and outer scale separation. All STBLI simulations exhibit substantial flow reversal and have been integrated for a very long time (90 flow-through times of the full domain length) to properly resolve low-frequency dynamics. Instantaneous and mean flow as well as spectral features are described in detail, together with a modal analysis of the three-dimensional streamwise velocity, streamwise vorticity and pressure fields.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-01","","","Aerodynamics","","",""
"uuid:a2f01150-67ea-484b-ae94-04a2f4c95d9b","http://resolver.tudelft.nl/uuid:a2f01150-67ea-484b-ae94-04a2f4c95d9b","SpacePhish: The Evasion-space of Adversarial Attacks against Phishing Website Detectors using Machine Learning","Apruzzese, Giovanni (Universität Liechtenstein); Conti, M. (TU Delft Cyber Security; Università degli Studi di Padova); Yuan, Ying (Università degli Studi di Padova)","","2022","Existing literature on adversarial Machine Learning (ML) focuses either on showing attacks that break every ML model, or defenses that withstand most attacks. Unfortunately, little consideration is given to the actual cost of the attack or the defense. Moreover, adversarial samples are often crafted in the ""feature-space"", making the corresponding evaluations of questionable value. Simply put, the current situation does not allow to estimate the actual threat posed by adversarial attacks, leading to a lack of secure ML systems. We aim to clarify such confusion in this paper. By considering the application of ML for Phishing Website Detection (PWD), we formalize the ""evasion-space""in which an adversarial perturbation can be introduced to fool a ML-PWD-demonstrating that even perturbations in the ""feature-space""are useful. Then, we propose a realistic threat model describing evasion attacks against ML-PWD that are cheap to stage, and hence intrinsically more attractive for real phishers. Finally, we perform the first statistically validated assessment of state-of-the-art ML-PWD against 12 evasion attacks. Our evaluation shows (i) the true efficacy of evasion attempts that are more likely to occur; and (ii) the impact of perturbations crafted in different evasion-spaces. Our realistic evasion attempts induce a statistically significant degradation (3-10% at p < 0.05), and their cheap cost makes them a subtle threat. Notably, however, some ML-PWD are immune to our most realistic attacks (p=0.22). Our contribution paves the way for a much needed re-assessment of adversarial attacks against ML systems for cybersecurity.","Adversarial Attacks; Machine Learning; Phishing; Website","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Cyber Security","","",""
"uuid:74fe03c6-f585-408e-a81c-b4aca73fa4b0","http://resolver.tudelft.nl/uuid:74fe03c6-f585-408e-a81c-b4aca73fa4b0","Effect of incoming boundary layer characteristics on an air layer within a liquid turbulent boundary layer","Nikolaidou, Lina (TU Delft Multi Phase Systems); Laskari, A. (TU Delft Multi Phase Systems); Poelma, C. (TU Delft Process and Energy); van Terwisga, T.J.C. (TU Delft Ship Hydromechanics and Structures)","","2022","An air layer within a liquid turbulent boundary layer (TBL) is formed by controlled air injection underneath a flat plate. The incoming boundary layer as well as the flow around the air layer were measured with planar particle image velocimetry (PIV). The effect of different incoming liquid flow characteristics on the air layer geometry is investigated by varying both the freestream velocity and the streamwise development length of the TBL. The latter was realized through changing the position of the air injection along the length of the water tunnel facility. Increasing the freestream velocity resulted in an increase of the air layer length, while its maximum thickness remained relatively unaltered. An increase in the TBL development length, had a similarly marginal effect on the resulting maximum air layer thickness but led to a shorter air layer length. The latter could be attributed to a decrease in local mean velocity due to the TBL growth, reflected in a decrease of the air layer to boundary layer thickness ratio (from 0.27 to 0.17). The results of this study are expected to provide insight on the design conditions of an air layer drag reduction system installed in the hull of a ship.","","en","conference paper","","","","","","","","","","Process and Energy","Multi Phase Systems","","",""
"uuid:fdf6a58a-6d0c-4a2a-8e3a-32aeccefe597","http://resolver.tudelft.nl/uuid:fdf6a58a-6d0c-4a2a-8e3a-32aeccefe597","SuMe: A Dataset Towards Summarizing Biomedical Mechanisms","Bastan, Mohaddeseh (Stony Brook University); Shankar, N. (TU Delft Organisation & Governance); Surdeanu, Mihai (University of Arizona); Balasubramanian, Niranjan (Stony Brook University)","Calzolari, Nicoletta (editor); Bechet, Frederic (editor); Blache, Philippe (editor); Choukri, Khalid (editor); Cieri, Christopher (editor); Declerck, Thierry (editor); Goggi, Sara (editor); Isahara, Hitoshi (editor); Maegaard, Bente (editor); Mariani, Joseph (editor); Mazo, Helene (editor); Odijk, Jan (editor); Piperidis, Stelios (editor)","2022","Can language models read biomedical texts and explain the biomedical mechanisms discussed? In this work we introduce a biomedical mechanism summarization task. Biomedical studies often investigate the mechanisms behind how one entity (e.g., a protein or a chemical) affects another in a biological context. The abstracts of these publications often include a focused set of sentences that present relevant supporting statements regarding such relationships, associated experimental evidence, and a concluding sentence that summarizes the mechanism underlying the relationship. We leverage this structure and create a summarization task, where the input is a collection of sentences and the main entities in an abstract, and the output includes the relationship and a sentence that summarizes the mechanism. Using a small amount of manually labeled mechanism sentences, we train a mechanism sentence classifier to filter a large biomedical abstract collection and create a summarization dataset with 22k instances. We also introduce conclusion sentence generation as a pretraining task with 611k instances. We benchmark the performance of large bio-domain language models. We find that while the pretraining task help improves performance, the best model produces acceptable mechanism outputs in only 32% of the instances, which shows the task presents significant challenges in biomedical language understanding and summarization.","Biomedical NLP; Explanation Generation; Relation Extraction; Summarization; Text Generation","en","conference paper","European Language Resources Association (ELRA)","","","","","","","","","","Organisation & Governance","","",""
"uuid:e0382725-b032-4784-94d7-908370037f5c","http://resolver.tudelft.nl/uuid:e0382725-b032-4784-94d7-908370037f5c","Dynamic Coordination of Multiple Vessels for Offshore Platform Transportation","Du, Zhe (TU Delft Transport Engineering and Logistics); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Reppa, V. (TU Delft Transport Engineering and Logistics)","","2022","This paper proposes a novel dynamic coordination control scheme for a physically connected multi-vessel towing system to transport an offshore platform. The transportation process is executed by four tugboats, and each of them has a leading or following role. To render the transportation faster, the roles of the tugboats can be switched in the towing process. The dynamic coordination decision mechanism is designed to allocate in real-time a combination of roles to the tugs by comparing the position and heading of the offshore platform to the next waypoint position. A control allocation strategy is developed to optimally control the position and heading of the tugboats considering multiple constraints. The reference trajectory of the tugboats is dynamically calculated based on the assigned role of each tugboat. A simulation experiment indicates that the proposed control scheme can enhance the maneuver-ability of the physically connected multi-vessel towing system and increase the efficiency of offshore platform transportation.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-08","","","Transport Engineering and Logistics","","",""
"uuid:cbb72085-c59f-4ba6-874a-8b4e38ed3971","http://resolver.tudelft.nl/uuid:cbb72085-c59f-4ba6-874a-8b4e38ed3971","Maximising the performance of multi-diverse design teams","Flipsen, Bas (TU Delft Circular Product Design); Persaud, S.M. (TU Delft Design for Sustainability)","Gudjonsdottir, Maria Sigridur (editor); Audunsson, Haraldur (editor); Donoso, Arkaitz Manterola (editor); Kristjansson, Gudmundur (editor); Saemundsdottir, Ingunn (editor); Foley, Joseph Timothy (editor); Kyas, Marcel (editor); Sripakagorn, Angkee (editor); Roslof, Janne (editor); Bennedsen, Jens (editor); Edstrom, Kristina (editor); Kuptasthien, Natha (editor); Lyng, Reidar (editor)","2022","In our Integrated Product Design master at the Delft faculty of Industrial Design Engineering we see a growing diversity in our student population. Besides a growing number of different nationalities there are also significant differences in prior education, competences, and socioemotional aspects. Within the Advanced Embodiment Design (AED) course, students work in teams on a client-based design project for one full semester. In 2018-2019, 22 student-teams started out their endeavour, coached by eight coaches. Within the course an important learning objective we want to offer students is the opportunity to experience and perform in a successful team, acknowledge all students' input, and experience a successful result. During the process of embodiment design, the project teams come across several hurdles which challenges team performance and their project progress, and thereby influences the project results. To maximise the performance of student design-teams we have conducted two studies researching the challenges these teams come across over the course of the semester. One study was based on the coaches' experiences during the project (Flipsen & Persaud, 2016), and the other one on the students' individual reflections on the project (Flipsen, Persaud & Magyari, 2021). The challenges our students come across are analysed and relate to becoming a team, doing the project right, and finalising the project successfully. The results of both studies are used to develop a framework supporting coaches in maximising the performance of multi-diverse design teams. The framework is built around the Theory U (Scharmer 2016), a model describing how teams work with each other, following the right path to success (presencing) or off-tracking by muddling through, or by absencing. To track the different team's performances, we use a project-group tracking-system existing of seven Key Performance Indicators combined with a coach journal. The combination of KPI's help the team of coaches to pinpoint lower performing teams and intervene when needed. In this paper we will present the framework, consisting of (i) preparatory activities to initiate trust, teambuilding, and a successful student cooperation, (ii) a system to track the student-teams' health and performance and pinpoint troublesome groups, and (iii) responsive activities related to the hurdles teams might come across and how to reverse them. To assist the individual coach, we have developed several responsive activities the coach can use to intervene, slowing down the process of dysfunctionality and revert the process towards highly performing teams. The activities are tested in the two cohorts following our initial studies in 2018-2019.","lessons learned; Multi-diverse; reflection; team dynamics. Standards: 6, 7, 8, 9, 10.; teams","en","conference paper","Chalmers University of Technology","","","","","","","","","","Circular Product Design","","",""
"uuid:1e074235-2ccf-470a-9806-2371e918ca39","http://resolver.tudelft.nl/uuid:1e074235-2ccf-470a-9806-2371e918ca39","Poster: Clean-label Backdoor Attack on Graph Neural Networks","Xu, J. (TU Delft Cyber Security); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen)","","2022","Graph Neural Networks (GNNs) have achieved impressive results in various graph learning tasks. They have found their way into many applications, such as fraud detection, molecular property prediction, or knowledge graph reasoning. However, GNNs have been recently demonstrated to be vulnerable to backdoor attacks. In this work, we explore a new kind of backdoor attack, i.e., a clean-label backdoor attack, on GNNs. Unlike prior backdoor attacks on GNNs in which the adversary can introduce arbitrary, often clearly mislabeled, inputs to the training set, in a clean-label backdoor attack, the resulting poisoned inputs appear to be consistent with their label and thus are less likely to be filtered as outliers. The initial experimental results illustrate that the adversary can achieve a high attack success rate (up to 98.47%) with a clean-label backdoor attack on GNNs for the graph classification task. We hope our work will raise awareness of this attack and inspire novel defenses against it.","backdoor attacks; graph classification; graph neural networks","en","conference paper","Association for Computing Machinery (ACM)","","","","",".","","","","","Cyber Security","","",""
"uuid:35bef57d-22e9-4202-b8ca-d0ccca6d5edd","http://resolver.tudelft.nl/uuid:35bef57d-22e9-4202-b8ca-d0ccca6d5edd","The feasibility of csem monitoring in gas hydrate production of the range of porosity and saturation","Li, Y. (Beijing University of Technology; Student TU Delft); Lu, H. (Peking University; Beijing University of Technology); Wang, L. (Beihang University); Eltayieb, M.F.M.I. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","Flowers, Simon (editor)","2022","Natural gas hydrates production tests over the last two decades has sown that production is not without risks. Indirect effects in the sedimentary rocks of phase changes are changes in porosity, permeability, and saturation. From a field production test site, porosity changes in the range of 15% to 19% and saturation from 5% to 60% were reported. Monitoring is in principle possible using an electromagnetic survey with a downhole vertical electric source and a horizontal electric field receiver on the seafloor. Computed model responses over a wide frequency range and for many depth locations of an electric current source show that both changes can be detected. Best detectability occurs when the current source is below the reservoir layer in case of changes differences can be detected above, inside and below the reservoir layer at frequencyies below 10 Hz. At a source operating frequency of 0.1 Hz maximum response difference between the two values in saturation occur when the source is 20 m above the top of the reservoir layer unil 100 m below the bottom. Only below the top of the reservoir there is almost no difference in the electric field amplitude between the two saturation levels below 10 Hz.","","en","conference paper","EAGE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:9069f27f-3941-4a5a-98bc-0c97bd5b0dbc","http://resolver.tudelft.nl/uuid:9069f27f-3941-4a5a-98bc-0c97bd5b0dbc","Ultrasonic experiments for retrieval of layer-specific reflections inside fluid mud from ports with seismic interferometry","Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Ma, X. (TU Delft Transport and Planning); Heller, H.K.J. (TU Delft Lab Geoscience and Engineering); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","Flowers, Simon (editor)","2022","Knowledge about the characteristics of fluid mud in ports and waterways would allow safer navigating through fluid mud. The properties of the fluid mud determine the feasibility of navigating vessels through the fluid mud. Seismic waves have the potential to help characterize the fluid-mud layers, especially when both P- and S-waves are used. To investigate the possibility of using reflections measurements for more accurate fluid-mud characterization, we perform ultrasonic reflection experiment on fluid mud from Port of Rotterdam. We apply seismic interferometry to the measurements to retrieve non-physical (ghost) arrivals from inside the fluid mud layer and to eliminate the kinematic influence of the water layer above it. We show how we retrieve P-wave ghost reflections and analogously how we can retrieve S-wave and P-to-S-converted ghost reflections.","","en","conference paper","EAGE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:507d8e55-9e87-4420-b685-fa34e3efa097","http://resolver.tudelft.nl/uuid:507d8e55-9e87-4420-b685-fa34e3efa097","Comparison of straight and curved-ray surface wave tomography at near-surface scale: A 3d numerical example","Karimpour, M. (Polytechnic University of Turin); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Socco, L. V. (Polytechnic University of Turin)","Flowers, Simon (editor)","2022","Surface Wave Tomography (SWT) is used to build shear-wave velocity models. In some studies, it is assumed that surface waves propagation follows a straight line between the source and the receiver. This assumption might be violated in near-surface studies because of high level of complexity and lateral heterogeneity. In curved-ray SWT, the actual ray paths between every receiver couple are computed. Curved-ray SWT can increase the accuracy of the model and will increase the computational effort. It is important to investigate the gained model improvement together with the associated additional computational cost from curved-ray over straight-ray SWT for near-surface applications. We apply straight- and curved-ray SWT on a generated 3D synthetic dataset and compare the results in terms of accuracy and computational costs.","","en","conference paper","EAGE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:93d66370-6b64-4477-9124-bda1ab6bb1fd","http://resolver.tudelft.nl/uuid:93d66370-6b64-4477-9124-bda1ab6bb1fd","Why So Toxic?: Measuring and Triggering Toxic Behavior in Open-Domain Chatbots","Si, Wai Man (CISPA Helmholtz Center); Backes, Michael (CISPA Helmholtz Center); Blackburn, Jeremy (Binghamton University State University of New York); De Cristofaro, Emiliano (University College London (UCL)); Stringhini, Gianluca (Boston University); Zannettou, S. (TU Delft Organisation & Governance); Zhang, Y. (CISPA Helmholtz Center)","","2022","Chatbots are used in many applications, e.g., automated agents, smart home assistants, interactive characters in online games, etc. Therefore, it is crucial to ensure they do not behave in undesired manners, providing offensive or toxic responses to users. This is not a trivial task as state-of-the-art chatbot models are trained on large, public datasets openly collected from the Internet. This paper presents a first-of-its-kind, large-scale measurement of toxicity in chatbots. We show that publicly available chatbots are prone to providing toxic responses when fed toxic queries. Even more worryingly, some non-toxic queries can trigger toxic responses too. We then set out to design and experiment with an attack, ToxicBuddy, which relies on fine-tuning GPT-2 to generate non-toxic queries that make chatbots respond in a toxic manner. Our extensive experimental evaluation demonstrates that our attack is effective against public chatbot models and outperforms manually-crafted malicious queries proposed by previous work. We also evaluate three defense mechanisms against ToxicBuddy, showing that they either reduce the attack performance at the cost of affecting the chatbot's utility or are only effective at mitigating a portion of the attack. This highlights the need for more research from the computer security and online safety communities to ensure that chatbot models do not hurt their users. Overall, we are confident that ToxicBuddy can be used as an auditing tool and that our work will pave the way toward designing more effective defenses for chatbot safety.","dialogue system; online toxicity; trustworthy machine learning","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Organisation & Governance","","",""
"uuid:bf3aefec-af10-4d82-9188-a22b9d1045cd","http://resolver.tudelft.nl/uuid:bf3aefec-af10-4d82-9188-a22b9d1045cd","Foam-Oil Displacements in Porous Media: Insights from Three-Phase Fractional-Flow Theory","Tang, Jinyu (United Arab Emirates University); Castaneda, Pablo (Mexico Autonomous Institute of Technology); Marchesin, Dan (Instituto Nacional de Matemática Pura e Aplicada - IMPA); Rossen, W.R. (TU Delft Reservoir Engineering)","","2022","Foam is remarkably effective in the mobility control of gas injection for enhanced oil recovery (EOR) processes and CO2 sequestration. Our goal is to better understand immiscible three-phase foam displacement with oil in porous media. In particular, we investigate (i) the displacement as a function of initial (I) and injection (J) conditions and (ii) the effect of improved foam tolerance to oil on the displacement and propagation of foam and oil banks. We apply three-phase fractional-flow theory combined with the wave-curve method (WCM) to find the analytical solutions for foam-oil displacements. An n-dimensional Riemann problem solver is used to solve analytically for the composition path for any combination of J and I on the ternary phase diagram and for velocities of the saturations along the path. We then translate the saturations and associated velocities along a displacement path to saturation distributions as a function of time and space. Physical insights are derived from the analytical solutions on two key aspects: the dependence of the displacement on combinations of J and I and the effects of improved oil-tolerance of the surfactant formulation on composition paths, foam-bank propagation and oil displacement. The foam-oil displacement paths are determined for four scenarios, with representative combinations of J and I that each sustains or kills foam. Only an injection condition J that provides stable foam in the presence of oil yields a desirable displacement path, featuring low-mobility fluids upstream displacing high-mobility fluids downstream. Enhancing foam tolerance to oil, e.g. by improving surfactant formulations, accelerates foam-bank propagation and oil production, and also increases oil recovery. Also, we find a contradiction between analytical and numerical solutions. In analytical solutions, oil saturation (So) in the oil bank is never greater than the upper-limiting oil saturation for stable foam (fmoil in our model). Nevertheless, in numerical simulations, So may exceed the oil saturation that kills foam in the oil bank ahead of the foam region, reflecting a numerical artifact. This contradiction between the two may arise from the calculation of pressure and pressure gradient using neighboring grid blocks in a numerical simulation. The analytical solutions we present can be a valuable reference for laboratory investigation and field design of foam for gas mobility control in the presence of oil. More significantly, the analytical solutions, which are free of numerical artifacts, can be used as a benchmark to calibrate numerical simulators for simulating foam EOR and CO2 storage processes.","","en","conference paper","Society of Petroleum Engineers","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-01","","","Reservoir Engineering","","",""
"uuid:eae335a2-2416-48b0-abcf-466ee6f76c41","http://resolver.tudelft.nl/uuid:eae335a2-2416-48b0-abcf-466ee6f76c41","DIrect Sunlight into CO conversion","de Vrijer, T. (TU Delft Photovoltaic Materials and Devices); Smets, A.H.M. (TU Delft Photovoltaic Materials and Devices)","","2022","In this abstract an overview is presented of research performed in the DISCO project, on the development of a silicon-based high voltage multijunction device for autonomous solar to fuel applications.'","germanium; high voltage; multijunction PV; silicon; solar-to-fuel","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Photovoltaic Materials and Devices","","",""
"uuid:1d118abe-3111-46b1-bc61-e18445ea07f4","http://resolver.tudelft.nl/uuid:1d118abe-3111-46b1-bc61-e18445ea07f4","Unstoppable DAOs for web3 disruption","Chotkan, R.M. (TU Delft Data-Intensive Systems); Decouchant, Jérémie (TU Delft Data-Intensive Systems); Pouwelse, J.A. (TU Delft Data-Intensive Systems)","","2022","Decentralised Autonomous Organisations (DAOs) have the capability of being a disruptive Web3 technology. Their usage of cryptographically secure distributed ledgers shows promise of replacing existing technical and financial intermediaries. However, this promise has not been fully materialised yet: existing attempts typically rely on centralisation as the required decentralised components do not exist or are not mature enough. We present our Web3 Deployment Experiment around a robust decentralised economy to address these issues. Our economy is unique due to the removal of all centralised components and governance. It is resilient against legal and economic attacks as no individual or organisation can compromise its functioning. We dub this characteristic extreme decentralisation. Similar to BitTorrent and Bitcoin, our extreme decentralisation DAOs carefully avoid single points of failure and are effectively unstoppable. Within our experiment around a music economy, we bypass all intermediaries in finance, technology, and the music industry itself with a direct donation to musicians. We demonstrate the viability of collective decision-making within our decentralised economy and present a set of principles for Web3 DAOs. Our implementation shows that the DAO ecosystem is fully deployable on smartphones, allowing anyone to create a DAO without reliance on central authorities or components.","decentralised autonomous organisation; decentralised economy; trustless infrastructure; web3","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Data-Intensive Systems","","",""
"uuid:4ac40d0f-5942-4ea6-9a8b-ce2ba78ef5a4","http://resolver.tudelft.nl/uuid:4ac40d0f-5942-4ea6-9a8b-ce2ba78ef5a4","Time-efficient low power time/phase-reversal beamforming for the tracking of ultrasound implantable devices","Saccher, M. (TU Delft Electronic Components, Technology and Materials); Lolla, Sai Sandeep (Student TU Delft); Kawasaki, S. (TU Delft Electronic Components, Technology and Materials); Dekker, R. (Philips Engineering Solutions)","","2022","Ultrasound (US) has recently gained attention for powering and communication with implantable devices due to its short wavelength and low attenuation. However, beam mis-alignments cause a sharp decrease in the amount of transferred power and quality of communication. This work investigates a telemetry protocol that relies on the difference in the phase of the received backscattered signal to precisely focus the US on the implantable device and track it over time. The interrogation signal is generated by a linear phased array probe, and the receiver is a pre-charged collapse-mode Capacitive Micromachined Ultrasound Transducer (CMUT) connected to a load modulation circuit. Using the time/phase reversal tracking algorithm, the RX was located within 300 ms after the first modulation was detected. The ability of the algorithm to track the RX while it is moving was also tested, showing that it can reliably track it up to a speed of 1 mm/s.","Backscattering; Capacitive Micromachined Ultrasound Transducers; CMUT; Tracking","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-01","","","Electronic Components, Technology and Materials","","",""
"uuid:33cf0893-9a2a-486f-8421-1f25f6c47893","http://resolver.tudelft.nl/uuid:33cf0893-9a2a-486f-8421-1f25f6c47893","Compressive Imaging with Spatial Coding Masks on Low Number of Elements: An Emulation Study","Hu, Yuyang (Erasmus MC); Brown, Michael (Erasmus MC); Doğan, D. (TU Delft Signal Processing Systems); Leus, G.J.T. (TU Delft Signal Processing Systems); Kruizinga, P. (Erasmus MC); Van Der Steen, Antonius F.W. (Erasmus MC); Bosch, Johannes G. (Erasmus MC)","","2022","We intend to develop an ultrasound compressive imaging device to perform carotid artery (CA) function and flow monitoring/imaging by using just a few single element transducers equipped with spatial coding masks. The spatially unique impulse responses can be exploited in compressive reconstructions. To explore the potential of different configurations, in this study we emulated such a device using a linear array system. We combined its elements with individual digital delays into a small number of groups. The results suggest our spatial coding mask approach based on reconstructions regularized with a least squares method has potential for CA monitoring with only 10 to 12 sensors.","Carotid artery; Compressive imaging; Image reconstruction; Matched filtering; Simulation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-01","","","Signal Processing Systems","","",""
"uuid:9f3a64d0-86d2-45cd-b443-07f5a5593096","http://resolver.tudelft.nl/uuid:9f3a64d0-86d2-45cd-b443-07f5a5593096","Acoustic liners and their induced drag","Shahzad, H. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics); Modesti, D. (TU Delft Aerodynamics)","","2022","In order to reduce the noise emitted by aircraft engines, the nacelle is coated with acoustic liners. An undesirable effect of these surfaces is that they increase the aerodynamic drag. In the present work, we characterize this type of surface roughness by performing Direct Numerical Simulations of fully resolved acoustic liner geometries. We find evidence of a fully rough regime, whose onset is determined by the value of the viscous-scaled Forchheimer coefficient. Moreover, the intensity of the wall-normal velocity fluctuations at the wall also scales with the viscous-scaled wall-normal permeability, leading to a relation between fluctuations and added drag.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Aerodynamics","","",""
"uuid:35e9d9c0-ac1a-41f1-9f62-a6c7d799641e","http://resolver.tudelft.nl/uuid:35e9d9c0-ac1a-41f1-9f62-a6c7d799641e","Multiscale Extended Finite Element Method for Modelling Mechanical Deformation in Porous Media with Propagating Fractures","Xu, F. (TU Delft Electrical Engineering, Mathematics and Computer Science); Hajibeygi, H. (TU Delft Reservoir Engineering); Sluys, Lambertus J. (TU Delft Materials- Mechanics- Management & Design)","","2022","Altering the state of the stress of the subsurface reservoirs can lead fractures to slip and extend their lengths (i.e., to propagate). This process can even be engineered, in many applications, e.g., enhanced geothermal systems. As such, accurate and efficient simulation of the mechanical deformation of the subsurface geological reservoirs, allowing for fracture propagation, is at the core of many geoscientific operational designs. Subsurface reservoirs entail many fractures at multiple scales. Implementation of 3D complex grids on these complex fractured systems, for mechanical deformation analyses, is extremely challenging. An alternative approach can be developed by using extended finite element methods (XFEM). XFEM allows for capturing the fractures effects on a conveniently-generated structured matrix mesh. The cracks are introduced by extra degrees of freedom (DOFs) on the nodes of the matrix rock mesh. For geoscientific applications, however, XFEM results in too many DOFs which are beyond the scope of simulators. Additionally, for propagating fractures, these DOFs need to be updated in response to the dynamic extension of the fractures in the domain. The propagation process not only adds to the sensitivity of the outputs to the accuracy of the estimated stress field, but also increases the size of the linear systems. In addition to these, matrix rocks are often highly heterogeneous, at high resolutions. In this work, we present a novel multiscale procedure for propagating fractures in heterogeneous geological reservoirs. For the first time in the community, we present the highly fractured systems at coarser resolutions via XFEM-based basis functions, which also account for the propagating effects. Fractures are allowed to extend their scale and the enriched basis functions are locally updated. Using these bases, the coarse scale system is obtained in which no extra DOFs due to fractures exist. This significantly reduces the computational complexity. As a significant step forward compared with our recently-published journal paper [Xu, Hajibeygi, Sluys, Journal of Computational Physics, 2021], in this conference contribution we allow the fractures to propagate. Specially, we introduce a local-global-based approach, in which fracture propagation is treated only at local stage; while the stress and deformation are modelled at global scale. In the search of convenient implementation, the procedure is presented algebraically. Through several test cases, we demonstrate the applicability of the method for complex fractured media. Specially we demonstrate that propagation can be modeled at local scale, while accurate stress and deformation fields are obtained at global scale.","","en","conference paper","EAGE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","Electrical Engineering, Mathematics and Computer Science","Materials- Mechanics- Management & Design","Reservoir Engineering","","",""
"uuid:abb1176c-55fa-494b-9cf3-3f981f6b502c","http://resolver.tudelft.nl/uuid:abb1176c-55fa-494b-9cf3-3f981f6b502c","Making Access: Increasing Inclusiveness in Making","Fuchsberger, Verena (University of Salzburg); Smit, Dorothé (University of Salzburg); Campreguer França, Nathalia (University of Salzburg); Regal, Georg (AIT Austrian Institute of Technology); Wuschitz, Stefanie (Mz Baltazar’s Laboratory Vienna,); Huber, Barbara (Mz Baltazar’s Laboratory Vienna,); Kowolik, Joanna (Happylab, Vienna); Devendorf, Laura (University of Colorado); Giaccardi, Elisa (TU Delft Human Information Communication Design); Trotto, Ambra (Umeå University)","","2022","In this one-day workshop we are going to make access. We aim to counteract the phenomenon that access to making (e.g., in makerspaces, fablabs, etc.) is not equally distributed, with certain groups of people being underrepresented (e.g., women∗1). After brief introductions from participants and a set of three impulse keynotes, we will envision and ""make""interventions together, such as speculative or provocative objects and actions. The workshop takes a constructive stance with the goal to not rest on empirical and theoretical findings or individual experiences, but to translate those into viable interventions. These serve as exemplars of findings with the clear goal of being deployed soon after.","age; cultures; diversity; feminism; gender identities; inclusion; intersectionality; makerspaces; making; normcreativity","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-28","","","Human Information Communication Design","","",""
"uuid:774e0d99-aa90-4051-bc99-07bf437fa7a4","http://resolver.tudelft.nl/uuid:774e0d99-aa90-4051-bc99-07bf437fa7a4","Effect of Real-Time Truck Arrival Information on the Resilience of Slot Management Systems","Vanga, R. (TU Delft Transport and Logistics); Maknoon, M.Y. (TU Delft Transport and Logistics); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics); Gelper, Sarah (Eindhoven University of Technology)","Feng, B. (editor); Pedrielli, G. (editor); Peng, Y. (editor); Shashaani, S. (editor); Song, E. (editor); Corlu, C.G. (editor); Lee, L.H. (editor); Chew, E.P. (editor); Roeder, T. (editor); Lendermann, P. (editor)","2022","Traffic congestion is uncertain and undesirable in logistics and leads to arrival uncertainty at downstream locations engendering disruptions. This paper considers a loading facility that uses Truck Appointment System (TAS) for slot management and faces incoming truck arrival uncertainty due to traffic congestion. Due to the recent advancements in cyber-physical systems, we propose an adaptive system that uses the real-time truck Estimated Time of Arrival (ETA) data to make informed decisions. We develop an integer mathematical model to represent the adaptive behavior that determines the optimal reschedules by minimizing the average truck waiting time. We developed a simulation model of the adaptive system and reported the estimated benefits from our initial experiments.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-23","","","Transport and Logistics","","",""
"uuid:259442d8-cfc6-48e7-b548-8675e9695042","http://resolver.tudelft.nl/uuid:259442d8-cfc6-48e7-b548-8675e9695042","Graph Encryption for Shortest Path Queries with k Unsorted Nodes","Li, Meng (Hefei University of Technology); Gao, Jianbo (Hefei University of Technology); Zhang, Zijian (Beijing Institute of Technology); Fu, Chaoping (Huaqiao University); Lal, C. (TU Delft Cyber Security); Conti, M. (Università degli Studi di Padova)","","2022","Shortest distance queries over large-scale graphs bring great benefits to various applications, i.e., save planning time and travelling expenses. To protect the sensitive nodes and edges in the graph, a user outsources an encrypted graph to an untrusted server without losing the query ability. However, no prior work has considered the user requirement of the shortest path with k unsorted nodes. In particular, we are concerned with how to securely find the shortest path by passing k nodes that do not have a fixed traverse order. To solve the problems, we propose Gespun (stands for Graph encryption for shortest path queries with k unordered nodes). It includes an oracle encryption scheme that is provably secure against the semi-honest server. Specifically, we compute the shortest paths and distances for all nodes locally to obtain path-distance oracles. We transform the shortest paths to a sequence of secure codes by using a pseudo-random permutation to protect the structure privacy. We encrypt the shortest distance by using additively homomorphic encryption. Second, we pack the oracles in link-list nodes and store them in an array-based dictionary after another permutation. Next, we construct a search graph to compute the shortest path while guaranteeing that the path passes the required k nodes. We formally prove that Gespun is adaptively semantically-secure in the random oracle. We implement a prototype of Gespun and evaluate its performance. Experiments results demonstrate that Gespun is efficient, e.g., a query over 6301 nodes, 20777 edges, and 5 unsorted nodes only needs 483 ms to get queried results. We believe that our research problem span new research that soon promotes a new line of graph encryption schemes.","Graph encryption; Security; Shortest distance query; Unsorted nodes","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:1252fcc5-cf84-4ebc-9c47-93b175d40c08","http://resolver.tudelft.nl/uuid:1252fcc5-cf84-4ebc-9c47-93b175d40c08","Identifying Context-Specific Values via Hybrid Intelligence","Liscio, E. (TU Delft Interactive Intelligence); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden); Murukannaiah, P.K. (TU Delft Interactive Intelligence)","Schlobach, Stefan (editor); Perez-Ortiz, Maria (editor); Tielman, Myrthe (editor)","2022","","","en","conference paper","IOS Press","","","","","","","","","","Interactive Intelligence","","",""
"uuid:c3d217dd-2079-446f-afc4-e73498456e93","http://resolver.tudelft.nl/uuid:c3d217dd-2079-446f-afc4-e73498456e93","Activating Energy Communities for Systemic Change","Onencan, A.M. (Erasmus Universiteit Rotterdam); de Koning, J.I.J.C. (TU Delft Design for Sustainability)","Getzinger, Günter (editor); Jahrbacher, Michaela (editor); Häller, Franziska (editor)","2022","The speed of energy transition in the Netherlands is low, in contrast to its
2050 climate change target of net-zero emissions. The transition requires 7.5 million households with natural gas connections, to move to renewable energy sources. The main challenge is not technical, many viable options are already available, but social: people will need to be supported to decide and act. In this paper, we identify interventions that could activate change within energy communities, through 19 interviews conducted in March 2021 in Austerlitz, Zeist municipality, The Netherlands. Interview questions were guided by the Capability, Opportunity, Motivation, and Behavioural (COM-B) change model. The model explains factors that affect people’s behaviour. Results indicate that renovation and energy transition are viewed as two separate processes. Austerlitz homeowners are waiting for the government to lead the energy transition process, while they continue to renovate their homes to improve comfort, aesthetics, safety, and convenience. Also, current interventions towards activating households are piecemeal and more focused on creating external opportunities (such as financial support), and barely address the psychological
capabilities and motivation factors (belief, attitude, social norm, and perceived
behavioural control). To boost psychological capabilities and motivation, we
recommend interventions that enhance homeowners’ belief that the energy transition is part of their long-term home renovation plans, for their own benefit, to motivate them to drive the energy transition process. Interventions may include ‘show’ or ‘display’ houses where energy transition was combined with renovations and highlighting inspirational energy transition stories on the municipality website.","","en","conference paper","TU Graz","","","","","","","","","","Design for Sustainability","","",""
"uuid:dbf9d4e1-ea87-44e5-88fc-4a49db3ce5e0","http://resolver.tudelft.nl/uuid:dbf9d4e1-ea87-44e5-88fc-4a49db3ce5e0","Sharing logistics in urban freight transport:: a study in 5 sectors","van Duin, Ron (TU Delft Transport and Logistics; Rotterdam University of Applied Sciences); van den Band, N. (Rotterdam University of Applied Sciences); de Vries, A. (Rotterdam University of Applied Sciences); Verschoor, P. (Rotterdam University of Applied Sciences); Ouasghiri, M. el (Rotterdam University of Applied Sciences); Warffemius, P. (Rotterdam University of Applied Sciences); Anand, N. (Rotterdam University of Applied Sciences); Quak, H.J. (Breda University of Applied Sciences; TNO)","","2022","Many new logistics initiatives arise based on the principles of resource sharing through crowdsourcing. Sharing unused and/or underused resources can deliver new efficiencies in the logistics value chain in an industry where efficiency is the name of the game. The benefits of applying sharing economy concepts in logistics in terms of higher efficiency, lower costs, less congestion and lower CO2 emissions seem easy to realize for both industry and society. While the sharing economy offers promising opportunities to start new businesses, the sharing economy is not without its challenges. Themes such as strict liability, insurance, transparency, and employee protection can hinder the progress of the sharing economy. Most difficult of all, the pace of technological innovation and social change often exceeds regulatory frameworks, resulting in banned services
and protests from those working in traditional industries. Among five sectors in urban freight transport, i.e. city logistics, construction logistics, transportation & warehousing (retail logistics), healthcare logistics, and service logistics sharing
concepts are studied over for the entire city of Rotterdam. Based on our main case study findings it can be observed that within the sectors of urban freight transport quite some differences exist with respect to the sharing maturity. Therefore a dedicated implementation plan is needed for each sector. This paper has shown what are the next steps to be taken for each sector and how the knowledge transfer can be established.","Sharing logistics; City Logistics; Sharing economy","en","conference paper","Akademia Morska w Szczecinie","","","","","","","","","","Transport and Logistics","","",""
"uuid:687f8ab6-a392-47ba-98bf-df6064b18cfd","http://resolver.tudelft.nl/uuid:687f8ab6-a392-47ba-98bf-df6064b18cfd","Deklagen met epoxymodificatie voor langere levensduur","Naus, Robbert (Dura Vermeer Infra Participaties); Dekkers, Rudi (Dura Vermeer Infra Participaties); Waarts, Paul (Provincie Noord-Holland); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering)","","2022","In de wegenbouw is duurzaamheid een belangrijk speerpunt. Daarom wordt er gezocht naar nieuwe bindmiddelen en modificaties om duurzame oplossingen te vinden voor de alsmaar groeiende verkeersstromen. Met de introductie van asfalt met epoxygemodificeerde bitumen wordt een grote stap gezet in het realiseren van robuuste wegen met een langere levensduur.
Door de jaren heen is asfalt met epoxybitumen wereldwijd toegepast als een hoogwaardig verhardingsmateriaal met een lange levensduur, vooral als wegdek op stalen brugdekken. In Nieuw-Zeeland wordt sinds 2007 asfalt met epoxybitumen als deklaag gebruikt. Om tot een balans te komen tussen kosten en prestaties wordt daar sinds 2012 gekozen voor een mengvorm van epoxybitumen met gewone bitumen. Inmiddels ligt er al een miljoen vierkante meter ZOAB met epoxygemodificeerde bitumen. In 2017 is door de TU Delft, provincie Noord-Holland en Dura Vermeer het initiatief genomen om epoxygemodificeerde bitumen in Nederland te introduceren.
Aan de TU Delft zijn vanaf 2018 twee PhD’s gestart om epoxygemodificeerde bitumen voor de Nederlandse situatie te onderzoeken. De laboratoriumonderzoeken bij de TU Delft en Dura Vermeer wijzen uit dat de epoxygemodificeerde mengsels in het algemeen betere eigenschappen hebben dan mengsels met standaard bitumen. Asfalt met epoxygemodificeerde bitumen gaat naar verwachting veel langer mee dan de huidige asfaltmengsels. De langere levensduur leidt tot een lagere milieubelasting. De meerkosten ervan zijn aanzienlijk maar vanwege de langere levensduur zijn de life cycle costs lager. Er is minder hinder voor het verkeer omdat de weg minder vaak hoeft te worden voorzien van een nieuwe asfaltlaag. En tenslotte, als het dan uiteindelijk toch versleten is, kan het goed worden hergebruikt.
Het is echter moeilijk om de langere levensduur te kwantificeren, hier is geen algemeen geaccepteerde meetmethode voor. Om de praktijkeigenschappen te monitoren, zijn direct al diverse proefvakken aangelegd. De betere hechting, de betere prestatie na veroudering (zoals vorst-dooi cycli) en de verbeterde weerstand tegen rafeling kunnen duiden op een langere levensduur. Tot nu toe presteren alle proefvakken naar verwachting. De paper beschrijft de proefvakken en enkele proefresultaten.","SMA; ZOAB; epoxygemodificeerde bitumen; langere levensduur; proefvakken","nl","conference paper","","","","","","","","","","","Pavement Engineering","","",""
"uuid:51f7a793-b86b-43ce-aed7-b7f9e2d1fdb4","http://resolver.tudelft.nl/uuid:51f7a793-b86b-43ce-aed7-b7f9e2d1fdb4","Speed Behaviour and Traffic Safety in Connector Roads Second Curves","Vos, J. (TU Delft Transport and Planning)","","2022","In the Dutch freeway geometric design guidelines, there is a rule that in connector roads, the second curve should not be smaller than the first curve in a connector road, so there is no need to decelerate further inside the connector road. By this it is assumed to match the expectation of the driver. Based on speed profiles in free flow situations and accident data, this research compares speed behaviour and accident risk in second curves which do or do not adhere to this rule and compare those to single curves as a baseline. In general, it can be concluded that the first curve does set expectations for the second curve which is seen in speed behaviour the curve approach phase of the second curve. Furthermore, the ratio of first and second radius is of influence to the speed at curve start of the second curve. The speed inside the curves is not different by using different radii for the first curve and comparable to single curves. The different speed behaviour in second curves for adhering to the design rules or not is however relatively small and does not seem to have an influence on accident risks.","Consecutive curves; Speed behaviour; Accident risk","en","conference paper","","","","","","","","","","","Transport and Planning","","",""
"uuid:3704014b-962c-489b-98e2-74aa84a4bdd7","http://resolver.tudelft.nl/uuid:3704014b-962c-489b-98e2-74aa84a4bdd7","Sustainable and Resilient Coastal Cities (SARCC): Interdisciplinary Flood Protection Strategies for Southend-on-Sea (UK)","Wüthrich, D. (TU Delft Hydraulic Structures and Flood Risk); Teng, Djimin (Student TU Delft); Ke, Q. (TU Delft Hydraulic Structures and Flood Risk); Diaz, Andres (JBA Consulting); Bortolotti, A. (TU Delft Environmental Technology and Design); Iuorio, Luca (TU Delft Environmental Technology and Design); Hooimeijer, F.L. (TU Delft Environmental Technology and Design)","Ortega-Sanchez, Miguel (editor)","2022","In a world influenced by climate change and consequently sea-level rise, extreme floods are expected to become more frequent in the future, representing a serious threat for riverine and coastal settlements. Therefore, flood protection is a large component of climate adaptation and should be closely related to other measures of climate adaptation and societal needs. In this context, SARCC (Sustainable And Resilient Coastal Cities) supports the use of integrated Nature Based Solutions into coastal management, urban planning and design, integrating them into existing infrastructure and flood defenses. This paper will focus on the strategy developed for Southend-On-Sea (UK), presenting the different approaches that were used to manage coastal flooding and make it part of a long-term large scale urban development strategy. In particular, this study estimated overtopping discharges during extreme storm conditions and analyzed their inland propagation using Delft3D FM numerical simulations. Based on these results, mitigation, and adaptation measures as a part of the spatial strategy were developed through a joint collaboration of hydraulic engineers, urban designers, maritime archaeologists and local authorities, pointing out the strength of interdisciplinary approaches for reliable and well-integrated flood protection strategies. Important highlight of the study is how flood risk management is integrated in spatial planning and how hydraulic engineering modeling is directly use as indicators to make spatial design decisions.","Flood protection; hydraulic engineering; urban planning; resilient cities; interdisciplinary approach","en","conference paper","IAHR","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Hydraulic Structures and Flood Risk","","",""
"uuid:9cb1232d-e7ca-4a96-b91d-c52e66d142cc","http://resolver.tudelft.nl/uuid:9cb1232d-e7ca-4a96-b91d-c52e66d142cc","Thrust-Reverser Investigation by Large-Scale 3D PIV","Hysa, I. (Royal Netherlands Aerospace Centre NLR); Tuinstra, Marthijn (Royal Netherlands Aerospace Centre NLR); Lammers, K (Royal Netherlands Aerospace Centre NLR); Scarano, F. (TU Delft Aerodynamics); Sciacchitano, A. (TU Delft Aerodynamics); Gebbink, Roy (German-Dutch Wind Tunnels (DNW)); Harrison, C (Gulfstream Aerospace Corporation)","","2022","Volumetric PIV measurements are performed to study the flow development around a 1:12 scale model of a thrust reverser in a low-speed wind tunnel. The thrust-reverser operates in a freestream flow of 3-5 m/s and with a jet to freestream velocity ratio Vjet/Vinf ranging from 1.5 to 6. Making use of sub-millimeter helium-filled soap bubbles, large-scale PIV measurements are performed that encompass a 3D domain of approximately 0.4 m3. The flow exiting the thrust-reverser features two inclined jets that interact with the wind tunnel free stream, the nacelle, fuselage and ultimately the tunnel walls. Such interactions result in highly three-dimensional patterns and jets large scale fluctuations. The jet reversal characteristics with varying freestream velocity and nozzle pressure ratio are characterized quantitatively. The work demonstrates the feasibility of quantitative inspection of the flow behavior in a three dimensional domain for industrial applications.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-06-01","","","Aerodynamics","","",""
"uuid:eef1d081-5649-44c1-b1ea-c17c439300ad","http://resolver.tudelft.nl/uuid:eef1d081-5649-44c1-b1ea-c17c439300ad","Coupled Roto-Translational Motion of the Heliogyro Applied to Earth-Mars Cyclers","Heiligers, M.J. (TU Delft Astrodynamics & Space Missions); Monechi, Guido (Student TU Delft)","","2022","Solar sailing is a flight-proven low-thrust propulsion technology with strong potential for innovative scientific missions. All previous solar-sail missions employed a solar-sail system design consisting of four triangular sail quadrants supported by deployable booms. As an alternative to such a fixed and flat sail-system design, this paper investigates the dynamics of the heliogyro. The heliogyro is a helicopter-like sail design that utilizes a set of long slender blades which are deployed and flattened by spin-induced tension and whose orientations can be individually controlled. The main advantages of such a design are the easier stowage and deployment, and potentially lower structural mass. Moreover, the individual blade orientation allows higher authority on the forces and moments produced by the sail, but at the same time complicates the heliogyro dynamics. The heliogyro’s translational and rotational motions are strongly coupled, with non-trivial relationships between the control inputs and the forces and moments produced by the sail. The purpose of this paper is to investigate for the first time the coupled roto-translational motion of the heliogyro. As tantalizing application, the paper analyzes the heliogyro’s performance for Earth-to-Mars stopover cycler trajectories, which could aid the exploration of Mars by providing recurrent propellant-less logistics links between Earth and Mars. Two numerical models to describe the heliogyro coupled roto-translational dynamics are derived; a spin-averaged and a non-averaged model. To design time-optimal heliogyro Earth-to-Mars stopover cycler trajectories, a multiple shooting algorithm is employed and the feasibility of the concept is demonstrated. The resulting trajectories are then compared to those of a traditional fixed-area and flat sail-system design, demonstrating that the heliogyro can perform similar trajectories as the traditional fixed-area and flat sailcraft, without the need of an additional system to control the sailcraft attitude.","Heliogyro; Solar sailing; Cycler; Mars; Coupled attitude-orbital motion","en","conference paper","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:100917c8-dd69-4bb7-8bf9-741cd4d9c17a","http://resolver.tudelft.nl/uuid:100917c8-dd69-4bb7-8bf9-741cd4d9c17a","Identification of Near-Earth Asteroids Using Multi-Spacecraft Systems","Heiligers, M.J. (TU Delft Astrodynamics & Space Missions); Guo, J. (TU Delft Space Systems Egineering); Vermeulen, J.G.P. (Student TU Delft)","","2022","Through numerous survey efforts over the past decades, humanity has achieved substantial knowledge of the near-Earth asteroid (NEA) population. Nevertheless, survey completeness at small asteroid sizes is still limited, and unannounced impacts, such as the 2013 Chelyabinsk meteor, are common enough to warrant further identification efforts. Because of the limitations of Earth-based surveys, several works have already investigated a NEA cataloguing survey from deep space using a single spacecraft. We propose an extension to this idea, where a multi-spacecraft system in orbit around the Sun is utilized to perform such a survey. This offers several distinct advantages over a single spacecraft system, such as a decrease in blind spots due to solar interference, faster asteroid orbit determination through triangulation, and the possibility for more advanced search strategies.\\
\noindent A survey simulation tool was developed to predict the expected survey completeness for a range of design parameters of the survey using a sample population of NEAs. Investigated parameters include the number of spacecraft; their payload, either visual light or thermal infrared telescopes; and the semi-major axis, eccentricity and mean anomaly of their heliocentric orbits. At each timestep in the 5-year simulation, the tool calculates the target and background signal from each asteroid to each spacecraft. From these, the signal-to-noise ratio is determined which is used in a probabilistic detection model. Lastly, if sufficient detections are established in a 90-day period, the asteroid is labeled as identified.\\
\noindent Initially, co-orbital configurations of spacecraft are studied, where all spacecraft are located in the same orbit, but spaced apart. It is found that a circular orbit with the spacecraft distributed evenly across the orbit provides the best results and that thermal infrared telescopes outperform visual light telescopes in all conditions. The optimal semi-major axis increases with increasing number of spacecraft, starting at $0.9\mathrm{AU}$ for a single spacecraft, increasing by $0.03\mathrm{AU}$ per additional spacecraft. The findings are supported by a novel hypothesis that relates the expected survey completeness to the volume of space in which NEAs at varying limiting magnitudes can be effectively detected. Non-co-orbital arrangements are investigated using a preliminary Bayesian optimization process and so far indicate no significant performance increase compared to the co-orbital configurations. As a general conclusion, performance predictions indicate that a multi-spacecraft system of 2-3 spacecraft will identify 40-60\% more NEAs than a single spacecraft, with strong diminishing returns for larger numbers of spacecraft.","Near-Earth asteroids; multi-spacecraft systems; detection,; identification","en","conference paper","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:ee254800-a2e2-4a49-bdbf-432c44fa7641","http://resolver.tudelft.nl/uuid:ee254800-a2e2-4a49-bdbf-432c44fa7641","Trajectory optimization of a planetary sunshade around L1","Heiligers, M.J. (TU Delft Astrodynamics & Space Missions); Oggionni, F. (Student TU Delft); Sanchez, Joan-Pau (ISAE-SUPAERO)","","2022","A planetary sunshade is a large, reflecting disk built to shield the Earth from a small fraction of solar irradiance and partly compensate global warming caused by greenhouse gas emissions. As a specific form of solar geoengineering, the sunshade is an emergency solution that would be implemented to prevent catastrophic climate change, while working towards the net-zero emission goal. In this paper, a dynamic sunshade is proposed. The motion of the sunshade is designed as a combination of static permanence at two equilibrium points above and below the ecliptic plane to shade the poles and a time-optimal transfer trajectory to connect these equilibrium points without overshading the tropical regions. Such a system is capable of not only reducing the global mean surface temperature anomaly, but also minimizing regional climate changes by tailoring the sunshade’s motion according to climate requirements, which is the primary goal of this work. A simplified climate model is used to evaluate the results of a given shading pattern, directly related to the sunshade’s trajectory. A dynamic sunshade with a radius of 1434 km and orbiting in the vicinity of the Sun-Earth L1 point is able to reduce the global mean surface temperature from 16.39˝C (scenario with 680 ppm of atmospheric CO2, double the amount with respect to the pre-industrial era) to 14.13˝C until equilibrium is reached. It also reduces the polar mean surface temperature (for latitudinal bands above 65˝) by more than 2˝C with respect to a scenario without sunshade and by 0.06˝C with respect to a static sunshade at the displaced L1 point. The optimal results are achieved when the sunshade is located at a distance equal to 30% of the Earth’s radius above and below the ecliptic plane. In addition, the transfers between the equilibrium points start respectively at day 56 and day 250, both measured from the 1st of January.","","en","conference paper","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:ba3fb86c-8198-4c5a-84f7-1c96b0dda7a2","http://resolver.tudelft.nl/uuid:ba3fb86c-8198-4c5a-84f7-1c96b0dda7a2","Seismic monitoring of Nature's Heat Geothermal Reservoir in Kwintsheul (Netherlands)","Naranjo, D. (TU Delft Applied Geophysics and Petrophysics); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Polychronopoulou, Katerina (Seismotech S.A.); De Bas, Mathieu (Gastreatment Services B.V.); Weemstra, C. (TU Delft Applied Geophysics and Petrophysics; Royal Netherlands Meteorological Institute (KNMI))","","2022","In 2018, the geothermal project Nature's Heat started its operations to supply heat to 64 hectares of greenhouses in Kwintsheul, Netherlands. The operation involves the extraction and reinjection of geothermal fluids at a depth of about 2.4km. Several studies suggested that geothermal operations in these parts of The Netherlands are unlikely to generate felt seismic events (M>2.0); nevertheless, adequate seismic monitoring techniques are essential to guarantee sustainable and safe use of the Dutch subsurface. Between July and October 2019, Delft University of Technology, Seismotech (Greece), and Gastreatment Services BV installed a passive seismic network to monitor the seismic activity over Nature's Heat geothermal reservoir. The seismic network consists of 30 three-component short-period seismic sensors placed at inter-station distances of approximately 150 m along two crossing lines. A challenge for seismic monitoring systems in urban areas is the high level of background noise. In Kwintsheul, anthropogenic noise dominates the spectrograms at frequencies higher than 2 Hz. Despite these high background-noise levels, a seismic event of ML = 0.0 (duration magnitude Md 0.16) was recorded by all seismometers of the array on July 14, 2019. To understand the relation of the event and improve the safety of the geothermal operation, we are developing a probabilistic monitoring and inversion scheme. This study aims to improve the seismic network's detection and hypocentre-determination capabilities and verifies via template matching if the detected seismic event is repeating over time (possibly at the background noise level).","Passive seismology; Seismic monitoring; Dutch Geothermal Doublet; Geothermal Energy","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:a25cc88b-979b-4928-9adb-35b44b02de3e","http://resolver.tudelft.nl/uuid:a25cc88b-979b-4928-9adb-35b44b02de3e","Ship-cargo Interaction for Vessels Carrying Large Wind Turbine Monopiles","Mikail, Davey (Jumbo Maritime); Teunis, Menko (Jumbo Maritime); Grammatikopoulos, A. (TU Delft Ship and Offshore Structures)","","2022","The increasing demand for decarbonisation to achieve the green transition leads to a higher required capacity for all types of renewable energy farms, including offshore wind. Due to the large required spacing between turbines to maximise their efficiency, their individual size is constantly increasing. A direct consequence for heavy lift and transport ships is that a decreasing number of monopiles can be transported in the cargo hold due to space restrictions. In fact, in many cases monopiles do not fit in the cargo hold at all and are attached to the main deck instead. When lashed on the deck, the monopiles span across most of the length of the ship, and their bending stiffness is significant, as they are designed to withstand harsh ocean conditions. This raises the concern that, depending on the lashing method, the monopiles can have significant effects on the dynamic behaviour of the ship’s hull. In this investigation, the ship’s hull and the monopiles are modelled as a coupled system with appropriate boundary conditions, and the effects of the number of monopiles and lashing method on the vertical bending responses of the vessel are quantified.","heavy-lift ship; offshore wind; ship-cargo interaction; vertical bending","en","conference paper","","","","","","","","","","","Ship and Offshore Structures","","",""
"uuid:84b31514-fefb-40f4-989e-be8148c7d183","http://resolver.tudelft.nl/uuid:84b31514-fefb-40f4-989e-be8148c7d183","“Unexpected” domesticity: Housing design of OMA’s IJ-plein masterplan project in Amsterdam","Martinez-Millana, Elena (TU Delft Space & Type; Universidad Politécnica de Madrid)","","2022","This article focuses on the OMA’s IJ-plein master plan located on a former shipping wharf in Amsterdam North (1980-1988). Particularly in the domesticity of the different dwellings, which were designed by six other offices, including the one by Koolhaas, and were 100% for social housing. This project is considered one of the turning points of what finally culminated in the 1990s’ Super Dutch. Several authors have studied this project, particularly Bernard Leupen, author of the book “IJ-Plein. Een speurotcht naar niewusw compositiorische mideelen” [IJ-Plein. A search for new compositional idea] (010, 1989). However, as Leupen himself pointed out, his study lacks specific issues, such as evaluating dwellings and their use. It was published immediately after the project ended. More recently, other authors have studied the project, such as Christophe Van Gerrewey in “A Weissenhofsiedlung for Amsterdam” (Anyone, 2018) or Lara Schrijver in “Stubborn Modernity, IJ-plein Amsterdam” (OASE, 2015). While these are significant contributions, they do not delve into the design of the dwellings, developing questions related to commissioning, process, or precedents. This study offers a new analysis of the project with an emphasis on housing design: not only on their novel interpretation of modern tradition at the time of their conception, but on the inherent and unexpected domesticity of their typological proposals today.","IJ-plein; domesticity; social housing; HAT-unit; Dutch modernism; 1980’s","en","conference paper","","","","","","","","","","","Space & Type","","",""
"uuid:0965c085-4efc-4720-941b-51fdf31a9b3a","http://resolver.tudelft.nl/uuid:0965c085-4efc-4720-941b-51fdf31a9b3a","Multi Robot Surveillance and Planning in Limited Communication Environments","Inna Kedege, V. (Student TU Delft); Czechowski, A.T. (TU Delft Interactive Intelligence); Stellingwerff, Ludo (Almende B.V.); Oliehoek, F.A. (TU Delft Interactive Intelligence)","Rocha, Ana Paula (editor); Steels, Luc (editor); van den Herik, Jaap (editor)","2022","Distributed robots that survey and assist with search & rescue operations usually deal with unknown environments with limited communication. This paper focuses on distributed & cooperative multi-robot area coverage strategies of unknown environments, having constrained communication. Due to restricted communication there is performance loss for the multi-robot team, in terms of increased number of steps to cover an area. From simulation results, it is shown that enabling partial communication amongst robots can recover a significant amount of performance by decreasing the number of steps required for area coverage. Additionally it is found that partially communicating robots that predict the paths of peers do not perform significantly different from robots that are only partially communicating. This is found due to predictions spreading the robots away from one another, which reduces meeting times and instances of inter-robot data sharing.","Agents; Autonomous Systems; Artificial Intelligence; Multi-agent Systems; Robotic Exploration; Planning","en","conference paper","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:c5bf2460-95fb-492a-9d91-c1c8d67835e4","http://resolver.tudelft.nl/uuid:c5bf2460-95fb-492a-9d91-c1c8d67835e4","Managing and Governing Integrated Research Programmes: Lessons from Organizational Studies","Wever, Mark (AgResearch, Christchurch); Romera, Alvaro (AgResearch, Christchurch); Wognum, Nel (TU Delft Air Transport & Operations); Shah, Munir (AgResearch, Christchurch)","Moser, Bryan R. (editor); Moser, Bryan R. (editor); Koomsap, Pisut (editor); Stjepandic, Josip (editor)","2022","To solve complex problems, researchers are increasingly working in large, integrated research programmes. Integration of projects within a single programme rather than supporting a range of individual, more autonomous projects, is supposed to lead to several benefits, including: creating and enhancing synergies amongst projects, improving collaboration and knowledge exchanges amongst researchers from different disciplines, realizing efficiency gains, and generating a higher return on investments in R&D. However, often these advantages are insufficiently realized in practice and large-scale integrated programs instead incur high overhead costs, frustrate researchers, and realize insufficient integration and collaboration. Why do integrated programmes sometimes fail to realize their lofty ambitions? In the present paper, we use the literature on governance, management studies, and organizational economics to analyse several key problems plaguing integrated programmes. We argue that these problems can be linked to the implementation of programme management systems and coordination mechanisms that are poorly aligned with the unique characteristics of integrated programmes. We develop guidelines for funding agencies and programme managers to implement systems and mechanisms that are a better fit for purpose and that enhance collaboration.","complex problems; governance; integrated research programmes; programme management challenges; transdisciplinary collaboration","en","conference paper","IOS Press","","","","","","","","","","Air Transport & Operations","","",""
"uuid:6e26b4d9-b64f-453c-93a9-8865fca9816c","http://resolver.tudelft.nl/uuid:6e26b4d9-b64f-453c-93a9-8865fca9816c","Characterizing the spatio-temporal qubit traffic of a quantum intranet aiming at modular quantum computer architectures","Rodrigo, Santiago (Universitat Politecnica de Catalunya); Spanò, Domenico (University “Mediterranea” of Reggio Calabria); Bandic, M. (TU Delft QCD/Feld Group; TU Delft QCD/Almudever Lab); Abadal, Sergi (Universitat Politecnica de Catalunya); van Someren, J. (TU Delft QCD/Feld Group); Ovide, Anabel (University of Tartu); Feld, S. (TU Delft Quantum Circuit Architectures and Technology); Almudéver, Carmen G. (Universitat Politécnica de Valencia); Alarcón, Eduard (Universitat Politecnica de Catalunya)","","2022","Quantum many-core processors are envisioned as the ultimate solution for the scalability of quantum computers. Based upon Noisy Intermediate-Scale Quantum (NISQ) chips interconnected in a sort of quantum intranet, they enable large algorithms to be executed on current and close future technology. In order to optimize such architectures, it is crucial to develop tools that allow specific design space explorations. To this aim, in this paper we present a technique to perform a spatio-temporal characterization of quantum circuits running in multi-chip quantum computers. Specifically, we focus on the analysis of the qubit traffic resulting from operations that involve qubits residing in different cores, and hence quantum communication across chips, while also giving importance to the amount of intra-core operations that occur in between those communications. Using specific multi-core performance metrics and a complete set of benchmarks, our analysis showcases the opportunities that the proposed approach may provide to guide the design of multi-core quantum computers and their interconnects.","Multicore Quantum Architectures; Network Performance Analysis; Network-on- Chip; Quantum Computing; Traffic Characterization","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-28","","","QCD/Feld Group","","",""
"uuid:261a31d0-66a2-4eba-b6e2-5dc950e21bad","http://resolver.tudelft.nl/uuid:261a31d0-66a2-4eba-b6e2-5dc950e21bad","Barriers to Openly Sharing Government Data: Towards an Open Data-adapted Innovation Resistance Theory","Nikiforova, Anastasija (University of Tartu); Zuiderwijk-van Eijk, A.M.G. (TU Delft Information and Communication Technology)","Amaral, Luis (editor); Soares, Delfina (editor); Zheng, Lei (editor)","2022","Open Government Data (OGD) is a fundamental source for sustainability-oriented and data-driven innovation by citizens, companies, and other actors. However, many government agencies are reluctant to openly share their data with the public. While the resistance of public organizations to openly share government data has been investigated in previous research, most of these studies are focused on the reuse of open government data by companies and citizens. There is a paucity of research applying theoretical models to study the provision of OGD, and more specifically, the resistance of public organizations to make government data publicly available. We argue that Innovation Resistance Theory (IRT), which considers both functional and psychological factors, can be used to study OGD barriers, where OGD is seen as a source of innovation. This study aims to develop an OGD-adapted IRT model to empirically identify predictors affecting public agencies' resistance to openly sharing government data. Based on a review of the literature on both IRT research and barriers associated with open data sharing by public agencies, we develop an initial version of the model. In our future research, we plan to conduct exploratory interviews in multiple countries to refine the model. Ultimately, we will validate the refined model to study the resistance of public authorities to openly sharing government data in a quantitative study.","Barrier; Innovation Resistance Theory; OGD; Open data; Open Government Data","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-18","","","Information and Communication Technology","","",""
"uuid:fa95e209-915e-4dce-914a-adfaeb7d121a","http://resolver.tudelft.nl/uuid:fa95e209-915e-4dce-914a-adfaeb7d121a","Bio-based plastics in durable applications: The future of sustainable product design? A design review","Bos, P. (TU Delft Circular Product Design); Bakker, C.A. (TU Delft Circular Product Design); Balkenende, R. (TU Delft Circular Product Design); Sprecher, B. (TU Delft Design for Sustainability)","Lockton, Dan (editor); Lenzi, Sara (editor); Hekkert, Paul (editor); Oak, Arlene (editor); Sádaba, Juan (editor); Lloyd, Peter (editor)","2022","This design review evaluates the use of bio-based plastics in durable consumer products. The main question is: how does the use of bio-based plastics influence the product’s design, functionality, marketing & communication, and sustainability? Although higher material prices would lead one to expect that higher value applications would be targeted, research shows bio-based plastics are mainly used in short-lived applications like packaging. This study investigates their use in durable consumer products through a design review. The results indicate that bio-based plastic usage is still in its early days in durable products. Bio-based plastics appear to be utilized as straightforward replacement of fossil-based plastic. Designers are not yet using the unique properties of bio-based plastics in the design of their products. Companies mainly exploit the green image of bio-based plastics in their marketing & communication. Their focus is on the renewable feedstock and not on sourcing, or on recovery at end-of-life.","Bio-based plastic; Product design; Design review; Circular Economy (CE)","en","conference paper","","","","","","","","","","","Circular Product Design","","",""
"uuid:9409e522-72f8-407b-8498-2d72c24fd959","http://resolver.tudelft.nl/uuid:9409e522-72f8-407b-8498-2d72c24fd959","Myocardial Approximate Spin-lock Dispersion Mapping using a Simultaneous T2 and TRAFF2 Mapping at 3T MRI","Tourais, Joao (TU Delft ImPhys/Medical Imaging); Demirel, Omer Burak (University of Minnesota); Tao, Q. (TU Delft ImPhys/Medical Imaging); Pierce, Iain (University College London (UCL)); Thornton, George D. (University College London (UCL)); Treibel, Thomas A. (University College London (UCL)); Akcakaya, Mehmet (University of Minnesota); Weingärtner, S.D. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Medical Imaging; Holland Particle Therapy Centre; Erasmus MC; Leiden University Medical Center)","","2022","Ischemic heart disease (IHD) is one of the leading causes of death worldwide. Myocardial infarction (MI) represents a third of all IHD cases, and cardiac magnetic resonance imaging (MRI) is often used to assess its damage to myocardial viability. Late gadolinium enhancement (LGE) is the current gold standard, but the use of gadolinium-based agents limits the clinical applicability in some patients. Spin-lock (SL) dispersion has recently been proposed as a promising non-contrast biomarker for the assessment of MI. However, at 3T, the required range of SL preparations acquired at different amplitudes suffers from specific absorption rate (SAR) limitations and off-resonance artifacts. Relaxation Along a Fictitious Field (RAFF) is an alternative to SL preparations with lower SAR requirements, while still sampling relaxation in the rotating frame. In this study, a single breath-hold simultaneous TRAFF2 and T2 mapping sequence is proposed for SL dispersion mapping at 3T. Excellent reproducibility (coefficient of variations lower than 10%) was achieved in phantom experiments, indicating good intrascan repeatability. The average myocardial TRAFF2, T2, and SL dispersion obtained with the proposed sequence (68.0±10.7 ms, 44.0±4.0 ms, and 0.4±0.2 ×10-4 s2, respectively) were comparable to the reference methods (62.7±11.7 ms, 41.2±2.4 ms, and 0.3±0.2x 10-4s2, respectively). High visual map quality, free of B0 and B1+ related artifacts, for T2, TRAFF2, and SL dispersion maps were obtained in phantoms and in vivo, suggesting promise in clinical use at 3T. Clinical relevance - and imaging promises non-contrast assessment of scar and focal fibrosis in a single breath-hold using approximate spin-lock dispersion mapping.","","en","conference paper","","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:a9014b7f-b936-4c35-a250-1993360e7097","http://resolver.tudelft.nl/uuid:a9014b7f-b936-4c35-a250-1993360e7097","Assessment of the spatial variability of a Croatian flood embankment using the cone penetration test","Reale, C. (University of Bath); Kovačević, M. S. (University of Zagreb); Bacic, M. (University of Zagreb); Gavin, Kenneth (TU Delft Geo-engineering)","Gottardi, Guido (editor); Tonni, Laura (editor)","2022","Understanding how soil varies spatially is necessary in order to accurately quantify the reliability of geotechnical infrastructure. For long linear infrastructure such as flood embankments, incorporating vertical and horizontal scales of fluctuation can have a significant impact on stability assessments. This paper presents preliminary results and discussion from a field test designed to determine the vertical and horizontal scales of fluctuation of a Croatian flood embankment. A series of 15 CPTUs were carried out over a 200m length of the embankment with a Multi-channel Analysis of Surface Waves (MASW) survey done on the same section. CPT spacing was designed specifically to determine horizontal variation with multiple CPTs carried out in close proximity to each other. There was significant variation in soil stratigraphy over the embankment section with pockets of increased strength and stiffness showing up in the MASW and CPT results. This paper discusses dealing with horizontal correlation in challenging deposits and presents initial findings from the underlying sand layer.","","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","","","","","","Geo-engineering","","",""
"uuid:3cc9ecfe-0d33-49dc-bc93-77217fa01b46","http://resolver.tudelft.nl/uuid:3cc9ecfe-0d33-49dc-bc93-77217fa01b46","Adiabatic spin-lock preparations enable robust in vivo cardiac T1ρ-mapping at 3T","Coletti, C. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Computational Imaging); Tourais, Joao (TU Delft ImPhys/Medical Imaging); Ploem, T.W. (TU Delft ImPhys/Medical Imaging); van de Steeg-Henzen, Christal (Leiden University Medical Center; Holland Particle Therapy Centre; Erasmus MC; External organisation); Akcakaya, Mehmet (University of Minnesota); Weingärtner, S.D. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Medical Imaging)","","2022","Magnetic Resonance Imaging (MRI) is the clinical gold standard for the assessment of myocardial viability but requires injection of exogenous gadolinium-based contrast agents. Recently, T1ρ-mapping has been proposed as a fully non-invasive alternative for imaging myocardial fibrosis without the need for contrast agent injection. However, its applicability at high fields is hindered by susceptibility to MRI system imperfections, such as inhomogeneities in the B0 and B1+ fields. In this work we propose a single breath-hold ECG-triggered single-shot bSSFP sequence to enable T1ρ-mapping in vivo at 3T. Adiabatic T1ρ preparations are evaluated to reduce B0 and B1+ sensitivity in comparison with conventional spin-lock (SL) modules. Numerical Bloch simulations were performed to identify optimal parameters for the adiabatic pulses. Experiments yield T1ρ values in the myocardium equal to 48.13±54.08 ms for the best adiabatic preparation and 16.01±20.75 ms for the reference non-adiabatic SL, with 26.91% against 89.74% relative difference in T1ρ values across two shimming conditions. Both phantom and in vivo measurements show increased myocardium/blood contrast and improved resilience against system imperfections compared to non-adiabatic T1ρ preparations, enabling the use at 3T. Clinical relevance- Adiabatically-prepared T1ρ-mapping sequences form a promising candidate for non-contrast evaluation of ischemic and non-ischemic cardiomyopathies at 3T.","","en","conference paper","","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:18f3be6d-76da-4bc2-9740-a1f3a0105274","http://resolver.tudelft.nl/uuid:18f3be6d-76da-4bc2-9740-a1f3a0105274","Push-the-Boundary: Boundary-Aware Feature Propagation for Semantic Segmentation of 3D Point Clouds","Du, S. (TU Delft Urban Data Science); Ibrahimli, N. (TU Delft Urban Data Science); Stoter, J.E. (TU Delft Urban Data Science); Kooij, J.F.P. (TU Delft Intelligent Vehicles); Nan, L. (TU Delft Urban Data Science)","Ceballos, Cristina (editor)","2022","Feedforward fully convolutional neural networks currently dominate in semantic segmentation of 3D point clouds. Despite their great success, they suffer from the loss of local information at low-level layers, posing significant challenges to accurate scene segmentation and precise object boundary delineation. Prior works either address this issue by post-processing or jointly learn object boundaries to implicitly improve feature encoding of the networks. These approaches often require additional modules which are difficult to integrate into the original architecture. To improve the segmentation near object boundaries, we propose a boundary-aware feature propagation mechanism. This mechanism is achieved by exploiting a multitask learning framework that aims to explicitly guide the boundaries to their original locations. With one shared encoder, our network outputs (i) boundary localization, (ii) prediction of directions pointing to the object's interior, and (iii) semantic segmentation, in three parallel streams. The predicted boundaries and directions are fused to propagate the learned features to refine the segmentation. We conduct extensive experiments on the S3DIS and SensatUrban datasets against various baseline methods, demonstrating that our proposed approach yields consistent improvements by reducing boundary errors. Our code is available at https://github.com/shenglandu/PushBoundary.","point cloud compression; location awareness; three-dimensional displays; semantic segmentation; semantics; self-supervised learning; encoding","en","conference paper","IEEE","","","","","This work was supported by the 3D Urban Understanding Lab funded by the TU Delft AI Initiative. Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-22","","","Urban Data Science","","",""
"uuid:7b7f034b-218a-47cf-ad4b-7a1e00ee158b","http://resolver.tudelft.nl/uuid:7b7f034b-218a-47cf-ad4b-7a1e00ee158b","A CPT-based method for monotonic loading of large diameter monopiles in sand","Bascunan, S. (Rambøll); Kaltekis, K. (Fugro); van Dijk, B. (ARCADIS Nederland); Gavin, Kenneth (TU Delft Geo-engineering)","Gottardi, Guido (editor); Tonni, Laura (editor)","2022","A joint academia-industry project, the Pile Soil Analysis (PISA) project, resulted in an empirical method for assessing the monotonic lateral loading response of large diameter monopiles. The method predicts four soil reactions, namely the distributed load and the distributed moment along the pile shaft, the pile base shear and the pile base moment. The method considers pile load test data and 3D numerical modelling. A 1D framework allows prediction of the four soil reactions. In this paper, a CPT-based approach is proposed to derive the four soil reaction components for use in a 1D model for conceptual design of monopiles in sand subject to monotonic lateral loading. The approach relies on results from 3D finite element analyses that were performed considering soil conditions for a sand site used in the PISA project (Dunkirk site). The results are compared to pile load test data from the PISA project, showing good agreement, particularly for load levels related to the serviceability limit state.","","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","","","","","","Geo-engineering","","",""
"uuid:5ee2099f-0b6b-4fd4-a602-5b2e69c576f2","http://resolver.tudelft.nl/uuid:5ee2099f-0b6b-4fd4-a602-5b2e69c576f2","Signal-Intensity Informed Multi-Coil MRI Encoding Operator for Improved Physics-Guided Deep Learning Reconstruction of Dynamic Contrast-Enhanced MRI","Demirel, Omer Burak (University of Minnesota); Yaman, Burhaneddin (University of Minnesota); Moeller, Steen (University of Minnesota); Weingärtner, S.D. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Medical Imaging; University of Minnesota); Akcakaya, Mehmet (University of Minnesota)","","2022","Dynamic contrast enhanced (DCE) MRI acquires a series of images following the administration of a contrast agent, and plays an important clinical role in diagnosing various diseases. DCE MRI typically necessitates rapid imaging to provide sufficient spatio-temporal resolution and coverage. Conventional MRI acceleration techniques exhibit limited image quality at such high acceleration rates. Recently, deep learning (DL) methods have gained interest for improving highly-accelerated MRI. However, DCE MRI series show substantial variations in SNR and contrast across images. This hinders the quality and generalizability of DL methods, when applied across time frames. In this study, we propose signal intensity informed multi-coil MRI encoding operator for improved DL reconstruction of DCE MRI. The output of the corresponding inverse problem for this forward operator leads to more uniform contrast across time frames, since the proposed operator captures signal intensity variations across time frames while not altering the coil sensitivities. Our results in perfusion cardiac MRI show that high-quality images are reconstructed at very high acceleration rates, with substantial improvement over existing methods.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Computational Imaging","","",""
"uuid:2003d80c-4305-4f26-bc6c-d027533cbb1a","http://resolver.tudelft.nl/uuid:2003d80c-4305-4f26-bc6c-d027533cbb1a","Uncertainty in Satellite Remote Sensing Derived Evapotranspiration Estimation: Current Status and Assessment Methods","Tran, N.B. (TU Delft Water Resources; IHE Delft Institute for Water Education); Van Der Kwast, Johannes (IHE Delft Institute for Water Education); Mul, Marloes (IHE Delft Institute for Water Education); Seyoum, S.D. (TU Delft Water Resources; IHE Delft Institute for Water Education); Uijlenhoet, R. (TU Delft Water Resources); Jewitt, G.P.W. (TU Delft Water Resources; IHE Delft Institute for Water Education)","Ortega-Sánchez, Miguel (editor)","2022","Evapotranspiration (ET), a key variable in both water and energy cycles. It is very challenging to measure or estimate in large regions. Among many approaches to estimate ET indirectly (e.g. through hydrological modelling), models that are based on satellite remote sensing data (RS) are increasingly being used. However, the RS-based models inherit uncertainty from many sources, such as the model’s algorithm and parameters, input satellite data, and processing techniques. It is challenging to assess this uncertainty due to limitations of validation data, high volume and high dimensionality of RS data. Many studies have evaluated uncertainty in RS-based estimation of ET using different methods and reference data. The suitability of methods and reference data subsequently affect the validity of these evaluations. Therefore, it is necessary to have an overview of different evaluation methods and their uses. This study aimed to systematically review original research papers that assessed uncertainty or accuracy of RS-ET model or data products. We categorized these papers and quantified based on (i) spatial and temporal scale of ET estimation, (ii) types of uncertainty, and (iii) methods used to assess uncertainty. Studies have been geographically concentrated in North Asia, North America, and Europe. Most studies used the validation method, which quantifies the discrepancy between pixel-based ET estimation with an in-situ estimation. Although a standardized validation approach for satellite-based ET estimates is not yet ready, most validation studies employed Eddy Covariance (EC) flux towers for reference estimation at field-scale. In regions where in-situ measurements are limited, many studies use the residual of the water balance as reference. However, few studies considered uncertainty in the reference estimation and mismatch of spatial and temporal scales. For monitoring agricultural fields, most RS-ET methods have been reported with high accuracy. When applying these methods to larger extent, additional assessments are required to better inform data users of the quality of RS-ET estimation. These include cross-validation, sensitivity, and uncertainty analyses. Overall, this review showed the progress in evapotranspiration estimation using satellite data in terms of uncertainty assessment.","Evapotranspiration; Remote sensing; Satellite data; Surface energy balance models; Uncertainty","en","conference paper","IAHR","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-24","","","Water Resources","","",""
"uuid:10f5244b-8785-4f82-ac98-7b494cc6459f","http://resolver.tudelft.nl/uuid:10f5244b-8785-4f82-ac98-7b494cc6459f","Learning-Based Orchestration for Dynamic Functional Split and Resource Allocation in vRANs","Murti, Fahri Wisnu (University of Oulu); Ali, Samad (University of Oulu); Iosifidis, G. (TU Delft Embedded Systems); Latva-aho, Matti (University of Oulu)","","2022","One of the key benefits of virtualized radio access networks (vRANs) is network management flexibility. However, this versatility raises previously-unseen network management challenges. In this paper, a learning-based zero-touch vRAN orchestration framework (LOFV) is proposed to jointly select the functional splits and allocate the virtualized resources to minimize the long-term management cost. First, testbed measurements of the behaviour between the users’ demand and the virtualized resource utilization are collected using a centralized RAN system. The collected data reveals that there are non-linear and non-monotonic relationships between demand and resource utilization. Then, a comprehensive cost model is proposed that takes resource overprovisioning, declined demand, instantiation and reconfiguration into account. Moreover, the proposed cost model also captures different routing and computing costs for each split. Motivated by our measurement insights and cost model, LOFV is developed using a model-free reinforcement learning paradigm. The proposed solution is constructed from a combination of deep Q-learning and a regression-based neural network that maps the network state and users’ demand into split and resource control decisions. Our numerical evaluations show that LOFV can offer cost savings by up to 69% of the optimal static policy and 45% of the optimal fully dynamic policy.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-08","","","Embedded Systems","","",""
"uuid:eeb556cf-9beb-4b8a-82c5-73f1a36d8b6c","http://resolver.tudelft.nl/uuid:eeb556cf-9beb-4b8a-82c5-73f1a36d8b6c","Reliability assessment of existing reinforced concrete bridges and viaducts through proof load testing","de Vries, R. (TU Delft Concrete Structures; TNO); Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Steenbergen, R.D.J.M. (TNO; Universiteit Gent); Fennis, S.A.A.M. (Rijkswaterstaat)","Casas, Joan-Ramon (editor); Frangopol, Dan M. (editor); Turmo, Jose (editor)","2022","In the assessment of existing infrastructure performing only a desk study is often not sufficient to determine the structural reliability of a bridge or viaduct. For concrete structures gathering field data by performing a proof load test offers detailed information about the structural performance. However, the relation between the magnitude of the load and the structural reliability is not immediately clear. In the present study the challenges in determining the target load and the uncertainties that require attention are described. An approach is presented that addresses the time-dependent character of the structural reliability, the need for accurate stop-criteria, the knowledge level and spatial uncertainty. It is shown how both past trafic loads and a proof load test may contribute to the proven strength of a structure. The described methodology provides a starting point towards a flexible approach for proof load testing in which structure-speciic information and requirements are considered.","Deterioration; time-dependence; reliability; proof loading; load testing; existing structures","en","conference paper","","","","","","","","","","","Concrete Structures","","",""
"uuid:17ea23c7-ab95-474d-a24c-7ddca5cf9597","http://resolver.tudelft.nl/uuid:17ea23c7-ab95-474d-a24c-7ddca5cf9597","Aerodynamic Performance Benefits of Over-the-Wing Distributed Propulsion for Hybrid-Electric Transport Aircraft","de Vries, R. (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2022","The goal of this study is to analyze how the aero-propulsive benefits of an over-the-wing distributed-propulsion (OTWDP) system at component level translate into an aero-propulsive benefit at aircraft level, and to determine whether this enhancement is sufficient to lead to a reduction in overall energy consumption. For this, the preliminary sizing of a partialturboelectric regional passenger aircraft is performed, and its performance metrics are compared to a conventional twin-turboprop reference for the 2035 timeframe. The changes in lift, drag, and propulsive efficiency due to the OTWDP system are estimated using a simplified numerical method, which is validated with experimental data. For a typical cruise condition and the baseline geometry evaluated in the experiment, the numerical method estimates a 45% increase in the local sectional lift-to-drag ratio of the wing, at the expense of a 12% reduction in propeller efficiency. For an aircraft with 53% of the wing span covered by the OTWDP system, this aerodynamic coupling is found to increase the average aero-propulsive efficiency of the aircraft by 9%, for a 1500 nmi mission. Approximately 4% of this benefit is required to offset the losses in the electrical drivetrain. The reduction in fuel weight compensates the increase in powertrain weight, leading to a take-off mass comparable to the reference aircraft. Overall, a 5% reduction in energy consumption is found, albeit with a ±5% uncertainty due to uncertainty in the aerodynamic modeling.","","en","conference paper","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:7f68c1f5-efef-467e-8cd9-087bee29782e","http://resolver.tudelft.nl/uuid:7f68c1f5-efef-467e-8cd9-087bee29782e","Advancing Cross-Organizational Collaboration in Aircraft Development","Baalbergen, Erik H. (Royal Netherlands Aerospace Centre NLR); Vankan, Jos (Royal Netherlands Aerospace Centre NLR); Boggero, Luca (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Bussemaker, J.H. (TU Delft Flight Performance and Propulsion; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Lefebvre, Thierry (Université de Toulouse); Beijer, Bastiaan (KE-works); Bruggeman, A.M.R.M. (TU Delft Flight Performance and Propulsion); Mandorino, Massimo (Università degli Studi di Napoli Federico II)","","2022","Collaboration is a key enabler for the development of modern aircraft and its systems and components. Because of the highly complex and integrated nature of many aircraft systems, effective collaboration requires well-organized, multi-disciplinary, multi-engineer, and multi-organization development processes. These processes require data-driven and computer-supported tools and methodologies. Collaboration may seem as simple as working together, thereby adopting standards and tools, and freely sharing data, information, and knowledge. However, in the development of complex systems such as aircraft, collaboration is not that straightforward. For example, aircraft engineers across disciplines and organizations commonly face challenges such as firewalls, data and tool heterogeneity, and intellectual property protection. In this paper, we review the collaboration challenges, describe how the EU-funded research project AGILE 4.0 addresses these challenges, and detail the application of, and experiences with, AGILE 4.0’s collaboration-enabling technologies.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:243efc55-d8d7-4fde-9cdc-2bc379b5a20d","http://resolver.tudelft.nl/uuid:243efc55-d8d7-4fde-9cdc-2bc379b5a20d","Development of the SmartAnswer Demonstrator: a Didactic Wind Tunnel for Aeroacoustic Applications∗","Tamaro, S. (von Karman Institute for Fluid Dynamics); Zamponi, R. (TU Delft Wind Energy; TU Delft Novel Aerospace Materials; von Karman Institute for Fluid Dynamics); Schram, C. (von Karman Institute for Fluid Dynamics)","","2022","A small didactic wind tunnel demonstrator has been designed and manufactured at the von Karman Institute for Fluid Dynamics to illustrate the physical principles at stake in flow-induced noise generation, offer an audible perception of the effectiveness of noise-mitigation strategies, and serve as a practical test bench for aeroacoustic education and research. Seven mitigation technologies are embedded in a single facility, which addresses the noise generation by an airfoil, noise propagation in a duct, and noise transmission through a flexible panel. A challenging objective of this facility was to offer a perceptible impression of various aeroacoustic noise mechanisms at low flow speeds and a live assessment of the effectiveness of the noise-reduction technologies. Different approaches combining multiple microphones, advanced signal-processing techniques, and real-time audio feedback have been implemented to this end. The results establish that the demonstrator enables a clear perception of the effectiveness of the noise-mitigation technologies. The facility is also suitable for fast and inexpensive preliminary investigations of future noise-reduction concepts, taking advantage of rapid prototyping techniques.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Wind Energy","","",""
"uuid:e79944b9-b49f-4ec3-9532-52732b0895b0","http://resolver.tudelft.nl/uuid:e79944b9-b49f-4ec3-9532-52732b0895b0","Collaborative Design of a Business Jet Family Using the AGILE 4.0 MBSE Environment","Bussemaker, J.H. (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Ciampa, P.D. (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Singh, J. (Bombardier Aviation); Fioriti, M. (Politecnico di Torino); Cabaleiro, C. (Politecnico di Torino); Wang, Z. (TU Delft Aerospace Structures & Computational Mechanics); Peeters, D.M.J. (TU Delft Aerospace Structures & Computational Mechanics); Della Vecchia, Pierluigi (Università degli Studi di Napoli Federico II); Hansmann, P. (Rheinisch-Westfälische Technische Hochschule)","","2022","This paper presents the collaborative model-based design of a business jet family. In family design, a trade-off is made between aircraft performance, reducing fuel burn, and commonality, reducing manufacturing costs. The family is designed using Model-Based Systems Engineering (MBSE) methods developed in the AGILE 4.0 project. The EC-funded AGILE 4.0 project extends the scope of the preliminary aircraft design process to also include systems engineering phases and new design domains like manufacturing, maintenance, and certification. Stakeholders, needs, requirements, and architecture models of the business jet family are presented. Then, the collaborative Multidisciplinary Design Analysis and Optimization (MDAO) capabilities are used to integrate various aircraft design disciplines, including overall aircraft design, onboard systems design, wing structural sizing, tailplane sizing, mission analysis, and cost estimation. Decisions regarding the degree of commonality are implemented by optionally fixing the design of a shared component when sizing an aircraft.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:ff04501f-26f0-4d16-9dc4-7221b07da36d","http://resolver.tudelft.nl/uuid:ff04501f-26f0-4d16-9dc4-7221b07da36d","Multidisciplinary Design and Optimization of Regional Jet Retrofitting Activity","Mandorino1, M. (Università degli Studi di Napoli Federico II); Della Vecchia, Pierluigi (Università degli Studi di Napoli Federico II); Corcione, S. (Università degli Studi di Napoli Federico II); Nicolosi, F. (Università degli Studi di Napoli Federico II); Trifari, V. (Università degli Studi di Napoli Federico II); Cerino, G. (Leonardo Aircraft Division); Fioriti, M. (Politecnico di Torino); Wang, Z. (TU Delft Aerospace Structures & Computational Mechanics); Peeters, D.M.J. (TU Delft Aerospace Structures & Computational Mechanics)","","2022","A retrofit analysis on a 90 passengers regional jet aircraft is performed through a multidisciplinary collaborative aircraft design and optimization highlighting the impact on costs and performance. Two different activities are accounted for selecting the best aircraft retrofit solution: a re-engining operation that allows to substitute a conventional power-plant platform with advanced geared turbofan and an on-board-systems architecture modernization, considering different levels of electrification. Besides the variables that are directly dependent from these activities, also scenario variables are considered during the optimization such as the fuel price, the fleet size and the years of utilization of the upgraded systems. The optimization is led by impacts of the retrofitting process on emissions, capital costs and saving costs, computed at industrial level. Overall aircraft design competences (aerodynamics, masses, performance, noise, and emissions) have been computed increasing the level of fidelity and reliability. The whole process is implemented in the framework of the AGILE 4.0 research project in a collaborative remote multidisciplinary approach. Results show that the engine retrofitting can be a profitable solution for both manufacturers and airliners. Conversely, the on-board-system electrification seems to be not convenient in a retrofitting process due to the high capital costs. Depending on the operative scenario, involved stakeholders can properly orient their decision on a retrofitting strategy.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:d9cc6841-58ff-464c-a31d-8955e977ec0d","http://resolver.tudelft.nl/uuid:d9cc6841-58ff-464c-a31d-8955e977ec0d","Between Tradition and Modernity: Western urban planning and street improvement projects in Hankou (1889-1937)","Ren, X. (Southeast University); Li, Baihao (Southeast University); Hein, C.M. (TU Delft History, Form & Aesthetics)","","2022","This article examines street improvement projects in Hankou's case for unearthing the contribution of Chinese political elites and planers in exchanging planning concepts and technologies from the West to China. Aiming to realize the Modern Metropolis, which Sun Yat-sen proposed in the fundamentals of national reconstruction, Chinese political elites and planers selected, borrowed, and imported western planning ideas to transform traditional Hankou city by implementing street improvement projects. Using the case of street improvement of Hankou during the Late Qing period(1889-1911), Early Republic China period(1911-1926), and Municipal Government period(1926-1937) as case studies, this article examines street improvement projects which authorities developed for Hankou in three different periods. By analyzing planning concepts, street plans(both realized and planned), and management regulations of these projects, the paper argues that improvement projects aimed to develop economic and industrial and improve public hygiene. Furthermore, the Chinese political and planning elites imported European and American design principles and practiced them in the Hankou local context for their own needs. In conclusion, the built environment showed hybridization features after implementing continuous planning schemes.","Hankou; urban transformation; planning history; street improvement; modernity","en","conference paper","Delft University of Technology","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:25e2c4da-9110-42ef-9e41-b744a971ee57","http://resolver.tudelft.nl/uuid:25e2c4da-9110-42ef-9e41-b744a971ee57","Simplicial Trend Filtering (Invited Paper)","Yang, Maosheng (TU Delft Multimedia Computing); Isufi, E. (TU Delft Multimedia Computing)","Matthews, Michael B. (editor)","2022","Reconstructing simplicial signals, e.g., signals defined on nodes, edges, triangles, etc., of a network, from (partial) noisy observation is of interest in water/traffic flow estimation or currency exchange markets. Typically, this concerns solving a regularised problem w.r.t. the l2 norm of the divergence or the curl of the signal, i.e., the netflows at nodes and in triangles. Realworld simplicial signals are intrinsically divergence- or curl-free, which makes l2 regularizers inapplicable. To overcome this, we develop a simplicial trend filter (STF) by regularising the total divergence and the curl via their l1 norm. By tuning two scalars, the STF can reduce independently the divergence and curl much more than smooth filtering, leading to a better reconstructed signal. The SFT is a convex problem and can be solved by fast iterative algorithms. We apply the SFT to interpolation and denoising tasks in forex and music/artist transition recordings and show its superior performance to alternatives.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public. Funding Information: Emails: m.yang-2, e.isufi-1@tudelft.nl. This work is supported by the TU Delft AI Labs Programme.","","2023-09-07","","","Multimedia Computing","","",""
"uuid:98a9437d-2922-4647-a394-ddd94cf9eed3","http://resolver.tudelft.nl/uuid:98a9437d-2922-4647-a394-ddd94cf9eed3","Self-reported health and comfort of outpatient workers in six hospitals","Eijkelenboom, A.M. (EGM Architects); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2022","As hospital workers are generally less satisfied with comfort than patients and limited information was available on health and comfort in outpatient areas, a PhD study was carried out on staff in outpatient areas. The study design, main conclusions and recommendations of this PhD study are discussed. To gain a more representative view of the occupants’ perceptions, IEQ and social comfort were included. Social comfort was studied as a new construct, based on literature of privacy and crowding. A mixed methods approach was selected to justify the occupants’ reallive experience of the physical environment. First, data were collected with building inspection of six hospitals and a questionnaire responded by 556 outpatient workers. Subsequently, a representative sample of them (17) was interviewed with photo elicitation. The survey was conducted before the COVID-19 pandemic, the interviews during the COVID-19 pandemic. Data were analysed with several techniques to describe comfort and health (descriptive statistics), determine associations of work and building-related aspects with comfort and health (regression analyses), to identify IEQ and social comfort profiles (Two-Step Cluster analysis) and to identify changes in preferences due to the COVID-19 pandemic (content analysis). The different analyses strengthened associations of contextual aspects, such as room types, with health and comfort. Also, the results indicate limited overlap of social comfort and IEQ. Therefore, it is recommended to include room types and social comfort aspects in future studies. Furthermore, as the results show differences in the occupants’ preferences associated with differences in health (IEQ) and activities (social comfort) while their preferences can change in time, it is recommended to develop design strategies for an optimal fit beyond standardized solutions.","comfort; hospitals; IEQ; COVID-19 pandemic; staff","en","conference paper","TU Delft OPEN","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:793197b3-666a-4f43-b031-0beff49f32a6","http://resolver.tudelft.nl/uuid:793197b3-666a-4f43-b031-0beff49f32a6","A 1.2mW/channel 100m-Pitch-Matched Transceiver ASIC with Boxcar-Integration-Based RX Micro-Beamformer for High-Resolution 3D Ultrasound Imaging","Guo, P. (TU Delft Electronic Instrumentation); Fool, F. (TU Delft ImPhys/Medical Imaging); Noothout, E.C. (TU Delft ImPhys/Medical Imaging); Chang, Z.Y. (TU Delft Electronic Instrumentation); Vos, H.J. (TU Delft ImPhys/Medical Imaging; Erasmus MC); Bosch, Johan G. (Erasmus MC); Verweij, M.D. (TU Delft ImPhys/Medical Imaging; Erasmus MC); de Jong, N. (TU Delft ImPhys/Medical Imaging; Erasmus MC); Pertijs, M.A.P. (TU Delft Electronic Instrumentation)","Fujino, Laura C. (editor)","2022","The integration of 2D ultrasonic transducer arrays and pitch-matched ASICs has enabled the realization of various 3D ultrasound imaging devices in recent years [1]-[3]. As applications such as 3D intravascular ultrasonography, intra-cardiac echocardiography, and trans-fontanelle ultrasonography call for miniaturization and improved spatial resolution, higher-frequency transducers (>5MHz) with a correspondingly smaller array pitch (<150m) are needed. Such devices generally employ a large number of transducer elements, calling for channel-count reduction in the ASIC while meeting stringent restrictions on per-element power consumption and die area. Micro-beamforming (BF) is an effective way of reducing channel count by performing a delay-and-sum operation on the echo signals received within a sub-array [1]. However, prior BF implementations employ per-element capacitive memory to realize the delay [1], [2], making it increasingly difficult to apply BF in smaller-pitch arrays.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:874ab466-b501-4909-9401-e97ffeb738d2","http://resolver.tudelft.nl/uuid:874ab466-b501-4909-9401-e97ffeb738d2","Large Matrix Array Aperture for 3D Vascular Imaging Capture","Colas, Quorentin (VERMON); Bantignies, Claire (VERMON); Perroteau, Marie (VERMON); Porcher, Nicolas (VERMON); Vassal, Steeven (VERMON); Bosch, Johan G. (Erasmus MC); de Jong, N. (TU Delft ImPhys/Medical Imaging); Verweij, M.D. (TU Delft ImPhys/Medical Imaging); Pertijs, M.A.P. (Erasmus MC)","","2022","Three-dimensional ultrasound has initially been used to address volumetric imaging for diagnostic purposes and represents the leading-edge technological orientation in both transducer and IC (integrated circuit) architecture and design. However, new applications are coming up like biomarker measurements, preoperative navigation, real time surgery guidance or therapeutic procedures where 3D ultrasound modalities are key but their design objectives may need to be thought outside 3D echocardiography and radiology technological trade-offs. For those new applications, system architectures would need less complexity and imaging performances enabling easier hardware reconfigurability tailored to application-oriented imaging. This paper presents an ongoing development where a large matrix transducer has been assembled with multiple ASIC dies in a reconfigurable way. The transducer has a central frequency of 8MHz, a square pitch of 150μm× 150μm capable to fully image the upper carotid window thanks to a large aperture of 80×240 elements, resulting in a transducer active footprint of 12×36 square millimeters.","3D; ASIC integration; Matrix array; reconfigurable; transducer","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Medical Imaging","","",""
"uuid:dee2018f-1463-4984-abd0-bca3657ef9d4","http://resolver.tudelft.nl/uuid:dee2018f-1463-4984-abd0-bca3657ef9d4","Automated Characterization of Matrix Transducer Arrays using the Verasonics Imaging System","Simoes dos Santos, D. (TU Delft ImPhys/Medical Imaging); Fool, F. (TU Delft ImPhys/Medical Imaging); Kim, Taehoon (External organisation); Noothout, E.C. (TU Delft ImPhys/Medical Imaging); Rozsa, N.N.M. (TU Delft Electronic Instrumentation); Vos, H.J. (TU Delft ImPhys/Medical Imaging; Erasmus MC); Bosch, Johan G. (Erasmus MC); Pertijs, M.A.P. (TU Delft Electronic Instrumentation); Verweij, M.D. (Erasmus MC); De Jona, Nico (Erasmus MC)","","2022","Over the past decades, ultrasound imaging has made considerable progress based on the advancement of imaging systems as well as transducer technology. With the need for advanced transducer arrays with complex designs and technical requirements, there is also a need for suitable tools to characterize such transducers. However, despite the importance of acoustic characterization to assess the performance of novel transducer arrays, the characterization process of highly complex transducers might involve various manual steps, which are laborious, time-consuming, and subject to errors. These factors can hinder the full characterization of a prototype transducer, leading to an under-representation or inadequate evaluation. To come to an extensive, high-quality evaluation of a prototype transducer, the acoustic characterization of each transducer element is indispensable in both transmit and receive operations. In this paper, we propose a pipeline to automatically perform the acoustic characterization of a matrix transducer using a research imaging system. The performance of the pipeline is tested on a prototype matrix transducer consisting of 960 elements. The results show that the proposed pipeline is capable of performing the complete acoustic characterization of a high-element count transducer in a fast and convenient way.","acoustic characterization; automated; matrix array; research imaging system; ultrasound transducer; Verasonics","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Medical Imaging","","",""
"uuid:9fb77013-6acb-4f12-b26c-883aa1cbb588","http://resolver.tudelft.nl/uuid:9fb77013-6acb-4f12-b26c-883aa1cbb588","A Pitch-Matched ASIC with Integrated 65V TX and Shared Hybrid Beamforming ADC for Catheter-Based High-Frame-Rate 3D Ultrasound Probes","Hopf, Y.M. (TU Delft Electronic Instrumentation); Ossenkoppele, B.W. (TU Delft ImPhys/Medical Imaging); Soozande, M. (Erasmus MC); Noothout, E.C. (TU Delft ImPhys/Medical Imaging); Chang, Z.Y. (TU Delft Electronic Instrumentation); Chen, C. (TU Delft Electronic Instrumentation); Vos, H.J. (TU Delft ImPhys/Medical Imaging; Erasmus MC); Bosch, J.G. (Erasmus MC); Verweij, M.D. (TU Delft ImPhys/Medical Imaging; Erasmus MC); de Jong, N. (TU Delft ImPhys/Medical Imaging; Erasmus MC); Pertijs, M.A.P. (TU Delft Electronic Instrumentation)","Fujino, Laura C. (editor)","2022","Intra-cardiac echography (ICE) probes (Fig. 32.2.1) are widely used in electrophysiology for their good procedure guidance and relatively safe application. ASICs are increasingly employed in these miniature probes to enhance signal quality and reduce the number of connections needed in mm-diameter catheters [1]-[5]. 3D visualization in real-time is additionally enabled by 2D transducer arrays with, for each transducer element, a high-voltage (HV) transmit (TX) part, to generate acoustic pulses of sufficient pressure, and a receive (RX) path, to process the resulting echoes. To achieve the required reduction in RX channels, micro-beamforming (BF), which merges the signals from a subarray using a delay-and-sum operation, has been shown to be an effective solution [3], [4]. However, due to the frame-rate reduction that is associated with BF, these designs cannot serve emerging high-frame-rate imaging modes (1000 volumes/s) like 3D blood-flow and elastography imaging. In-probe digitization has recently been investigated to provide further channel-count reduction, make data transmission more robust, and enable pre-processing in the probe [1]-[3]. However, these earlier designs have either no TX functionality [2], [3] or only low-voltage (LV) TX [1] integrated. Combining BF and digitization with area-hungry HV transmitters in a pitch-matched scalable fashion while supporting high-frame-rate imaging remains an unmet challenge. The work presented in this paper meets this target, enabled by a hybrid ADC, the small die size of which allows for co-integration with 65V element-level pulsers.","Low voltage; Three-dimensional displays; Transducers; Ultrasonic imaging; Array signal processing; Transmitters; Imaging","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:f5cf2b79-c242-43f4-a764-bb682d5ae9f4","http://resolver.tudelft.nl/uuid:f5cf2b79-c242-43f4-a764-bb682d5ae9f4","Novel aircraft propulsion and availability of alternative, sustainable aviation fuels in 2050","Kos, Johan (National Aerospace Laboratory - Netherlands); Posada Duque, J.A. (TU Delft BT/Biotechnology and Society); Peerlings, Bram (National Aerospace Laboratory - Netherlands); ben Salah, Nora (Student TU Delft); Lim, Nanette (National Aerospace Laboratory - Netherlands); Lammen, Wim (National Aerospace Laboratory - Netherlands); Stepchuk, I. (TU Delft Energie and Industrie); van der Sman, Elisabeth (National Aerospace Laboratory - Netherlands); Palmeros Parada, M.D.M. (TU Delft Sanitary Engineering)","","2022","This paper investigates green hydrogen and bio-based sustainable aviation fuels, including their production technology and feedstock, in combination with Clean Sky 2 propulsion technologies and novel hydrogen-powered propulsion technologies. The impact that these alternative aviation fuels and propulsion technologies can have on greenhouse gas emissions is identified and the demand for alternative aviation fuels is compared with their expected availability, both until 2050.","aircraft propulsion; climate impact; fuel supply; hydrogen; sustainable alternative fuel","en","conference paper","International Council of the Aeronautical Sciences","","","","","","","","","","BT/Biotechnology and Society","","",""
"uuid:753e0d96-d4f9-454c-82e3-2e3d0e4acdf2","http://resolver.tudelft.nl/uuid:753e0d96-d4f9-454c-82e3-2e3d0e4acdf2","Accountable AI for Healthcare IoT Systems","Bagave, P. (TU Delft Information and Communication Technology); Westberg, M. (TU Delft Information and Communication Technology); Dobbe, R.I.J. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Ding, Aaron Yi (TU Delft Information and Communication Technology)","","2022","Various AI systems have taken a unique space in our daily lives, helping us in decision-making in critical as well as non-critical scenarios. Although these systems are widely adopted across different sectors, they have not been used to their full potential in critical domains such as the healthcare sector enabled by the Internet of Things (IoT). One of the important hindering factors for adoption is the implication for accountability of decisions and outcomes affected by an AI system, where the term accountability is understood as a means to ensure the performance of a system. However, this term is often interpreted differently in various sectors. Since the EU GDPR regulations and the US congress have emphasised the importance of enabling accountability in AI systems, there is a strong demand to understand and conceptualise this term. It is crucial to address various aspects integrated with accountability and understand how it affects the adoption of AI systems. In this paper, we conceptualise these factors affecting accountability and how it contributes to a trustworthy healthcare AI system. By focusing on healthcare IoT systems, our conceptual mapping will help the readers understand what system aspects those factors are contributing to and how they affect the system trustworthiness. Besides illustrating accountability in detail, we also share our vision towards causal interpretability as a means to enhance accountability for healthcare AI systems. The insights of this paper shall contribute to the knowledge of academic research on accountability, and benefit AI developers and practitioners in the healthcare sector.","Accountability; Healthcare AI; Internet of Things (IoT); Trustworthiness","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-15","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:e766fef1-2ef2-4158-ba62-73953869aab7","http://resolver.tudelft.nl/uuid:e766fef1-2ef2-4158-ba62-73953869aab7","WARio: efficient code generation for intermittent computing","Kortbeek, V. (TU Delft Embedded Systems); Ghosh, Souradip (Carnegie Mellon University); Hester, Josiah (Northwestern University); Campanoni, Simone (Northwestern University); Pawełczak, Przemysław (TU Delft Embedded Systems)","Jhala, Ranjit (editor); Dillig, Isil (editor)","2022","Intermittently operating embedded computing platforms powered by energy harvesting require software frameworks to protect from errors caused by Write After Read (WAR) dependencies. A powerful method of code protection for systems with non-volatile main memory utilizes compiler analysis to insert a checkpoint inside each WAR violation in the code. However, such software frameworks are oblivious to the code structure - -and therefore, inefficient - -when many consecutive WAR violations exist. Our insight is that by transforming the input code, i.e., moving individual write operations from unique WARs close to each other, we can significantly reduce the number of checkpoints. This idea is the foundation for WARio: a set of compiler transformations for efficient code generation for intermittent computing. WARio, on average, reduces checkpoint overhead by 58%, and up to 88%, compared to the state of the art across various benchmarks.","battery-free; code transformation; compiler; embedded system; intermittent computing; optimization","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Embedded Systems","","",""
"uuid:6d84bb95-a830-4de7-90bd-0ab5b1455a0e","http://resolver.tudelft.nl/uuid:6d84bb95-a830-4de7-90bd-0ab5b1455a0e","Measurement by Proxy: On the Accuracy of Online Marketplace Measurements","Cuevas, Alejandro (Carnegie Mellon University); Miedema, F.E.G. (TU Delft Organisation & Governance); Soska, Kyle (University of Illinois); Christin, Nicolas (Carnegie Mellon University); van Wegberg, R.S. (TU Delft Organisation & Governance)","","2022","A number of recent studies have investigated online anony- mous (“dark web”) marketplaces. Almost all leverage a “measurement-by-proxy” design, in which researchers scrape market public pages, and take buyer reviews as a proxy for ac- tual transactions, to gain insights into market size and revenue. Yet, we do not know if and how this method biases results. We build a framework to reason about marketplace mea- surement accuracy, and use it to contrast estimates projected from scrapes of Hansa Market with data from a back-end database seized by the police. We further investigate, by sim- ulation, the impact of scraping frequency, consistency and rate-limits. We find that, even with a decent scraping regimen, one might miss approximately 46% of objects – with scraped listings differing significantly from not-scraped listings on price, views and product categories. This bias also impacts revenue calculations. We find Hansa’s total market revenue to be US $50M, which projections based on our scrapes un- derestimate by a factor of four. Simulations further show that studies based on one or two scrapes are likely to suffer from a very poor coverage (on average, 14% to 30%, respectively). A high scraping frequency is crucial to achieve reliable coverage, even without a consistent scraping routine. When high-frequency scraping is difficult, e.g., due to deployed anti- scraping countermeasures, innovative scraper design, such as scraping most popular listings first, helps improve cover- age. Finally, abundance estimators can provide insights on population coverage when population sizes are unknown.","","en","conference paper","USENIX Association","","","","","","","","","","Organisation & Governance","","",""
"uuid:389ff5eb-34be-4e58-838e-758783ea70df","http://resolver.tudelft.nl/uuid:389ff5eb-34be-4e58-838e-758783ea70df","Implementing a Category-Theoretic Framework for Typed Abstract Syntax","Ahrens, B.P. (TU Delft Programming Languages; University of Birmingham); Matthes, Ralph (Université de Toulouse); Mörtberg, Anders (Stockholm University)","","2022","In previous work (""From signatures to monads in UniMath""),we described a category-theoretic construction of abstract syntax from a signature, mechanized in the UniMath library based on the Coq proof assistant.
In the present work, we describe what was necessary to generalize that work to account for simply-typed languages. First, some definitions had to be generalized to account for the natural appearance of non-endofunctors in the simply-typed case. As it turns out, in many cases our mechanized results carried over to the generalized definitions without any code change. Second, an existing mechanized library on 휔-cocontinuous functors had to be extended by constructions and theorems necessary for constructing multi-sorted syntax. Third, the theoretical framework for the semantical signatures had to be generalized from a monoidal to a bicategorical setting, again to account for non-endofunctors arising in the typed case. This uses actions of endofunctors on functors with given source, and the corresponding notion of strong functors between actions, all formalized in UniMath using a recently developed library of bicategory theory. We explain what needed to be done to plug all of these ingredients together, modularly.
The main result of our work is a general construction that, when fed with a signature for a simply-typed language, returns an implementation of that language together with suitable boilerplate code, in particular, a certified monadic substitution operation.
We consider the constrained reward-free setting, where an agent (the guide) learns to ex lore safely without the reward signal. This agent is trained in a controlled environment, which allows unsafe interactions and still rovides the safety signal. After the target task is revealed, safety violations are not allowed anymore. Thus, the guide is leveraged to com ose a safe sam ling olicy. Drawing from transfer learning, we also regularize a target olicy (the student)
towards the guide while the student is unreliable and gradually eliminate the influence from the guide as training rogresses. The em irical analysis shows that this method can achieve safe transfer learning and hel s the student solve the target task faster.
ndInternational Workshop on Designerly HRI Knowledge. Reflecting on HRI practices through Annotated Portfolios of Robotic Artefacts","Lupetti, M.L. (TU Delft Design Aesthetics); Zaga, Cristina (University of Twente); Cila, N. (TU Delft Human Information Communication Design); Luria, Michal (Carnegie Mellon University); Hoggenmuller, Marius (University of Sydney); Jung, Malte F. (Cornell University)","","2022","We propose a workshop stemming from ongoing conversations about the role of design methods and designed artefacts within the field of Human-Robot Interaction (HRI). Given the growing interest in understanding what the field can learn from design explorations, the workshop focuses on hands-on annotating activity where participants (researchers and practitioners from HRI, Human-Computer Interaction, and Design Research) will analyze and reflect upon selected collections of robotic artefacts. Ultimate goal of the workshop is to explicate values, concepts and perspectives that usually remain tacitly embedded in the designed artefacts and, as such, hard to appreciate as proper HRI contributions. The expected outcome of the workshop is a set of methodological recommendations and concrete examples of what kind of knowledge can be generated through robotic artefacts.","Annotated Portfolios; Designerly HRI; Intermediate-Level Knowledge; Robotic Artefacts","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Aesthetics","","",""
"uuid:afe0da55-465d-466b-b3d7-4df99e27a8e9","http://resolver.tudelft.nl/uuid:afe0da55-465d-466b-b3d7-4df99e27a8e9","EdOptimize–An Open-Source K-12 Learning Analytics Platform","Shah, Tirth (Playpower Labs); Patel, Nirmal (Carnegie Mellon University; Playpower Labs); Lomas, J.D. (TU Delft Design Aesthetics); Sharma, Aditya (Playpower Labs)","","2022","Technology aided learning is becoming increasingly popular. In some of the countries, online learning has taken over for traditional classroom-based learning. With this, educational data is being generated in vast amounts. Knowing the potential of this data, many education stakeholders have turned to evidence-based decision making to improve the learning outcomes of the students. EdOptimize platform provides extensive actionable insights for a range of stakeholders through a suite of 3 data dashboards, each one intended for a certain type of stakeholder. We have designed a conceptual model and data architecture that can generalize across many different edtech implementation scenarios. Our source code
is available at https://github.com/PlaypowerLabs/EdOptimize","earning analytics; digital learning; ashboards; assessment data; curriculum- analytics; platform-analytics; implementation-analytics","en","conference paper","","","","","","","","","","","Design Aesthetics","","",""
"uuid:4a390eb6-1ed9-4b9d-806d-6b03696a7096","http://resolver.tudelft.nl/uuid:4a390eb6-1ed9-4b9d-806d-6b03696a7096","Generative Neural Articulated Radiance Fields","Bergman, Alexander W. (Stanford University); Kellnhofer, P. (TU Delft Computer Graphics and Visualisation); Yifan, Wang (Stanford University); Chan, Eric R. (Stanford University); Lindell, David B. (University of Toronto); Wetzstein, Gordon (Stanford University)","Koyejo, S. (editor); Mohamed, S. (editor); Agarwal, A. (editor); Belgrave, D. (editor); Cho, K. (editor); Oh, A. (editor)","2022","Unsupervised learning of 3D-aware generative adversarial networks (GANs) using only collections of single-view 2D photographs has very recently made much progress. These 3D GANs, however, have not been demonstrated for human bodies and the generated radiance fields of existing frameworks are not directly editable, limiting their applicability in downstream tasks. We propose a solution to these challenges by developing a 3D GAN framework that learns to generate radiance fields of human bodies or faces in a canonical pose and warp them using an explicit deformation field into a desired body pose or facial expression. Using our framework, we demonstrate the first high-quality radiance field generation results for human bodies. Moreover, we show that our deformation-aware training procedure significantly improves the quality of generated bodies or faces when editing their poses or facial expressions compared to a 3D GAN that is not trained with explicit deformations.","","en","conference paper","Neural Information Processing Systems Foundation","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:5aa62e5d-f4b6-42fd-9c15-8547f0bfb298","http://resolver.tudelft.nl/uuid:5aa62e5d-f4b6-42fd-9c15-8547f0bfb298","Aperture-Based Daylight Modelling: Evaluating the Airmass Refinement for the Sunlight Beam Index","Mardaljevic, J. (Loughborough University); Brembilla, E. (TU Delft Environmental & Climate Design)","Saelens, Dirk (editor); Laverge, Jelle (editor); Boydens, Wim (editor); Helsen, Lieve (editor)","2022","Aperture-based daylight modelling (ABDM) is a new building simulation paradigm founded on measures of an aperture's 'connectedness' to the sun and the external environment. At the planning level, there currently does not exist anywhere an evaluative schema which is equally applicable to measures of solar energy potential (for PV performance, overheating risk, etc) and measures of sunlight/daylight amenity (for daylight, well-being, connectivity/view, etc). ABDM addresses that shortcoming. This paper describes the latest development of ABDM which is the addition of an airmass factor in the computation of the sunlight beam index (SBI). This enhancement preserves the 'geometrical purity' of ABDM, but now airmass SBI can serve as a reliable proxy for direct sun irradiation totals derived from weather files.","","en","conference paper","International Building Performance Simulation Association","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:a5122d18-51bd-4834-9981-408594cca5cb","http://resolver.tudelft.nl/uuid:a5122d18-51bd-4834-9981-408594cca5cb","Complementor Participation in Platforms: Evidence from the 7th and 8th Generations of Video Game Consoles","Sobota, V.C.M. (TU Delft Technology, Policy and Management); van de Kaa, G. (TU Delft Economics of Technology and Innovation); de Reuver, Mark (TU Delft Information and Communication Technology); Prajapati, Ranjan (Maas en Kleiberg Subsidieadvies)","Bui, Tung X. (editor)","2022","This paper analyses how the factors breadth of content offerings, boundary resources, and exclusive content explain complementor participation in platform-based ecosystems, in the context of video game consoles. Fixed effects regressions on a panel comprising two generations of consoles across six platforms show that the breadth of content offerings positively affects complementor participation. We find that breadth of content offerings, but not boundary resources and exclusive content, are positively related to complementor participation. When studied in one model, breadth of content offerings dominates the relationship. Our results show how complementor ecosystems can be orchestrated to proliferate a variety of complementary product offerings.","","en","conference paper","IEEE","","","","","","","","Technology, Policy and Management","","Economics of Technology and Innovation","","",""
"uuid:798af962-9631-4ea9-bbfb-fbcb06b763cc","http://resolver.tudelft.nl/uuid:798af962-9631-4ea9-bbfb-fbcb06b763cc","RF Information Harvesting for Medium Access in Event-driven Batteryless Sensing","Hokke, N.H. (Zero Energy Development B.V); Sharma, Suryansh (TU Delft Embedded Systems); Venkatesha Prasad, Ranga Rao (TU Delft Embedded Systems); Mottola, L. (Politecnico di Milano); Narayana, S. (TU Delft Embedded Systems); Rao, V.S. (Cognizant); Kouvelas, N. (TU Delft Embedded Systems)","","2022","We present radio-frequency (RF) information harvesting, a chan-nel sensing technique that takes advantage of the energy in the wireless medium to detect channel activity at essentially no en-ergy cost. RF information harvesting is essential for event-driven wireless sensing applications using battery-less devices that har-vest tiny amounts of energy from impromptu events, such as op-erating a switch, and then transmit the event notification to a one-hop gateway. As multiple such devices may concurrently de-tect events, coordinating access to the channel is key. RF infor-mation harvesting allows devices to break the symmetry between concurrently-transmitting devices based on the harvested energy from the ongoing transmissions. To demonstrate the benefits of RF information harvesting, we integrate it in a tailor-made ultra low-power hardware MAC protocol we call Radio Frequency-Distance Packet Queuing (RF-DiPaQ). We build a hardware/software proto-type of RF-DiPaQ and use an established Markov framework to study its performance at scale. Comparing RF-DiPaQ against sta-ple contention-based MAC protocols, we show that it outperforms pure Aloha and 1-CSMA by factors of 3.55 and 1.21 respectively in throughput, while it saturates at more than double the offered load compared to 1-CSMA. As traffic increases, the energy saving of RF-DiPaQ against CSMA protocols increases, consuming 36% less energy than np-CSMA at typical offered loads.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-18","","","Embedded Systems","","",""
"uuid:b4f7068e-dd2d-41c4-b0e7-b0c51cf92122","http://resolver.tudelft.nl/uuid:b4f7068e-dd2d-41c4-b0e7-b0c51cf92122","Human Stability On Slopes Under Overtopping Waves","Wüthrich, D. (TU Delft Hydraulic Structures and Flood Risk); Rikkert, S.J.H. (TU Delft Coastal Engineering); Lanzafame, R.C. (TU Delft Hydraulic Structures and Flood Risk)","","2022","In a world affected by climate change and sea-level rise, intense storms are expected to become more frequent in the future. This implies that our coastal protections will be more often and more intensely affected by overtopping waves, potentially endangering the safety of our coastal communities. The objective of the present study is to investigate the hazard to people/pedestrians by postwave overtopping flows over an inclined surface, simulating a coastal dike.","","en","conference paper","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:a2bedc58-afde-40ae-b4d8-cb5f73fb9150","http://resolver.tudelft.nl/uuid:a2bedc58-afde-40ae-b4d8-cb5f73fb9150","2nd Workshop on Quantum Software Architecture (QSA)","Barzen, Johanna (University of Stuttgart); Leymann, Frank (University of Stuttgart); Feld, S. (TU Delft Quantum Circuit Architectures and Technology); Wimmer, Manuel (Johannes Kepler University Linz)","","2022","Presents the introductory welcome message from the conference proceedings. May include the conference officers' congratulations to all involved with the conference event and publication of the proceedings record.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Quantum Circuit Architectures and Technology","","",""
"uuid:f70caf66-f498-466e-8d2d-9647c35898a0","http://resolver.tudelft.nl/uuid:f70caf66-f498-466e-8d2d-9647c35898a0","Composite Power System Reliability with Renewables and Customer Flexibility","Sakis Meliopoulos, A.P. (Georgia Institute of Technology); Papic, Milorad (Independent Consultant); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids); Ekisheva, Svetlana (North American Electric Reliability Corporation); Yue, Meng (Brookhaven National Laboratory); Logan, Douglas M. (Walla Walla University)","","2022","Composite Power System Reliability is defined as the computational procedure that quantifies the probability that the power system will perform the function of delivering electric power to customers adequately, on a continuous basis and with an acceptable quality. This definition leaves many details undefined and exemplifies the ambiguity in reliability analysis. The increasing deployment of wind and PV creates additional uncertainties that make reliability analysis a rather complex issue. Because of increased uncertainty the need for composite reliability analysis and utilization of results in power system planning is critical. New approaches are emerging for dealing with these problems from the operational point of view, including demand response programs, tapping on customer and distributed resource flexibility and new control approaches. The key question to be addressed is: how the new operational paradigms affect composite power system reliability. This paper presents the ongoing work of the IEEE Composite System Reliability Task Force of the IEEE PES Reliability, Risk, Probability Application (RRPA) Subcommittee.","Composite power system; renewables; customer flexibility; uncertainties; reliability methodologies","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-04","","","Intelligent Electrical Power Grids","","",""
"uuid:488cad9d-badf-4818-8cb2-1b28d5d44c01","http://resolver.tudelft.nl/uuid:488cad9d-badf-4818-8cb2-1b28d5d44c01","The First Multimodal Information Based Speech Processing (Misp) Challenge: Data, Tasks, Baselines And Results","Chen, Hang (University of Science and Technology of China); Zhou, Hengshun (University of Science and Technology of China); Du, Jun (University of Science and Technology of China); Lee, Chin-Hui (Georgia Institute of Technology); Chen, Jingdong (Northwestern Polytechnical University); Watanabe, Shinji (Carnegie Mellon University); Siniscalchi, Sabato Marco (Georgia Institute of Technology; University of Enna Kore); Scharenborg, O.E. (TU Delft Multimedia Computing); Liu, Di-Yuan (iFlytek)","","2022","In this paper we discuss the rational of the Multi-model Information based Speech Processing (MISP) Challenge, and provide a detailed description of the data recorded, the two evaluation tasks and the corresponding baselines, followed by a summary of submitted systems and evaluation results. The MISP Challenge aims at tack-ling speech processing tasks in different scenarios by introducing information about an additional modality (e.g., video, or text), which will hopefully lead to better environmental and speaker robustness in realistic applications. In the first MISP challenge, two bench-mark datasets recorded in a real-home TV room with two reproducible open-source baseline systems have been released to promote research in audio-visual wake word spotting (AVWWS) and audio-visual speech recognition (AVSR). To our knowledge, MISP is the first open evaluation challenge to tackle real-world issues of AVWWS and AVSR in the home TV scenario.","MISP challenge; microphone array; audio-visual; automatic speech recognition; wake word spotting","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Multimedia Computing","","",""
"uuid:ff2c0a5a-a587-472c-8406-f7cf26ecc867","http://resolver.tudelft.nl/uuid:ff2c0a5a-a587-472c-8406-f7cf26ecc867","Monitoring (N)ZEB dwellings in the Netherlands Lessons learned from current practices","Guerra-Santina, Olivia (Eindhoven University of Technology); Rovers, T.J.H. (Saxion University of Applied Sciences); Itard, L.C.M. (TU Delft Building Energy Epidemiology)","","2022","Monitoring the energy performance of very low and zero energy buildings is fundamental to evaluate the efforts made to transition into an energy neutral built environment. Post occupancy monitoring has been embedded into current practice, supported by the availability of smart meters and affordable sensor technology. However, there is still a lack of standardised monitoring guidance, which complicates the comparison between projects. In this study, we reviewed reports and publicly available documents related to the monitoring of low energy and zero energy projects in the Netherlands. A total of 12 studies reporting on 65 projects containing 4,400 dwellings were analysed. These included both new and renovated housing built in the last decade. This study aims to provide an overview of actual energy performance in energy renovation projects across the Netherlands. It also analyses the difference with predicted energy performance and analyses the perceptions of residents involved in low and zero energy renovations. It answers questions such as: What energy and behavioural data is being gathered through energy monitoring in the residential sector (related to monitoring low and zero energy buildings/dwellings)? How is the data currently being utilized? What does the data tell us about actual energy use and resident perceptions? How can monitoring be improved to help develop better energy models, and help building owners optimize their investments in energy renovation projects? The results indicate that even though monitoring building performance in the Netherlands could be considered common practice, the results are seldomly reported or communicated. Furthermore, very few projects monitor indoor conditions and occupants’ behaviour. As a consequence, the performance gaps found in these projects are not fully understood. These findings are summarised to provide an overview of the main goals for monitoring from a practical point of view. These findings are used to provide recommendations for monitoring setups according to the final goals.","Energy monitoring; (n)zeb dwellings; occupant behaviour; performance gap","en","conference paper","TU Delft OPEN","","","","","","","","","","Building Energy Epidemiology","","",""
"uuid:7264ce87-f27c-4c41-ae34-098925974afa","http://resolver.tudelft.nl/uuid:7264ce87-f27c-4c41-ae34-098925974afa","An Integrated Framework for Incorporating Climate Risk into Urban Land-Use Change Modeling","Aydin, N.Y. (TU Delft System Engineering); Krishnan, S. (TU Delft System Engineering); Yu, H. (Student TU Delft); Comes, M. (TU Delft System Engineering; TU Delft Transport and Logistics)","Chiara Leva, Maria (editor); Patelli, Edoardo (editor); Podofillini, Luca (editor); Wilson, Simon (editor)","2022","Cities are complex socio-technical systems (STSs) under tremendous stress due to climate change. To incorporate resilience into urban plans and move towards evidence-based long-term decision-making, we must unravel complex land-use dynamics and the effect of climate uncertainties on cities. Currently, land-use dynamics are explored through Cellular Automata models to investigate the impacts of urban planning scenarios. What is, however, missing to support resilience decisions, is a systematic analysis of long-term climate uncertainties on land-use change. This study addresses this gap by analysing the effects of flood uncertainties on land-use patterns. While conventionally, urban planning decisions for climate uncertainty are based on a few scenarios, we use exploratory modeling to sample and combine uncertain climate variables to scenarios and understand the implications of the climate scenarios on land use via computational experiments. Specifically, we integrate flood probability maps into land-use maps to assess land suitability. Agglomerative clustering allows us to analyze the resulting land-use maps based on their similarity. Finally, we select representative maps from each cluster and compare them with the baseline map. We apply our integrated modeling approach in the Metropolitan Region of Amsterdam (MRA). Our results show spatially explicit alternatives for high-density residential development that is climate-resilient. The proposed framework can be applied to other cities to investigate the long-term impacts of climate uncertainties and adopt resilience-informed decision-making.","Simulation modeling; Decision support; Uncertainty; Urban resilience; Land-use modeling; Cellular automata; Exploratory modeling","en","conference paper","ESREL","","","","","","","","","","System Engineering","","",""
"uuid:4e3d1119-7815-4b0b-87eb-558c78aca94d","http://resolver.tudelft.nl/uuid:4e3d1119-7815-4b0b-87eb-558c78aca94d","Guess What: Test Case Generation for Javascript with Unsupervised Probabilistic Type Inference","Stallenberg, D.M. (TU Delft Software Engineering); Olsthoorn, Mitchell (TU Delft Software Engineering); Panichella, A. (TU Delft Software Engineering)","Papadakis, Mike (editor); Vergilio, Silvia Regina (editor)","2022","Search-based test case generation approaches make use of static type information to determine which data types should be used for the creation of new test cases. Dynamically typed languages like JavaScript, however, do not have this type information. In this paper, we propose an unsupervised probabilistic type inference approach to infer data types within the test case generation process. We evaluated the proposed approach on a benchmark of 98~units under test (i.e., exported classes and functions) compared to random type sampling w.r.t. branch coverage. Our results show that our type inference approach achieves a statistically significant increase in 56% of the test files with up to 71% of branch coverage compared to the baseline.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-15","","","Software Engineering","","",""
"uuid:b61bcab1-d64d-4138-9c01-faefcc729b1c","http://resolver.tudelft.nl/uuid:b61bcab1-d64d-4138-9c01-faefcc729b1c","Efficient Circuits for Permuting and Mapping Packed Values Across Leveled Homomorphic Ciphertexts","Vos, J.V. (TU Delft Cyber Security); Vos, D.A. (TU Delft Cyber Security); Erkin, Z. (TU Delft Cyber Security)","Atluri, Vijayalakshmi (editor); Di Pietro, Roberto (editor); Jensen, Christian D. (editor); Meng, Weizhi (editor)","2022","Cloud services are an essential part of our digital infrastructure as organizations outsource large amounts of data storage and computations. While organizations typically keep sensitive data in encrypted form at rest, they decrypt it when performing computations, leaving the cloud provider free to observe the data. Unfortunately, access to raw data creates privacy risks. To alleviate these risks, researchers have developed secure outsourced data processing techniques. Such techniques enable cloud services that keep sensitive data encrypted, even during computations. For this purpose, fully homomorphic encryption is particularly promising, but operations on ciphertexts are computationally demanding. Therefore, modern fully homomorphic cryptosystems use packing techniques to store and process multiple values within a single ciphertext. However, a problem arises when packed data in one ciphertext does not align with another. For this reason, we propose a method to construct circuits that perform arbitrary permutations and mappings of such packed values. Unlike existing work, our method supports moving values across multiple ciphertexts, considering that the values in real-world scenarios cannot all be packed within a single ciphertext. We compare our open-source implementation against the state-of-the-art method implemented in HElib, which we adjusted to work with multiple ciphertexts. When data is spread among five or more ciphertexts, our method outperforms the existing method by more than an order of magnitude. Even when we only consider a permutation within a single ciphertext, our method still outperforms the state-of-the-art works implemented by HElib for circuits of similar depth.","Applied cryptography; Data packing; Fully homomorphic encryption; Secure outsourced data processing","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-01","","","Cyber Security","","",""
"uuid:b051a5b8-e734-48b4-afc7-fbc9184396d0","http://resolver.tudelft.nl/uuid:b051a5b8-e734-48b4-afc7-fbc9184396d0","DEKS: A Secure Cloud-Based Searchable Service Can Make Attackers Pay","Zheng, Yubo (Huazhong University of Science and Technology); Xu, Peng (Huazhong University of Science and Technology); Wang, Wei (Huazhong University of Science and Technology); Chen, Tianyang (Huazhong University of Science and Technology); Susilo, Willy (University of Wollongong); Liang, K. (TU Delft Cyber Security); Jin, Hai (Huazhong University of Science and Technology)","Atluri, Vijayalakshmi (editor); Di Pietro, Roberto (editor); Jensen, Christian D. (editor); Meng, Weizhi (editor)","2022","Many practical secure systems have been designed to prevent real-world attacks via maximizing the attacking cost so as to reduce attack intentions. Inspired by this philosophy, we propose a new concept named delay encryption with keyword search (DEKS) to resist the notorious keyword guessing attack (KGA), in the context of secure cloud-based searchable services. Avoiding the use of complex (and unreasonable) assumptions, as compared to existing works, DEKS optionally leverages a catalyst that enables one (e.g., a valid data user) to easily execute encryption; without the catalyst, any unauthenticated system insiders and outsiders take severe time consumption on encryption. By this, DEKS can overwhelm a KGA attacker in the encryption stage before it obtains any advantage. We leverage the repeated squaring function, which is the core building block of our design, to construct the first DEKS instance. The experimental results show that DEKS is practical in thwarting KGA for both small and large-scale datasets. For example, in the Wikipedia, a KGA attacker averagely takes 7.23 years to break DEKS when the delay parameter T= 2 24. The parameter T can be flexibly adjusted based on practical needs, and theoretically, its upper bound is infinite.","Delay encryption with keyword search; Keyword guessing attack; Privacy; Security","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:c7d67875-d6f1-401b-9c59-c98b1797a541","http://resolver.tudelft.nl/uuid:c7d67875-d6f1-401b-9c59-c98b1797a541","Unbiased Gradient Estimation for Differentiable Surface Splatting via Poisson Sampling","Müller, Jan U. (Universität Bonn); Weinmann, M. (TU Delft Computer Graphics and Visualisation); Klein, Reinhard (Universität Bonn)","Avidan, Shai (editor); Brostow, Gabriel (editor); Cissé, Moustapha (editor); Farinella, Giovanni Maria (editor); Hassner, Tal (editor)","2022","We propose an efficient and GPU-accelerated sampling framework which enables unbiased gradient approximation for differentiable point cloud rendering based on surface splatting. Our framework models the contribution of a point to the rendered image as a probability distribution. We derive an unbiased approximative gradient for the rendering function within this model. To efficiently evaluate the proposed sample estimate, we introduce a tree-based data-structure which employs multipole methods to draw samples in near linear time. Our gradient estimator allows us to avoid regularization required by previous methods, leading to a more faithful shape recovery from images. Furthermore, we validate that these improvements are applicable to real-world applications by refining the camera poses and point cloud obtained from a real-time SLAM system. Finally, employing our framework in a neural rendering setting optimizes both the point cloud and network parameters, highlighting the framework’s ability to enhance data driven approaches.","Differentiable rendering; Multipole method; Point cloud; Scene reconstruction; Shape recovery","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Computer Graphics and Visualisation","","",""
"uuid:7d389093-6340-424c-a177-f2a11ca936c5","http://resolver.tudelft.nl/uuid:7d389093-6340-424c-a177-f2a11ca936c5","Incorporating the Theory of Attention in Applied Game Design","Kniestedt, I. (TU Delft System Engineering); Lukosch, Stephan (University of Canterbury); van der Kuil, Milan (Trimbos-instituut); Lefter, I. (TU Delft System Engineering); Brazier, F.M. (TU Delft System Engineering)","Göbl, Barbara (editor); van der Spek, Erik (editor); Baalsrud Hauge, Jannicke (editor); Baalsrud Hauge, Jannicke (editor); McCall, Rod (editor)","2022","Whereas entertainment games are capable of creating deeply rewarding and emotional experiences, applied game projects often result in products that, while potentially effective, are lacking in many other aspects of the user experience. This may be due to the fact that the focus of most design approaches for applied games lies primarily on the use of game mechanics, neglecting other aspects of design that aim to shape and influence the player’s emotional journey. This article provides an exploratory effort in a different approach to creating applied games, namely through the design of user attention and by integrating the theory of attention into applied game design practice. This approach is tested in two ongoing applied game projects, from which preliminary guidelines for applied game researchers and practitioners are proposed.","Applied games; Attention; Game design; Serious games","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","System Engineering","","",""
"uuid:1b8e09ee-2e8e-48e4-ae9c-77966fd13e44","http://resolver.tudelft.nl/uuid:1b8e09ee-2e8e-48e4-ae9c-77966fd13e44","Robust Event-Driven Interactions in Cooperative Multi-agent Learning","Jarne Ornia, D. (TU Delft Team Manuel Mazo Jr); Mazo, M. (TU Delft Team Manuel Mazo Jr)","Bogomolov, Sergiy (editor); Parker, David (editor)","2022","We present an approach to safely reduce the communication required between agents in a Multi-Agent Reinforcement Learning system by exploiting the inherent robustness of the underlying Markov Decision Process. We compute robustness certificate functions (off-line), that give agents a conservative indication of how far their state measurements can deviate before they need to update other agents in the system with new measurements. This results in fully distributed decision functions, enabling agents to decide when it is necessary to communicate state variables. We derive bounds on the optimality of the resulting systems in terms of the discounted sum of rewards obtained, and show these bounds are a function of the design parameters. Additionally, we extend the results for the case where the robustness surrogate functions are learned from data, and present experimental results demonstrating a significant reduction in communication events between agents.","Event-Triggered Communication; Multi-Agent Systems; Reinforcement Learning","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-01","","","Team Manuel Mazo Jr","","",""
"uuid:bc1eff6d-9e37-45d0-babe-8b35186bf047","http://resolver.tudelft.nl/uuid:bc1eff6d-9e37-45d0-babe-8b35186bf047","What Do You See? Transforming Fault Injection Target Characterizations","Krcek, M. (TU Delft Cyber Security)","Batina, Lejla (editor); Picek, Stjepan (editor); Mondal, Mainack (editor)","2022","In fault injection attacks, the first step is to evaluate the target behavior for various fault injection parameters. Showing the results of such a characterization (commonly known as target cartography) is informative and allows researchers to assess the target’s behavior better. Additionally, it helps understand the performance of new search methods or attacks. Thus, publishing obtained results is essential to provide relevant information for reproducibility and benchmarking, improving state-of-the-art results and general security. Unfortunately, publishing the results also allows malicious parties to reverse engineer the information and potentially mount an attack easier. This work discusses how various transformations can be used to occlude sensitive information but, at the same time, still be useful for interested researchers. Our results show that even simple 2D transformations, such as rotation, scaling, and shifting, significantly increase the effort required to reverse engineer the transformed data but maintain the interesting data distribution. Consequently, this work provides a method to allow publishers to share more data in a confidential setting.","2D Transformations; Fault injection; Target characterization","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:f2603e8f-895b-4a15-95c4-4c9ed5e1e3c5","http://resolver.tudelft.nl/uuid:f2603e8f-895b-4a15-95c4-4c9ed5e1e3c5","No-Directional and Backward-Leak Uni-Directional Updatable Encryption Are Equivalent","Chen, H. (TU Delft Cyber Security); Fu, S. (TU Delft Cyber Security); Liang, K. (TU Delft Cyber Security)","Atluri, Vijayalakshmi (editor); Di Pietro, Roberto (editor); Jensen, Christian D. (editor); Meng, Weizhi (editor)","2022","Updatable encryption (UE) enables the cloud server to update the previously sourced encrypted data to a new key with only an update token received from the client. Two interesting works have been proposed to clarify the relationships among various UE security notions. Jiang (ASIACRYPT 2020) proved the equivalence of every security notion in the bi-directional and uni-directional key update settings and further, the security notion in the no-directional key update setting is strictly stronger than the above two. In contrast, Nishimaki (PKC 2022) proposed a new definition of uni-directional key update that is called the backward-leak uni-directional key update, and showed the equivalence relation by Jiang does not hold in this setting. We present a detailed comparison of every security notion in the four key update settings and prove that the security in the backward-leak uni-directional key update setting is actually equivalent to that in the no-directional key update setting. Our result reduces the hard problem of constructing no-directional key update UE schemes to the construction of those with backward-leak uni-directional key updates.","Key update; Security notion; Updatable encryption","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:e6f4676e-a749-44a5-851f-251749f02a12","http://resolver.tudelft.nl/uuid:e6f4676e-a749-44a5-851f-251749f02a12","Personalized product design through digital fabrication","Minnoye, A.L.M. (TU Delft Emerging Materials); Tajdari, F. (TU Delft Emerging Materials); Doubrovski, E.L. (TU Delft Mechatronic Design); Wu, J. (TU Delft Materials and Manufacturing); Kwa, F.S.S. (TU Delft Mechatronic Design); Elkhuizen, W.S. (TU Delft Mechatronic Design); Huysmans, T. (TU Delft Applied Ergonomics and Design); Song, Y. (TU Delft Emerging Materials)","","2022","Personalized designs bring added value to the products and the users. Meanwhile, they also pose challenges to the product design process as each product differs. In this paper, with the focus on personalized fit, we present an overview as well as details of the personalized design process based on design practice. The general workflow of personalized product design is introduced first. Then different steps in the workflow such as human data/parameters acquisition, computational design, design for digital fabrication, and product evaluation are presented. Tools and methods that are often used in different steps in the process are also outlined where in human data acquisition, 3D scanning, and digital human models are addressed. For computational design, the use of computational thinking tools such as abstraction, decomposition, pattern recognition and algorithms are discussed. In design for digital fabrication, additive manufacturing methods (e.g. FDM), and their requirements on the design are highlighted. For product evaluation, both functional evaluation and usability evaluation are considered and the evaluation results can be the starting point of the next design iteration. Finally, several case studies are presented for a better understanding of the workflow, the importance of different steps in the workflow and the deviations in the approach regarding different contexts. In conclusion, we intend to provide designers a holistic view of the design process in designing personalized products as well as help practitioners trigger innovations regarding each step of the process.","Personalized product design; 3D scanning; human modelling; computational design; additive manufacturing; product evaluation","en","conference paper","The American Society of Mechanical Engineers (ASME)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Emerging Materials","","",""
"uuid:50c5b6b7-cb44-4a7a-b365-1f1dbe8c917f","http://resolver.tudelft.nl/uuid:50c5b6b7-cb44-4a7a-b365-1f1dbe8c917f","A Human-Centered Design Procedure for Conceptualization Using Virtual Reality Prototyping Applied in an Inflight Lavatory","Li, M. (TU Delft Applied Ergonomics and Design; Xi’an Jiaotong University); Aschenbrenner, D. (TU Delft Mechatronic Design); van Tol, D.H. (Student TU Delft); van Eijk, D.J. (TU Delft Applied Ergonomics and Design); Vink, P. (TU Delft Materials and Manufacturing)","Black, Nancy L. (editor); Neumann, W. Patrick (editor); Noy, Ian (editor)","2022","For designing large-scale products like an airplane, engaging end-users in the concept phase is difficult. However, early user evaluation is important to choose the path which fits the user’s needs best. In particular, comfort-related assessments are difficult to conduct with digital models that are shown on a desktop PC application. Digital Human Modelling (DHM) plays a role in postural comfort analysis, while the subjective comfort feedback still largely relied on consulting with end-users. This paper applies a human-centered design process and analyses the advantages and disadvantages of using VR prototypes for involving users during concept design. This study focused on using VR prototypes for concept selection and verification based on comfort assessment with potential end-users. The design process started with an online questionnaire for identifying the quality of the design elements (Step 1 online study). Then, alternative concepts were implemented in VR, and users evaluated these concepts via a VR headset (Step 2 Selection study). Finally, the research team redesigned the final concept and assessed it with potential users via a VR headset (Step 3 Experience study). Every design element contributed positively to the long-haul flight comfort, especially tap-basin height, storage, and facilities. The male and female participants had different preferences on posture, lighting, storage, and facilities. The final prototype showed a significantly higher comfort rate than the original prototypes. The first-person immersion in VR headsets helps to identify the nuances between concepts, thus supports better decision-making via collecting richer and more reliable user feedback to make faster and more satisfying improvements.","Concept design; First-person immersion; Human-centered Design; Virtual prototyping; Virtual reality","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Ergonomics and Design","","",""
"uuid:b7e87033-6357-45a8-993a-b9c00b3b3cf9","http://resolver.tudelft.nl/uuid:b7e87033-6357-45a8-993a-b9c00b3b3cf9","Motion Control Strategies for Smart Floating Cranes","Bentvelsen, Wouter (Student TU Delft); Gorsse, Guus Jonathan (Student TU Delft); Bouman, Niels (Student TU Delft); Bashandy, Vincent (Student TU Delft); Garofano, V. (TU Delft Transport Engineering and Logistics); Jovanova, J. (TU Delft Transport Engineering and Logistics)","Piatek, Lukasz (editor); Lim, Soon Heng (editor); Wang, Chien Ming (editor); Dinther, Rutger de Graaf-van (editor)","2022","Floating structures have raised interest in the recent years for different applications, from living and farming at sea to renewable energy production. To support the logistics on the floating structures, floating cranes are necessary and their designs are constantly improved. Increasing developments in the automation industry paved the way for automated crane operations. In this work, motion control of a smart crane is presented with particular attention to the performance under wave motion. In this research, a scaled down, two-dimensional mathematical model of a gantry crane is derived using Lagrangian mechanics and DC motors dynamics. This results in a nonlinear system that is capable of simultaneous traversing and hoisting a container. The system is simulated in MATLAB Simulink environment and a proportional-derivative control and a state feedback control are designed and implemented. Their robustness is explored by modelling sensor behavior, external disturbances and floating platform dynamics. Both control strategies were able to keep stability in a disturbed system. During simulation, the sway angles never exceed 10°. Smaller oscillations occurred using the state feedback control. Therefore, it creates a smoother response compared to the proportional derivative control, which ultimately translates to increased safety, turnover rate and durability of the crane.","Floating platform; Motion control; PD control; Smart cranes; State feedback control","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-02-06","","","Transport Engineering and Logistics","","",""
"uuid:4bde9b0d-63ec-46a9-afe3-e0f6199d4c20","http://resolver.tudelft.nl/uuid:4bde9b0d-63ec-46a9-afe3-e0f6199d4c20","The Design, Construction and Evaluation of a Pilot Project of a Bahay Kubo Inspired Floating Home","Ham, P.H. (TU Delft Applied Mechanics)","Piatek, Lukasz (editor); Lim, Soon Heng (editor); Wang, Chien Ming (editor); Dinther, Rutger de Graaf-van (editor)","2022","In the overpopulated deltas of the Philippines people live in are-as that see floods regularly. The floods are being caused by a com-bination of tides, heavy rainfall and land subsidence. The demand for safe and affordable housing is immense, yet available dry land is scarce. By implementing floating homes in vacant former rice fields, demanded new building space is becoming available. To come to a sustainable design that fits in the Pampanga Delta, traditional building designs as the Bahay Kubo have been analysed. Many aspects of this design correspond with modern sustainable development goals. By means of parametric building simulations, key aspects of the Bahay Kubo have been used to provide the home with good performances in indoor climate and structural behavior. Now the first pilot building has been built, the home is being tested for validating the parametric models and to evaluate the building design. The first round of test results has led to proper insights in indoor climate, user friendliness, and affordability. Initial design improvements have been made and will be used in upcoming developments such as the construction of a floating neighborhood and the construction of floating classrooms.","Affordability; Floating architecture; Flood events; Pilot building; Vernacular","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Mechanics","","",""
"uuid:f0aa105f-a864-4253-adb8-d47a81a23b8a","http://resolver.tudelft.nl/uuid:f0aa105f-a864-4253-adb8-d47a81a23b8a","Unraveling the Social-Technical Complexity of Dashboards for Transformation","Lemke, Florian (Capgemini); Janssen, M.F.W.H.A. (TU Delft Information and Communication Technology); Draheim, Dirk (Tallinn University of Technology)","Chugunov, Andrei V. (editor); Janssen, Marijn (editor); Khodachek, Igor (editor); Misnikov, Yuri (editor); Trutnev, Dmitrii (editor)","2022","The need for standardized and visualized performance monitoring on a wide range of topics has become apparent in recent years. In the public sector, there has been an increase in the number of dashboards to create transparency into the progress. Yet, the design of dashboards encounters many challenges ranging from technical to social. The goal of this research is to unravel the social-technical complexity of dashboards and outline their basic requirements and a process for creating dashboards. In addition to explicit project milestones, these also visualize digital implementation programs at the policy level.","Complexity; Dashboard; Design Dimensions; Digital Government; e-Government (eGov); Performance Monitoring; Stakeholder","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-14","","","Information and Communication Technology","","",""
"uuid:d3e3557e-d79e-4e6f-93f8-965c514af55e","http://resolver.tudelft.nl/uuid:d3e3557e-d79e-4e6f-93f8-965c514af55e","Towards Stochastic Fault-Tolerant Control Using Precision Learning and Active Inference","Baioumy, Mohamed (University of Oxford); Pezzato, C. (TU Delft Robot Dynamics); Hernández, Carlos (TU Delft Robot Dynamics); Hawes, Nick (University of Oxford); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari)","Kamp, Michael (editor); Kamp, Michael (editor); Koprinska, Irena (editor); , et. al. (editor)","2022","This work presents a fault-tolerant control scheme for sensory faults in robotic manipulators based on active inference. In the majority of existing schemes a binary decision of whether a sensor is healthy (functional) or faulty is made based on measured data. The decision boundary is called a threshold and it is usually deterministic. Following a faulty decision, fault recovery is obtained by excluding the malfunctioning sensor. We propose a stochastic fault-tolerant scheme based on active inference and precision learning which does not require a priori threshold definitions to trigger fault recovery. Instead, the sensor precision, which represents its health status, is learned online in a model-free way allowing the system to gradually, and not abruptly exclude a failing unit. Experiments on a robotic manipulator show promising results and directions for future work are discussed.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-01","","","Robot Dynamics","","",""
"uuid:e088c64a-18a9-46aa-a232-d5d27bd04486","http://resolver.tudelft.nl/uuid:e088c64a-18a9-46aa-a232-d5d27bd04486","Default Prediction Using Network Based Features","Poenaru-Olaru, L. (TU Delft Data-Intensive Systems); Redi, Judith (Miro); Hovanesyan, Artur (Exact Software); Wang, H. (TU Delft Multimedia Computing)","Benito, Rosa Maria (editor); Cherifi, Chantal (editor); Cherifi, Hocine (editor); Moro, Esteban (editor); Rocha, Luis M. (editor); Sales-Pardo, Marta (editor)","2022","Small and medium enterprises (SME) are crucial for economy and have a higher exposure rate to default than large corporates. In this work, we address the problem of predicting the default of an SME. Default prediction models typically only consider the previous financial situation of each analysed company. Thus, they do not take into account the interactions between companies, which could be insightful as SMEs live in a supply chain ecosystem in which they constantly do business with each other. Thereby, we present a novel method to improve traditional default prediction models by incorporating information about the insolvency situation of customers and suppliers of a given SME, using a graph-based representation of SME supply chains. We analyze its performance and illustrate how this proposed solution outperforms the traditional default prediction approaches.","Default prediction; Network centrality; Network features; Network-based models; Transactional network","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Data-Intensive Systems","","",""
"uuid:5de9c2ec-723c-42f0-839a-a77c7ff2b1e7","http://resolver.tudelft.nl/uuid:5de9c2ec-723c-42f0-839a-a77c7ff2b1e7","Guidance for the Design of Vibrotactile Patterns for Use on the Human Back","Kapppers, Astrid M.L. (Eindhoven University of Technology); Plaisier, M.A. (TU Delft Human Information Communication Design; Eindhoven University of Technology)","Seifi, Hasti (editor); Kappers, Astrid M. (editor); Schneider, Oliver (editor); Drewing, Knut (editor); Pacchierotti, Claudio (editor); Abbasimoshaei, Alireza (editor); Huisman, Gijs (editor); Kern, Thorsten A. (editor)","2022","In this paper, we present an overview of parameters that are of relevance for the perception of vibrotactile patterns on the back. These patterns are delivered via varying numbers of vibration motors fixed to the back rest of a chair, vests or belts. We present recent findings from the literature about vibrotactile anisotropy, timing, spacing, anchor points, resolution and intensity. From this overview, we derive recommendations that should be considered when designing a vibrotactile device for the back. The main recommendations are: 1) Use sequential stimulation for conveying spatial patterns; 2) Avoid tactors on the spine; 3) For a rectangular grid 4 × 4 tactors seems optimal; 4) Carefully consider relative horizontal and vertical spacing. We hope that this overview will raise awareness of several issues that play a role in perception and that our recommendations will provide guidance when designing vibrotactile communication devices.","Illusions; Resolution; Spacing; Timing; Vibrotactile","en","conference paper","Springer","","","","","","","","","","Human Information Communication Design","","",""
"uuid:c6a83f5c-1808-4cd2-b725-e7b474ab54ff","http://resolver.tudelft.nl/uuid:c6a83f5c-1808-4cd2-b725-e7b474ab54ff","When Machine Learning Models Leak: An Exploration of Synthetic Training Data","Slokom, M. (TU Delft Multimedia Computing; Statistics Netherlands (CBS); Radboud Universiteit Nijmegen); de Wolf, Peter Paul (Statistics Netherlands (CBS)); Larson, M.A. (TU Delft Multimedia Computing; Radboud Universiteit Nijmegen)","Domingo-Ferrer, Josep (editor); Laurent, Maryline (editor)","2022","We investigate an attack on a machine learning classifier that predicts the propensity of a person or household to move (i.e., relocate) in the next two years. The attack assumes that the classifier has been made publically available and that the attacker has access to information about a certain number of target individuals. That attacker might also have information about another set of people to train an auxiliary classifier. We show that the attack is possible for target individuals independently of whether they were contained in the original training set of the classifier. However, the attack is somewhat less successful for individuals that were not contained in the original data. Based on this observation, we investigate whether training the classifier on a data set that is synthesized from the original training data, rather than using the original training data directly, would help to mitigate the effectiveness of the attack. Our experimental results show that it does not, leading us to conclude that new approaches to data synthesis must be developed if synthesized data is to resemble “unseen” individuals to an extent great enough to help to block machine learning model attacks.","Attribute inference; Machine learning; Propensity to move; Synthetic data","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Multimedia Computing","","",""
"uuid:3e0be03a-0c0c-4b0c-9976-b7249e7a3f5f","http://resolver.tudelft.nl/uuid:3e0be03a-0c0c-4b0c-9976-b7249e7a3f5f","Robust Adaptive Back-Stepping Control Approach Using Quadratic Lyapunov Functions for MMC-Based HVDC Digital Twins","Liu, L. (TU Delft Intelligent Electrical Power Grids); Lekić, A. (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","Margaria, Tiziana (editor); Steffen, Bernhard (editor)","2022","Due to its excellent performance, VSC-based high voltage direct current (HVDC) power systems draw significant attention. They are being heavily used in modern industrial applications, such as onshore and offshore wind farms, and for interconnection between asynchronous networks. However, the traditional proportional-integral (PI) control method is not robust enough to track the reference signal quickly and accurately during significant system disturbances. This paper proposes a robust adaptive back-stepping control (BSC) method that secures vulnerable power-electronic equipment. The adaptive BSC controller regulates the sum of capacitor energy, and the AC grid current through decoupled and closed control-loop design. The major advantage of the proposed control approach is the smooth transient response and accurate tracking ability, which is superior to classical control methods. In addition, the proposed methods have the merits of systematic and recursive design methodology and demand a low processing burden for Lyapunov functions and control laws. Moreover, the implementation particularities of the proposed approach are illustrated and verified for a power system digital twin using real-time digital simulator (RTDS).","Adaptive back-stepping control; Digital twins; Energy controller; HVDC grids; Lyapunov stability; MMC; Nonlinear robust control; RTDS","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-17","","","Intelligent Electrical Power Grids","","",""
"uuid:682f0036-d489-49df-8050-d809f6a8103d","http://resolver.tudelft.nl/uuid:682f0036-d489-49df-8050-d809f6a8103d","A Three-Level Extension for Fast and Robust Overlapping Schwarz (FROSch) Preconditioners with Reduced Dimensional Coarse Space","Heinlein, A. (TU Delft Delft Institute of Applied Mathematics; TU Delft Numerical Analysis); Klawonn, Axel (University of Cologne); Rheinbach, Oliver (University of Technology Bergakademie Freiberg); Röver, Friederike (University of Technology Bergakademie Freiberg)","Brenner, Susanne C. (editor); Klawonn, Axel (editor); Xu, Jinchao (editor); Chung, Eric (editor); Zou, Jun (editor); Kwok, Felix (editor)","2022","The Fast and Robust Overlapping Schwarz framework [7, 8], which is part of the Trilinos Software library [18], contains a parallel implementation of the generalized Dryja–Smith–Widlund (GDSW) preconditioner.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-18","","","Numerical Analysis","","",""
"uuid:3b87aed8-e767-4e48-b681-2043eb39aa54","http://resolver.tudelft.nl/uuid:3b87aed8-e767-4e48-b681-2043eb39aa54","Predicting the Geometric Location of Critical Edges in Adaptive GDSW Overlapping Domain Decomposition Methods Using Deep Learning","Heinlein, A. (TU Delft Numerical Analysis); Klawonn, Axel (University of Cologne); Lanser, Martin (University of Cologne); Weber, Janine (University of Cologne)","Brenner, Susanne C. (editor); Klawonn, Axel (editor); Xu, Jinchao (editor); Chung, Eric (editor); Zou, Jun (editor); Kwok, Felix (editor)","2022","For complex model problems with coefficient or material distributions with large jumps along or across the domain decomposition interface, the convergence rate of classic domain decomposition methods for scalar elliptic problems usually deteriorates. In particular, the classic condition number bounds [1, 12] will depend on the contrast of the coefficient function. As a remedy, different adaptive coarse spaces, e.g. [4, 13], have been developed which are obtained by solving certain generalized eigenvalue problems on local parts of the interface, i.e., edges and/or faces.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-18","","","Numerical Analysis","","",""
"uuid:1372ccc4-9aad-41e7-ac20-27febf89064a","http://resolver.tudelft.nl/uuid:1372ccc4-9aad-41e7-ac20-27febf89064a","MLTK01: A Prototyping Toolkit for Tangible Learning Things","Lupetti, M.L. (TU Delft Design Aesthetics); Romagnoli, L. (Automato Farm, Shanghai)","Bruyns, Gerhard (editor); Wei, Huaxin (editor)","2022","This work illustrates and reflects on the design process of MLTK01, an open-source toolkit for fast prototyping tangible learning things, built on top of Arduino and ml5js. The toolkit was developed as a response to the current lack of fast and easy to use tools for tangible experiments with machine learning. Learning from insights gained through previous projects, we defined a set of basic building blocks necessary to enable such experiments and engaged in an iterative process of sketching, prototyping and preliminary testing of the toolkit. MLTK01 includes a custom PCB, a software library and accessories. Together with a descriptive account of the design process we also discuss possible applications of the toolkit and its implications for a design process of tangible learning things","Machine learning; Toolkit; Tangible learning things; PCB; Fast prototyping","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-06","","","Design Aesthetics","","",""
"uuid:833ceea0-d96a-4886-aefe-4a5bbc59d0df","http://resolver.tudelft.nl/uuid:833ceea0-d96a-4886-aefe-4a5bbc59d0df","Fake It Till You Make It: Data Augmentation Using Generative Adversarial Networks for All the Crypto You Need on Small Devices","Mukhtar, Naila (Macquarie University); Batina, Lejla (Radboud Universiteit Nijmegen); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen); Kong, Yinan (Macquarie University)","Galbraith, Steven D. (editor)","2022","Deep learning-based side-channel analysis performance heavily depends on the dataset size and the number of instances in each target class. Both small and imbalanced datasets might lead to unsuccessful side-channel attacks. The attack performance can be improved by generating traces synthetically from the obtained data instances instead of collecting them from the target device, but this is a cumbersome and challenging task. We propose a novel data augmentation approach based on conditional Generative Adversarial Networks (cGAN) and Siamese networks, enhancing the attack capability. We also present a quantitative comparative deep learning-based side-channel analysis between a real raw signal leakage dataset and an artificially augmented leakage dataset. The analysis is performed on the leakage datasets for both symmetric and public-key cryptographic implementations. We investigate non-convergent networks’ effect on the generation of fake leakage signals using two cGAN based deep learning models. The analysis shows that the proposed data augmentation model results in a well-converged network that generates realistic leakage traces, which can be used to mount deep learning-based side-channel analysis successfully even when the dataset available from the device is not optimal. Our results show that the datasets enhanced with “faked” leakage traces are breakable (while not without augmentation), which might change how we perform deep learning-based side-channel analysis.","ASCAD; Data augmentation; Deep learning-based side-channel attacks; Elliptic curve cryptography; GANs; Signal processing","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:438db919-e9a0-41e3-9f4c-a8f6f9dfc08b","http://resolver.tudelft.nl/uuid:438db919-e9a0-41e3-9f4c-a8f6f9dfc08b","Dismantling Digital Cages: Examining Design Practices for Public Algorithmic Systems","Nouws, S.J.J. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Dobbe, R.I.J. (TU Delft Information and Communication Technology)","Janssen, Marijn (editor); Csáki, Csaba (editor); Lindgren, Ida (editor); Melin, Ulf (editor); Loukis, Euripidis (editor); Viale Pereira, Gabriela (editor); Rodríguez Bolívar, Manuel Pedro (editor); Tambouris, Efthimios (editor)","2022","Algorithmic systems used in public administration can create or reinforce digital cages. A digital cage refers to algorithmic systems or information architectures that create their own reality through formalization, frequently resulting in incorrect automated decisions with severe impact on citizens. Although much research has identified how algorithmic artefacts can contribute to digital cages and their unintended consequences, the emergence of digital cages from human actions and institutions is poorly understood. Embracing a broader lens on how technology, human activity, and institutions shape each other, this paper explores what design practices in public organizations can result in the emergence of digital cages. Using Orlikowski’s structurational model of technology, we found four design practices in observations and interviews conducted at a consortium of public organizations. This study shows that design processes of public algorithmic systems (1) are often narrowly focused on technical artefacts, (2) disregard the normative basis for these systems, (3) depend on involved actors’ awareness of socio-technics in public algorithmic systems, (4) and are approached as linear rather than iterative. These four practices indicate that institutions and human actions in design processes can contribute to the emergence of digital cages, but also that institutional – opposed to technical – possibilities to address their unintended consequences are often ignored. Further research is needed to examine how design processes in public organizations can evolve into socio-technical processes, can become more democratic, and how power asymmetries in the design process can be mitigated.","Design process; Digital cage; Public algorithmic system; Structuration","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-30","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:ae6acb31-652c-4ae4-b64a-124f22f6acdb","http://resolver.tudelft.nl/uuid:ae6acb31-652c-4ae4-b64a-124f22f6acdb","Focus is Key to Success: A Focal Loss Function for Deep Learning-Based Side-Channel Analysis","Kerkhof, Maikel (Student TU Delft); Wu, L. (TU Delft Cyber Security); Perin, G. (TU Delft Cyber Security); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen)","Balasch, Josep (editor); O’Flynn, Colin (editor)","2022","The deep learning-based side-channel analysis represents one of the most powerful side-channel attack approaches. Thanks to its capability in dealing with raw features and countermeasures, it becomes the de facto standard approach for the SCA community. The recent works significantly improved the deep learning-based attacks from various perspectives, like hyperparameter tuning, design guidelines, or custom neural network architecture elements. Still, insufficient attention has been given to the core of the learning process - the loss function. This paper analyzes the limitations of the existing loss functions and then proposes a novel side-channel analysis-optimized loss function: Focal Loss Ratio (FLR), to cope with the identified drawbacks observed in other loss functions. To validate our design, we 1) conduct a thorough experimental study considering various scenarios (datasets, leakage models, neural network architectures) and 2) compare with other loss functions used in the deep learning-based side-channel analysis (both “traditional” ones and those designed for side-channel analysis). Our results show that FLR loss outperforms other loss functions in various conditions while not having computational overhead like some recent loss function proposals.","Deep learning; Focal loss; Loss function; Side-channel analysis","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-03","","","Cyber Security","","",""
"uuid:12f1b627-18e9-4e00-a42f-81adad5b946f","http://resolver.tudelft.nl/uuid:12f1b627-18e9-4e00-a42f-81adad5b946f","Improving Error Detection in Deep Learning Based Radiotherapy Autocontouring Using Bayesian Uncertainty","Mody, Prerak (Leiden University Medical Center); Chaves-de-Plaza, Nicolas F. (TU Delft Computer Graphics and Visualisation); Hildebrandt, K.A. (TU Delft Computer Graphics and Visualisation); Staring, M. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","Sudre, Carole H. (editor); Sudre, Carole H. (editor); Baumgartner, Christian F. (editor); Dalca, Adrian (editor); Dalca, Adrian (editor); Wells III, William M. (editor); Qin, Chen (editor); Tanno, Ryutaro (editor); Van Leemput, Koen (editor); Van Leemput, Koen (editor); Wells III, William M. (editor)","2022","Bayesian Neural Nets (BNN) are increasingly used for robust organ auto-contouring. Uncertainty heatmaps extracted from BNNs have been shown to correspond to inaccurate regions. To help speed up the mandatory quality assessment (QA) of contours in radiotherapy, these heatmaps could be used as stimuli to direct visual attention of clinicians to potential inaccuracies. In practice, this is non-trivial to achieve since many accurate regions also exhibit uncertainty. To influence the output uncertainty of a BNN, we propose a modified accuracy-versus-uncertainty (AvU) metric as an additional objective during model training that penalizes both accurate regions exhibiting uncertainty as well as inaccurate regions exhibiting certainty. For evaluation, we use an uncertainty-ROC curve that can help differentiate between Bayesian models by comparing the probability of uncertainty in inaccurate versus accurate regions. We train and evaluate a FlipOut BNN model on the MICCAI2015 Head and Neck Segmentation challenge dataset and on the DeepMind-TCIA dataset, and observed an increase in the AUC of uncertainty-ROC curves by 5.6% and 5.9%, respectively, when using the AvU objective. The AvU objective primarily reduced false positives regions (uncertain and accurate), drawing less visual attention to these regions, thereby potentially improving the speed of error detection.","AvU loss; Bayesian uncertainty; Deep learning; FlipOut; Organs-at-Risk; Quality assessment; Radiotherapy; Uncertainty-ROC","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Computer Graphics and Visualisation","","",""
"uuid:77fd1169-7f44-48ef-9930-d95028ea7a29","http://resolver.tudelft.nl/uuid:77fd1169-7f44-48ef-9930-d95028ea7a29","Evaluation of a Morphable Anthropomorphic Articulated Total Body Model","Pascoletti, Giulia (University of Perugia; Politecnico di Torino); Huysmans, T. (TU Delft Applied Ergonomics and Design; Universiteit Antwerpen); Conti, Paolo (University of Perugia); Zanetti, Elisabetta M. (University of Perugia)","Rizzi, Caterina (editor); Campana, Francesca (editor); Bici, Michele (editor); Gherardini, Francesco (editor); Ingrassia, Tommaso (editor); Cicconi, Paolo (editor)","2022","In this work a new approach for the creation of Articulated Total Body (ATB) models for person-specific multi-body simulations is presented, with the main aim of overcoming limitations related to classical multi-ellipsoids ATB models, based on regression equations having only the weight and the height of the subject as input. The new methodology is based on a Statistical Shape Model (SSM), morphable according to up to 24 input parameters: the SSM was obtained from Principal Component Analysis (PCA), applied on a wide database of 3D human scans (CAESAR). The so obtained geometry can be segmented automatically to generate body segments with the respective inertial properties (mass, principal moments of inertia, and centres of mass location). The routine has been tested on a random set of 20 male subjects and the classical multi-ellipsoids models were compared to these in terms of inertial properties and 3D external geometry: the highest differences were registered at the abdomen and the thighs for what concerns the mass (60%), principal moments (75%) and centres of mass (50 mm) properties; the trunk, the shoulder and the calves are the most critical areas for the external geometry (average distance between the anthropomorphic and ellipsoids models equal to 50 mm). A contribution has been made to build person-specific multibody models. This is a valuable method since approximations made by multi-ellipsoidal models have resulted to be relevant at specific body areas, and personalised models can be a support to design and to forensic analyses.","3D parametric human model; Articulated total body; Forensic biomechanics; Multibody analysis; Principal component analysis (PCA)","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Ergonomics and Design","","",""
"uuid:c4e3cacd-85cd-4306-aaa5-68bedef9e71b","http://resolver.tudelft.nl/uuid:c4e3cacd-85cd-4306-aaa5-68bedef9e71b","Production of Open-Cell Foam Using Additive Manufacturing Method and Porous Morphology Effects","Mustapha, K. A. (Universiti Teknikal Malaysia Melaka); Shikh Anuar, F. (Universiti Teknikal Malaysia Melaka); Mohd Sa’at, F. A.Z. (Universiti Teknikal Malaysia Melaka); Zini, N. H.M. (Universiti Teknikal Malaysia Melaka); Mat Tokit, E. (Universiti Teknikal Malaysia Melaka); Satishwara Rao, N. (Universiti Teknikal Malaysia Melaka); Hooman, K. (TU Delft Process and Energy); Abdi, Iman Ashtiani (PCM Group Australia Pty Ltd.)","Abdollah, Mohd Fadzli Bin (editor); Amiruddin, Hilmi (editor); Phuman Singh, Amrik Singh (editor); Abdul Munir, Fudhail (editor); Ibrahim, Asriana (editor)","2022","In this study, 3D printed open-cell foam were produced and reconstructed from open-cell metal foam structure using a tomography scanning method and two different additive manufacturing technologies. The materials used in the 3D printing were nylon powder and plastic acid. The porous morphology and surface finish of the 3D printed foams were investigated using a microscope. The results showed that the surface finish and structure strength depend on the printing process, used material and foam size. This study found that laser-sintering technology would have smoother pores with lesser residue than stereolithographic. However, the ligaments of the small-size 3D printed foam were fragile and could be easily broken.","3D printing; Morphology; Porous media","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-01","","Process and Energy","","","",""
"uuid:f3b39261-b408-4a22-86bf-410dec7764eb","http://resolver.tudelft.nl/uuid:f3b39261-b408-4a22-86bf-410dec7764eb","Reinforcement Learning-Based Design of Side-Channel Countermeasures","Rijsdijk, Jorai (Student TU Delft); Wu, L. (TU Delft Cyber Security); Perin, G. (TU Delft Cyber Security)","Batina, Lejla (editor); Picek, Stjepan (editor); Picek, Stjepan (editor); Mondal, Mainack (editor)","2022","Deep learning-based side-channel attacks are capable of breaking targets protected with countermeasures. The constant progress in the last few years makes the attacks more powerful, requiring fewer traces to break a target. Unfortunately, to protect against such attacks, we still rely solely on methods developed to protect against generic attacks. The works considering the protection perspective are few and usually based on the adversarial examples concepts, which are not always easy to translate to real-world hardware implementations. In this work, we ask whether we can develop combinations of countermeasures that protect against side-channel attacks. We consider several widely adopted hiding countermeasures and use the reinforcement learning paradigm to design specific countermeasures that show resilience against deep learning-based side-channel attacks. Our results show that it is possible to significantly enhance the target resilience to a point where deep learning-based attacks cannot obtain secret information. At the same time, we consider the cost of implementing such countermeasures to balance security and implementation costs. The optimal countermeasure combinations can serve as development guidelines for real-world hardware/software-based protection schemes.","Countermeasures; Deep learning; Reinforcement learning; Side-channel analysis","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-01","","","Cyber Security","","",""
"uuid:c25b830c-33d9-4c43-aa00-71009ea8b3a1","http://resolver.tudelft.nl/uuid:c25b830c-33d9-4c43-aa00-71009ea8b3a1","Flexible Enterprise Optimization with Constraint Programming","Andringa, S.P.E. (TU Delft Electrical Engineering, Mathematics and Computer Science); Yorke-Smith, N. (TU Delft Algorithmics)","Aveiro, David (editor); Proper, Henderik A. (editor); Guerreiro, Sérgio (editor); de Vries, Marne (editor)","2022","Simulation–optimization is often used in enterprise decision-making processes, both operational and tactical. This paper shows how an intuitive mapping from descriptive problem to optimization model can be realized with Constraint Programming (CP). It shows how a CP model can be constructed given a simulation model and a set of business goals. The approach is to train a neural network (NN) on simulation model inputs and outputs, and embed the NN into the CP model together with a set of soft constraints that represent business goals. We study this novel simulation–optimization approach through a set of experiments, finding that it is flexible to changing multiple objectives simultaneously, allows an intuitive mapping from business goals expressed in natural language to a formal model suitable for state-of-the-art optimization solvers, and is realizable for diverse managerial problems.","Constraint Programming; Deep learning; Enterprise simulation; Simulation–optimization","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-06","Electrical Engineering, Mathematics and Computer Science","","Algorithmics","","",""
"uuid:1cb4027f-4af7-4fa5-bf72-30b3084cb8d7","http://resolver.tudelft.nl/uuid:1cb4027f-4af7-4fa5-bf72-30b3084cb8d7","Fusion of Radar Data Domains for Human Activity Recognition in Assisted Living","Le Kernec, Julien (University of Glasgow); Fioranelli, F. (TU Delft Microelectronics; TU Delft Microwave Sensing, Signals & Systems); Romain, Olivier (Observatoire de Paris); Bordat, Alexandre (Observatoire de Paris)","Suryadevara, Nagender Kumar (editor); George, Boby (editor); Jayasundera, Krishanthi P. (editor); Roy, Joyanta Kumar (editor); Mukhopadhyay, Subhas Chandra (editor)","2022","Radar has long been considered an important technology for indoor monitoring and assisted living. As ageing has become a worldwide problem, it causes a huge burden on the government’s healthcare expenses and infrastructure. Radar-based human activity recognition (HAR) is foreseen to become a widespread sensing modality for health monitoring at home. Conventional radar-based HAR task usually adopts the amplitude of spectrograms as input to a convolutional neural network (CNN), which can limit the achieved performances. A hybrid fusion model is here proposed, which can integrate multiple radar data domains. The result shows that the proposed framework can achieve superior classification accuracy of 92.1% (+2.5% higher than conventional CNN) and a lighter computational load than the state-of-the-art techniques with 3D-CNN.","Fusion; Human activity recognition; Machine learning; Radar","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-02","","Microelectronics","Microwave Sensing, Signals & Systems","","",""
"uuid:693c5cd8-4f84-47cc-8a05-c0854260fc7d","http://resolver.tudelft.nl/uuid:693c5cd8-4f84-47cc-8a05-c0854260fc7d","Condition Assessment of Low-Speed Slew Bearings in Offshore Applications Using Acoustic Emission Monitoring","Scheeren, B. (TU Delft Ship Hydromechanics and Structures); Pahlavan, Lotfollah (TU Delft Ship Hydromechanics and Structures)","Rizzo, Piervincenzo (editor); Milazzo, Alberto (editor)","2022","This study presents an approach for the detection of evolving degradation in large-scale low-speed roller bearings by clustering of Acoustic Emission (AE) events, and its application to experimental degradation data. To acquire the latter, a purpose-built linear bearing, representative of a segment of a turret bearing, has been instrumented with multiple piezoelectric AE transducers in the frequency range between 40–580 kHz. Clustering based on cross-correlation has identified a number of significant clusters that are linked to the observed damage. The results suggest that condition monitoring based on AE waveform similarity clustering is suitable for detection and identification of degradation in a large-scale roller bearing.","Acoustic Emission; Condition Monitoring; Roller Bearing; Clustering; Offshore","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-22","","","Ship Hydromechanics and Structures","","",""
"uuid:89f54fdb-511f-42da-b581-b68157d7431a","http://resolver.tudelft.nl/uuid:89f54fdb-511f-42da-b581-b68157d7431a","A Quantum Annealing Approach for Solving Hard Variants of the Stable Marriage Problem","Roch, Christoph (Ludwig Maximilians University); Winderl, David (Ludwig Maximilians University); Linnhoff-Popien, Claudia (Ludwig Maximilians University); Feld, S. (TU Delft Quantum & Computer Engineering; TU Delft Quantum Circuit Architectures and Technology)","Phillipson, Frank (editor); Eichler, Gerald (editor); Erfurth, Christian (editor); Fahrnberger, Günter (editor)","2022","The Stable Marriage Problem (SMP) describes the problem, of finding a stable matching between two equally sized sets of elements (e.g., males and females) given an ordering of preferences for each element. A matching is stable, when there does not exist any match of a male and female which both prefer each other to their current partner under the matching. Finding such a matching of maximum cardinality, when ties and incomplete preference lists are allowed, is called MAX-SMTI and is an NP-hard variation of the SMP. In this work a Quadratic Unconstrained Binary Optimization (QUBO) formulation for MAX-SMTI is introduced and solved both with D-Wave Systems quantum annealing hardware and by their classical meta-heuristic QBSolv. Both approaches are reviewed against existing state-of-the-art approximation algorithms for MAX-SMTI. Additionally, the proposed QUBO problem can also be used to count stable matchings in SMP instances, which is proven to be a #P-complete problem. The results show, that the proposed (quantum) methods can compete with the classical ones regarding the solution quality and might be a relevant alternative, when quantum hardware scales with respect to the number of qubits and their connectivity.","D-wave systems; Heuristic; MAX-SMTI; Optimization; Quantum annealing; Stable marriage problem","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Quantum & Computer Engineering","Quantum Circuit Architectures and Technology","","",""
"uuid:8e531e5f-3371-4160-91f8-bbebecb867c5","http://resolver.tudelft.nl/uuid:8e531e5f-3371-4160-91f8-bbebecb867c5","Upside Down: Exploring the Ecosystem of Dark Web Data Markets","Covrig, Bogdan (Universiteit Maastricht); Mikelarena, Enrique Barrueco (Universiteit Maastricht); Rosca, Constanta (Universiteit Maastricht); Goanta, Catalina (Universiteit Utrecht); Spanakis, Gerasimos (Universiteit Maastricht); Zarras, A. (TU Delft Cyber Security)","Meng, Weizhi (editor); Fischer-Hübner, Simone (editor); Jensen, Christian D. (editor)","2022","Large-scale dark web marketplaces have been around for more than a decade. So far, academic research has mainly focused on drug and hacking-related offers. However, data markets remain understudied, especially given their volatile nature and distinct characteristics based on shifting iterations. In this paper, we perform a large-scale study on dark web data markets. We first characterize data markets by using an innovative theoretical legal taxonomy based on the Council of Europe’s Cybercrime Convention and its implementation in Dutch law. The recent Covid-19 pandemic showed that cybercrime has become more prevalent with the increase of digitalization in society. In this context, important questions arise regarding how cybercrime harms are determined, measured, and prioritized. We propose a determination of harm based on criminal law qualifications and sanctions. We also address the empirical question of what the economic activity on data markets looks like nowadays by performing a comprehensive measurement of digital goods based on an original dataset scraped from twelve marketplaces consisting of approximately 28,000 offers from 642 vendors. The resulting analysis combines insights from the theoretical legal framework and the results of the measurement study. To our knowledge, this is the first study to combine these two elements systematically.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:b4332a02-143a-40f1-8e66-a08f56d2fd48","http://resolver.tudelft.nl/uuid:b4332a02-143a-40f1-8e66-a08f56d2fd48","Optimal Item Pricing in Online Combinatorial Auctions","Correa, José (Universidad de Chile); Cristi, Andrés (Universidad de Chile); Fielbaum, Andres (TU Delft Learning & Autonomous Control); Pollner, Tristan (Stanford University); Weinberg, S. Matthew (Princeton University)","Aardal, Karen (editor); Sanità, Laura (editor)","2022","We consider a fundamental pricing problem in combinatorial auctions. We are given a set of indivisible items and a set of buyers with randomly drawn monotone valuations over subsets of items. A decision maker sets item prices and then the buyers make sequential purchasing decisions, taking their favorite set among the remaining items. We parametrize an instance by d, the size of the largest set a buyer may want. Our main result asserts that there exist prices such that the expected (over the random valuations) welfare of the allocation they induce is at least a factor 1/ (d+ 1 ) times the expected optimal welfare in hindsight. Moreover we prove that this bound is tight. Thus, our result not only improves upon the 1/ (4 d- 2 ) bound of Dütting et al., but also settles the approximation that can be achieved by using item prices. We further show how to compute our prices in polynomial time. We provide additional results for the special case when buyers’ valuations are known (but a posted-price mechanism is still desired).","Combinatorial Auctions; Online allocations","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-27","","","Learning & Autonomous Control","","",""
"uuid:029464e9-9d4c-403a-87a2-45dddbed4e8a","http://resolver.tudelft.nl/uuid:029464e9-9d4c-403a-87a2-45dddbed4e8a","Classifying EEG Signals of Mind-Wandering Across Different Styles of Meditation","Chaudhary, Shivam (Indian Institute of Technology Gandhinagar); Pandey, Pankaj (Indian Institute of Technology Gandhinagar); Miyapuram, Krishna Prasad (Indian Institute of Technology Gandhinagar); Lomas, J.D. (TU Delft Design Aesthetics)","Mahmud, Mufti (editor); He, Jing (editor); Vassanelli, Stefano (editor); van Zundert, André (editor); Zhong, Ning (editor)","2022","In the modern world, it is easy to get lost in thought, partly because of the vast knowledge available at our fingertips via smartphones that divide our cognitive resources and partly because of our intrinsic thoughts. In this work, we aim to find the differences in the neural signatures of mind-wandering and meditation that are common across different meditative styles. We use EEG recording done during meditation sessions by experts of different meditative styles, namely shamatha, zazen, dzogchen, and visualization. We evaluate the models using the leave-one-out validation technique to train on three meditative styles and test the fourth left-out style. With this method, we achieve an average classification accuracy of above 70%, suggesting that EEG signals of meditation techniques have a unique neural signature across meditative styles and can be differentiated from mind-wandering states. In addition, we generate lower-dimensional embeddings from higher-dimensional ones using t-SNE, PCA, and LLE algorithms and observe visual differences in embeddings between meditation and mind-wandering. We also discuss the general flow of the proposed design and contributions to the field of neuro-feedback-enabled mind-wandering detection and correction devices.","Classification; Cognition; Deep learning; EEG; Machine learning; Meditation; Mind-wandering; Neuro-feedback","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Aesthetics","","",""
"uuid:3e502f0c-3d86-4b1b-94c4-60310b8e6951","http://resolver.tudelft.nl/uuid:3e502f0c-3d86-4b1b-94c4-60310b8e6951","DisQ: Disentangling Quantitative MRI Mapping of the Heart","Yang, C. (TU Delft ImPhys/Medical Imaging); Zhao, Y. (TU Delft ImPhys/Medical Imaging); Huang, Lu (Huazhong University of Science and Technology); Xia, Liming (Huazhong University of Science and Technology); Tao, Q. (TU Delft ImPhys/Medical Imaging)","Wang, Linwei (editor); Dou, Qi (editor); Fletcher, P. Thomas (editor); Speidel, Stefanie (editor); Li, Shuo (editor)","2022","Quantitative MRI (qMRI) of the heart has become an important clinical tool for examining myocardial tissue properties. Because heart is a moving object, it is usually imaged with electrocardiogram and respiratory gating during acquisition, to “freeze” its motion. In reality, gating is more-often-than-not imperfect given the heart rate variability and nonideal breath-hold. qMRI of the heart, consequently, is characteristic of varying image contrast as well as residual motion, the latter compromising the quality of quantitative mapping. Motion correction is an important step prior to parametric mapping, however, a long-standing difficulty for registering the dynamic sequence is that the contrast across frames varies wildly: depending on the acquisition scheme some frames can have extremely poor contrast, which fails both traditional optimization-based and modern learning-based registration methods. In this work, we propose a novel framework named DisQ, which Disentangles Quantitative mapping sequences into the latent space of contrast and anatomy, fully unsupervised. The disentangled latent spaces serve for the purpose of generating a series of images with identical contrast, which enables easy and accurate registration of all frames. We applied our DisQ method to the modified Look-Locker inversion recovery (MOLLI) sequence, and demonstrated improved performance of T1 mapping. In addition, we showed the possibility of generating a dynamic series of baseline images with exactly the same shape, strictly registered and perfectly “frozen"". Our proposed DisQ methodology readily extends to other types of cardiac qMRI such as T2 mapping and perfusion.","Motion correction; Quantitative magnetic resonance imaging; T mapping; Unsupervised disentangled representation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Medical Imaging","","",""
"uuid:4ee7b535-9428-4e67-a1b0-11780c16545c","http://resolver.tudelft.nl/uuid:4ee7b535-9428-4e67-a1b0-11780c16545c","Equitable Access to Intelligent Tutoring Systems Through Paper-Digital Integration","Patel, Nirmal (Playpower Labs); Thakkar, Mithilesh (Playpower Labs); Rabadiya, Bansri (Teach for India, Ahmedabad); Patel, Darshan (Playpower Labs); Malvi, Shrey (Playpower Labs); Sharma, Aditya (Playpower Labs); Lomas, J.D. (TU Delft Design Aesthetics)","Crossley, Scott (editor); Popescu, Elvira (editor)","2022","Intelligent Tutoring Systems (ITS) can only respond adaptively to the digital learning activities of the students. If students are learning offline without any digital devices, they have little or no means to receive personalized learning materials with the help of intelligent systems. This paper proposes a Paper-Digital Integration System that can provide offline learners equitable access to ITS capabilities by looking at their work on paper and giving personalized printable feedback. We analyzed data from a paper algebra assessment of N = 17 students and found mistakes that may generalize and help us offer adaptive paper-based recommendations to students. Our analysis showed us some specific algebra mistakes that may help in providing intelligent feedback.","Equitable access; Offline intelligent tutor; Offline learning; Paper-digital integration","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Aesthetics","","",""
"uuid:4da10213-4c3c-484c-9d62-1fb936d54dab","http://resolver.tudelft.nl/uuid:4da10213-4c3c-484c-9d62-1fb936d54dab","Two-Population SIR Model and Strategies to Reduce Mortality in Pandemics","Ma, L. (TU Delft Network Architectures and Services); Kitsak, M.A. (TU Delft Network Architectures and Services); Van Mieghem, P.F.A. (TU Delft Network Architectures and Services)","Benito, Rosa Maria (editor); Cherifi, Chantal (editor); Cherifi, Hocine (editor); Moro, Esteban (editor); Rocha, Luis M. (editor); Sales-Pardo, Marta (editor)","2022","Despite many studies on the transmission mechanism of the Severe acute respiratory syndrome coronavirus 2 (SARS-CoV-2), it remains still challenging to efficiently reduce mortality. In this work, we apply a two-population Susceptible-Infected-Removed (SIR) model to investigate the COVID-19 spreading when contacts between elderly and non-elderly individuals are reduced due to the high mortality risk of elderly people. We discover that the reduction of connections between two populations can delay the death curve but cannot reduce the final mortality. We propose a merged SIR model, which advises elderly individuals to interact less with their non-elderly connections at the initial stage but interact more with their non-elderly relationships later, to reduce mortality. Finally, immunizing elderly hub individuals can also significantly decrease mortality.","Complex networks; COVID-19 pandemic; Mortality reduction; Two-population SIR model","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-04","","","Network Architectures and Services","","",""
"uuid:0b6497ec-6fc0-4776-b805-bf2cd38f97cd","http://resolver.tudelft.nl/uuid:0b6497ec-6fc0-4776-b805-bf2cd38f97cd","Effect of Hot Isostatic Pressing on the Microstructure of Directionally Solidified Nickel Alloy After SLM","Borisov, Evgenii (Peter the Great Saint-Petersburg Polytechnic University); Gracheva, Anna (Peter the Great Saint-Petersburg Polytechnic University); Popovich, V. (TU Delft Team Vera Popovich; Peter the Great Saint-Petersburg Polytechnic University); Popovich, Anatoly (Peter the Great Saint-Petersburg Polytechnic University)","","2022","The paper investigates the effect of hot isostatic pressing of single-crystal nickel-based alloy manufactured by selective laser melting (SLM) with a high-temperature substrate preheating. A study of the structure and phase composition of the material before and after treatment has been carried out. It was found that as a result of such treatment, the ratio and proportion of the strengthening phases change; however, due to slow cooling after treatment, the optimal ratio and shape of the inclusions are not fixed. In addition, the hardening particles are precipitated.","Additive manufacturing; Powder metallurgy; Selective laser melting; Single-crystal alloys","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Vera Popovich","","",""
"uuid:c57471a6-6eb3-4fdf-987e-2737819376fa","http://resolver.tudelft.nl/uuid:c57471a6-6eb3-4fdf-987e-2737819376fa","Collaborative Sensemaking of Design-Enabled Urban Innovations:: The MappingDESIGNSCAPES Case","de Moor, Aldo (CommunitySense, Tilburg); Papalioura, Evi (Ministry of Environment and Energy, Thessaloniki); Taka, Evi (Municipality of Neapolis-Sikeon, Thessaloniki); Rapti, Dora (External organisation); Wolff, Annika (LUT University); Knutas, Antti (LUT University); te Velde, T. (Suit-Case); Mulder, I. (TU Delft Design Conceptualization and Communication)","Polovina, Rubina (editor); Polovina, Simon (editor); Kemp, Neil (editor)","2022","Wicked societal problems, such as environmental issues and climate
change, are complex, networked problems involving numerous intertwined issues,no optimal solutions, and a wide range of stakeholders. Cities are problem owners and living labs for finding solutions through design-enabled innovation initiatives. However, to reach collective impact, it is paramount that these initiatives can learn from one another and align efforts through collaborative sensemaking. In the MappingDESIGNSCAPES project, we piloted a participatory collaboration mapping approach for cross-case sensemaking across design-enabled urban innovation initiatives. We used the CommunitySensor methodology for participatory community network mapping together with the Kumu online network visualization tool to help representatives of three urban prototype cases share and collectively make sense of their design lessons learnt. In this second of two papers, we build on the participatory mapping foundation introduced in [1]. We describe the collaborative sensemaking approach used, then present the core collaboration patterns and
common perspectives that form the sensemaking scaffolding. We show how we
collaboratively made sense by first taking individual perspectives, then making
common sense together. An extended discussion puts our findings in a larger context of how an approach like MappingDESIGNSCAPES can be used to move from collaborative sensemaking to collective impact in design-driven urban innovation.","Design-enabled urban innovation; Participatory mapping; Collaborative sensemaking; Collective impact","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Conceptualization and Communication","","",""
"uuid:fece0070-4590-43aa-82e2-449eaadfa6b2","http://resolver.tudelft.nl/uuid:fece0070-4590-43aa-82e2-449eaadfa6b2","Deliberate Practice of Handwriting: Supervision Under the Ghost of an Expert","Dikken, O.D.F. (TU Delft New Media Centre); Limbu, B.H. (TU Delft Web Information Systems); Specht, M.M. (TU Delft Web Information Systems)","Hilliger, Isabel (editor); Muñoz-Merino, Pedro J. (editor); De Laet, Tinne (editor); Ortega-Arranz, Alejandro (editor); Farrell, Tracie (editor)","2022","It takes considerable time, experience, and direct assistance from teachers to become a skilled writer. Handwriting fluency is one of the predictors of writing quality among students. However, students do not receive enough teacher supervision as a beginner to develop handwriting fluency in a proper manner. The ``Calligraphy tutor'' presented in this paper, is an application developed to assist teachers to help students learn proper handwriting fluency skills. Calligraphy tutor is designed to support deliberate practice of handwriting, in which teachers play the central role. To reduce workload of teachers, Calligraphy tutor automates repetitive actions such as providing mundane real-time feedback, while also collecting performance data from students, allowing students to practice without the presence of a teacher. The collected performance data is used by teachers to further personalise students' training.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","New Media Centre","","",""
"uuid:f60a4d00-d79a-4b75-9bdb-e427f3e8328b","http://resolver.tudelft.nl/uuid:f60a4d00-d79a-4b75-9bdb-e427f3e8328b","A Novel Multi-vision Sensor Dataset for Insect-Inspired Outdoor Autonomous Navigation","Verheyen, Jan K.N. (Student TU Delft); Dupeyroux, J.J.G. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation)","Hunt, Alexander (editor); Vouloutsi, Vasiliki (editor); Moses, Kenneth (editor); Quinn, Roger (editor); Mura, Anna (editor); Prescott, Tony (editor); Verschure, Paul F. (editor)","2022","Insects have—over millions of years of evolution—perfected many of the systems that roboticists aim to achieve; they can swiftly and robustly navigate through different environments under various conditions while at the same time being highly energy efficient. To reach this level of performance and efficiency, one might want to look at and take inspiration from how these insects achieve their feats. Currently, no dataset exists that allows bio-inspired navigation models to be evaluated over long >100 m real-life routes. We present a novel dataset containing omnidirectional event vision, frame-based vision, depth frames, inertial measurement (IMU) readings, and centimeter-accurate GNSS positioning over kilometer long stretches in and around the TUDelft campus. The dataset is used to evaluate familiarity-based insect-inspired neural navigation models on their performance over longer sequences. It demonstrates that current scene familiarity models are not suited for long-ranged navigation, at least not in their current form.","Event-based camera; GNSS; GPS; Long-range navigation; Neuromorphic systems; RGB Camera","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Control & Simulation","","",""
"uuid:4f847cc0-6010-46c9-9320-7a9b71c0a271","http://resolver.tudelft.nl/uuid:4f847cc0-6010-46c9-9320-7a9b71c0a271","The Complexity of Norm Synthesis and Revision","Dell'Anna, D. (TU Delft Control & Simulation); Alechina, Natasha (Universiteit Utrecht); Dalpiaz, Fabiano (Universiteit Utrecht); Dastani, Mehdi (Universiteit Utrecht); Löffler, Maarten (Universiteit Utrecht); Logan, Brian (Universiteit Utrecht; University of Aberdeen)","Ajmeri, Nirav (editor); Morris Martin, Andreasa (editor); Savarimuthu, Bastin Tony (editor)","2022","Norms have been widely proposed as a way of coordinating and controlling the activities of agents in a multi-agent system (MAS). A norm specifies the behaviour an agent should follow in order to achieve the objective of the MAS. However, designing norms to achieve a particular system objective can be difficult, particularly when there is no direct link between the language in which the system objective is stated and the language in which the norms can be expressed. In this paper, we consider the problem of synthesising a norm from traces of agent behaviour, where each trace is labelled with whether the behaviour satisfies the system objective. We show that the norm synthesis problem and several related problems are NP-complete.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Control & Simulation","","",""
"uuid:a3d20382-ecc4-4a8b-b15d-b9301309447f","http://resolver.tudelft.nl/uuid:a3d20382-ecc4-4a8b-b15d-b9301309447f","Design-to-Fabrication Workflow for Bending-Active Gridshells as Stay-in-Place Falsework and Reinforcement for Ribbed Concrete Shell Structures","Scheder-Bieschin (Aldinger), Lotte (ETH Zürich); Spiekermann, Kerstin (ETH Zürich); Popescu, M.A. (TU Delft Applied Mechanics); Bodea, Serban (ETH Zürich); Van Mele, Tom (ETH Zürich); Block, Philippe (ETH Zürich)","Gengnagel, Christoph (editor); Baverel, Olivier (editor); Betti, Giovanni (editor); Popescu, Mariana (editor); Thomsen, Mette Ramsgaard (editor); Wurm, Jan (editor)","2022","Facing the challenges of our environmental crisis, the AEC sector must significantly lower its carbon footprint and use of first-use resources. A specific target is the reduction of the amount of concrete used. Funicular structures that base their strength on their structurally-informed geometry allow for material efficiency. However, a bottleneck for their construction lies in their costly and wasteful formworks and complex reinforcement placement.","Bending-active gridshell; Flexible formworks; Integrated formwork; Reinforcement; Ribbed concrete shell; COMPAS framework; FEA; Double-layered gridshell","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Mechanics","","",""
"uuid:70aca650-6bf2-4ecf-aad6-890ca9bb3d3a","http://resolver.tudelft.nl/uuid:70aca650-6bf2-4ecf-aad6-890ca9bb3d3a","Teachers in a Searchable World: Findings from an Introductory Survey","Murgia, Emiliana (Università degli Studi di Milano Bicocca); Landoni, Monica (University of Lugano); Huibers, Theo (University of Twente); Pera, M.S. (TU Delft Web Information Systems)","Ranieri, Maria (editor); Menichetti, Laura (editor); Roffi, Alice (editor); Luzzi, Damiana (editor); Pellegrini, Marta (editor)","2022","In this manuscript, we discuss the findings from an introductory survey conducted with more than 50 teachers in Italy. We inquired about teachers’ opinions of educational technology used in the classroom, in particular search tools. Qualitative and quantitative data inferred from collected responses provide us with a multifaceted picture of the different roles teachers perform in the classroom when interacting with technology, their preferences, skills, perceptions of the needs for training, in addition to the principles and motivations that guide them. Findings emerging from this survey serve as a foundation for an international study that would allow us to better model teachers’ needs and the barriers they face when using search tools in the classroom.","Classroom; Information access; Information retrieval; Search; Teachers","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Web Information Systems","","",""
"uuid:fdf8f221-d94a-4708-9bee-94f2de1dbc3a","http://resolver.tudelft.nl/uuid:fdf8f221-d94a-4708-9bee-94f2de1dbc3a","A Tale of Two Boards: On the Influence of Microarchitecture on Side-Channel Leakage","Arora, Vipul (Riscure); Buhan, Ileana (Radboud Universiteit Nijmegen); Perin, G. (TU Delft Cyber Security); Picek, S. (TU Delft Cyber Security)","Grosso, Vincent (editor); Pöppelmann, Thomas (editor)","2022","Advances in cryptography have enabled the features of confidentiality, security, and integrity on small embedded devices such as IoT devices. While mathematically strong, the platform on which an algorithm is implemented plays a significant role in the security of the final product. Side-channel attacks exploit the variations in the system’s physical characteristics to obtain information about the sensitive data. In our scenario, a software implementation of a cryptographic algorithm is flashed on devices from different manufactures with the same instruction set configured for identical execution. To analyze the influence of the microarchitecture on side-channel leakage, we acquire thirty-two sets of power traces from four physical devices. While we notice minor differences in the leakage behavior for different physical boards from the same manufacturer, our results confirm that the difference in microarchitecture implementations of the same core will leak different side-channel information. We also show that TVLA leakage prediction should be treated with caution as it is sensitive to both false positives and negatives.","Microarchitecture; Side-channel leakage; TVLA","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:9a41ec63-7636-4e0b-b033-f52d971afda8","http://resolver.tudelft.nl/uuid:9a41ec63-7636-4e0b-b033-f52d971afda8","Extended, Distributed, and Predictive: Sketches of a Generative Theory of Interaction for HCI","Browne, J.T. (TU Delft Methodologie en Organisatie van Design; Philips Research); Garnham, Ignacio (Aarhus University)","Stephanidis, Constantine (editor); Antona, Margherita (editor); Ntoa, Stavroula (editor); Salvendy, Gavriel (editor)","2022","This paper blends work in extended mind, distributed cognition, and predictive processing to provide a novel generative theory of interaction. This dovetailing offers an emerging picture of cognition that HCI stands to benefit from: our cognition is extended, distributed, and constantly trying to predict incoming sensory stimuli across social, cultural, and temporal scales. We develop a sketch of a generative theory of interaction for HCI and offer some directions for future work.","Artificial Intelligence; Distributed cognition; Extended mind; Generative theory of interaction; HCI; Predictive processing","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Methodologie en Organisatie van Design","","",""
"uuid:938b8ec5-13ab-4f90-801b-529cc8608d66","http://resolver.tudelft.nl/uuid:938b8ec5-13ab-4f90-801b-529cc8608d66","Efficient Bayesian Uncertainty Estimation for nnU-Net","Zhao, Y. (TU Delft ImPhys/Medical Imaging); Yang, C. (TU Delft ImPhys/Medical Imaging); Schweidtmann, A.M. (TU Delft ChemE/Product and Process Engineering); Tao, Q. (TU Delft ImPhys/Medical Imaging)","Wang, Linwei (editor); Dou, Qi (editor); Fletcher, P. Thomas (editor); Speidel, Stefanie (editor); Li, Shuo (editor)","2022","The self-configuring nnU-Net has achieved leading performance in a large range of medical image segmentation challenges. It is widely considered as the model of choice and a strong baseline for medical image segmentation. However, despite its extraordinary performance, nnU-Net does not supply a measure of uncertainty to indicate its possible failure. This can be problematic for large-scale image segmentation applications, where data are heterogeneous and nnU-Net may fail without notice. In this work, we introduce a novel method to estimate nnU-Net uncertainty for medical image segmentation. We propose a highly effective scheme for posterior sampling of weight space for Bayesian uncertainty estimation. Different from previous baseline methods such as Monte Carlo Dropout and mean-field Bayesian Neural Networks, our proposed method does not require a variational architecture and keeps the original nnU-Net architecture intact, thereby preserving its excellent performance and ease of use. Additionally, we boost the segmentation performance over the original nnU-Net via marginalizing multi-modal posterior models. We applied our method on the public ACDC and M &M datasets of cardiac MRI and demonstrated improved uncertainty estimation over a range of baseline methods. The proposed method further strengthens nnU-Net for medical image segmentation in terms of both segmentation accuracy and quality control.","nnU-Net; Stochastic gradient descent; Uncertainty estimation; Variational inference","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Medical Imaging","","",""
"uuid:df9e880f-61eb-4444-947c-546a787aac8f","http://resolver.tudelft.nl/uuid:df9e880f-61eb-4444-947c-546a787aac8f","Evaluating the Robustness of Retrieval Pipelines with Query Variation Generators","Penha, G. (TU Delft Web Information Systems); Câmara, Arthur (TU Delft Web Information Systems); Hauff, C. (TU Delft Web Information Systems)","Hagen, Matthias (editor); Verberne, Suzan (editor); Macdonald, Craig (editor); Seifert, Christin (editor); Balog, Krisztian (editor); Nørvåg, Kjetil (editor); Setty, Vinay (editor)","2022","Heavily pre-trained transformers for language modeling, such as BERT, have shown to be remarkably effective for Information Retrieval (IR) tasks, typically applied to re-rank the results of a first-stage retrieval model. IR benchmarks evaluate the effectiveness of retrieval pipelines based on the premise that a single query is used to instantiate the underlying information need. However, previous research has shown that (I) queries generated by users for a fixed information need are extremely variable and, in particular, (II) neural models are brittle and often make mistakes when tested with modified inputs. Motivated by those observations we aim to answer the following question: how robust are retrieval pipelines with respect to different variations in queries that do not change the queries’ semantics? In order to obtain queries that are representative of users’ querying variability, we first created a taxonomy based on the manual annotation of transformations occurring in a dataset (UQV100) of user-created query variations. For each syntax-changing category of our taxonomy, we employed different automatic methods that when applied to a query generate a query variation. Our experimental results across two datasets for two IR tasks reveal that retrieval pipelines are not robust to these query variations, with effectiveness drops of ≈ 20 % on average. The code and datasets are available at https://github.com/Guzpenha/query_variation_generators.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Web Information Systems","","",""
"uuid:01ecc5f8-655b-4224-bada-e05a552efa33","http://resolver.tudelft.nl/uuid:01ecc5f8-655b-4224-bada-e05a552efa33","A Cooperative Protocol for Vehicle Merging Using Bi-dimensional Artificial Potential Fields","Liu, Zhengqiang (Southeast University); Liu, Di (Southeast University; Rijksuniversiteit Groningen); Yu, Wenwu (Southeast University); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","Kim, Jinwhan (editor); Englot, Brendan (editor); Park, Hae-Won (editor); Choi, Han-Lim (editor); Myung, Hyun (editor); Kim, Junmo (editor); Kim, Jong-Hwan (editor)","2022","In recent years, platooning solutions like cooperative adaptive cruise control (CACC) have been deeply studied. It is common in such platooning literature to assume that the vehicles drive on the same lane (longitudinal platooning). At the same time, lateral control during merging maneuvers is commonly addressed as a path planning problem, in which the ego vehicle changes the lane during merging without necessarily cooperating with its neighboring vehicles (i.e. without considering gap closing). The primary objective of this article is to develop a control strategy which involves both longitudinal and lateral vehicle dynamics, where the vehicles merge and form a platoon in a cooperative way without a priori path planning. Appropriately designed bi-dimensional artificial potential fields are used to achieve this goal and the proposed protocol is verified through simulations with CarSim.","Artificial potential fields; Lateral control; Longitudinal control; Merging maneuver; Platooning","en","conference paper","Springer","","","","","Accepted Author Manuscript","","2023-04-01","","","Team Bart De Schutter","","",""
"uuid:5670e4d3-d595-4b5b-be42-5047cd643cbf","http://resolver.tudelft.nl/uuid:5670e4d3-d595-4b5b-be42-5047cd643cbf","Inexact Subdomain Solves Using Deflated GMRES for Helmholtz Problems","Bootland, N. (University of Strathclyde); Dwarka, V.N.S.R. (TU Delft Numerical Analysis); Jolivet, P. (ENSIACET); Dolean, V. (University of Strathclyde; Université Côte d'Azur); Vuik, Cornelis (TU Delft Delft Institute of Applied Mathematics)","Brenner, Susanne C. (editor); Klawonn, Axel (editor); Xu, Jinchao (editor); Chung, Eric (editor); Zou, Jun (editor); Kwok, Felix (editor)","2022","In recent years, domain decomposition based preconditioners have become popular tools to solve the Helmholtz equation. Notorious for causing a variety of convergence issues, the Helmholtz equation remains a challenging PDE to solve numerically. Even for simple model problems, the resulting linear system after discretisation becomes indefinite and tailored iterative solvers are required to obtain the numerical solution efficiently. At the same time, the mesh must be kept fine enough in order to prevent numerical dispersion ‘polluting’ the solution [4]. This leads to very large linear systems, further amplifying the need to develop economical solver methodologies.","","en","conference paper","Springer","","","","","","","","","","Numerical Analysis","","",""
"uuid:009ec94d-74fb-4b61-9bbe-ab5de0339e58","http://resolver.tudelft.nl/uuid:009ec94d-74fb-4b61-9bbe-ab5de0339e58","Facilitated Tabletop Games in a Mediated Environment","aan het Rot, H.N. (Student TU Delft); Kortmann, Rens (TU Delft Policy Analysis); Verbraeck, A. (TU Delft Policy Analysis); de Vries, G. (TU Delft Organisation & Governance); Kooreman, M. (Stichting MaySways); de Bruin, B.J.E. (Stichting MaySways)","Dhar, Upinder (editor); Dubey, Jigyasu (editor); Dumblekar, Vinod (editor); Meijer, Sebastiaan (editor); Lukosch, Heide (editor)","2022","Tabletop games that require the aid of a human facilitator are typically designed for a physical environment. However, during the COVID-19 pandemic, lockdown measures prevented people from gathering. Therefore, tabletop games were sometimes played and facilitated in a mediated environment using online communication tools instead. But this setting possibly deteriorates the players’ game experience. To understand the effect of playing facilitated tabletop games in a mediated environment we measured the player experience of the game Cue Kitchen in a mixed-method study comparing physical and mediated game sessions. Forty-four players played eleven game sessions, three in a physical environment and eight in a mediated environment. Of all seven dimensions of game experience measured, only one differed significantly between the two experimental conditions: players in a mediated environment became significantly more tired than players in a physical environment. The qualitative results explained why: players in a physical setting can wander off, while players in an online setting have to stay focused on their screen and, therefore, grow more tired. The research results suggest that facilitated tabletop games may be played in a mediated environment instead of a physical environment, without significant loss of player experience.","Tabletop games; Game experience; Mediated environment; Sense of presence; Zoom fatigue","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-27","","","Policy Analysis","","",""
"uuid:19952dd8-063a-4c1a-8890-a43bbba14f5c","http://resolver.tudelft.nl/uuid:19952dd8-063a-4c1a-8890-a43bbba14f5c","“Risk Management Can Actually Be Fun”: Using the Serious Cards for Biosafety Game to Stimulate Proper Discussions About Biosafety","Freese, M. (TU Delft Policy Analysis); Tiemersma, S.A. (TU Delft Game Lab); Verbraeck, A. (TU Delft Policy Analysis)","Dhar, Upinder (editor); Dubey, Jigyasu (editor); Dumblekar, Vinod (editor); Meijer, Sebastiaan (editor); Lukosch, Heide (editor)","2022","As part of a Dutch Science Foundation project called T-TRIPP, the authors developed the serious game Cards for Biosafety. The aim of Cards for Biosafety is to let young biotechnology researchers learn more about biosafety. Analyses of workshops with researchers from the biotechnology domain as well as results of interviews with several biosafety officers clearly indicated the need for such a serious game with a focus on educational learning. Cards for Biosafety is a physical (also playable online on Tabletopia) round-based card game and playable with up to eight players. The game itself consists of scenario, risk and measure cards, and the task of the players is to choose risk and measure cards that fit the scenario explained by the facilitator at the beginning of each round. To test the efficiency of Cards for Biosafety as a learning tool, the authors conducted two online-workshops with twelve participants. The results of these sessions have not only shown that Cards for Biosafety is a well-designed game, but also a successful game to achieve the intended learning goal. In addition, the authors recognized that ‘fun’ is an important element in the game which leads to ‘learning’ in a very effective way. Future research should focus on the role of such positive states in serious games and their influence on learning outcomes.","Biosafety; Biotechnology; Cards for Biosafety; Covid-19; Game design; Learning goals; Self-reflection moments; Serious games","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-27","","","Policy Analysis","","",""
"uuid:e8a5afc4-20f4-479d-927a-e9fe743185f9","http://resolver.tudelft.nl/uuid:e8a5afc4-20f4-479d-927a-e9fe743185f9","Frame Game as Teaching Methodology in Higher Education: The Case of RElastiCity","Bekebrede, G. (TU Delft Organisation & Governance); Champlin, C.J. (TU Delft Design Conceptualization and Communication)","Dhar, Upinder (editor); Dubey, Jigyasu (editor); Dumblekar, Vinod (editor); Meijer, Sebastiaan (editor); Lukosch, Heide (editor)","2022","The objective of the study is to illustrate the use of the frame game, RElastiCity as a framework to learn about the resilience of urban areas and the shocks and stresses in those areas. The question is if use of the frame game as a basis for game co-design is a useful approach to explore complex systems and its dynamics. This study covers the exploratory application of the approach in two university courses in the Netherlands. The results show divergent student experiences between the two courses. The main difference between the courses was the scope of the co-design assignment and the amount of time students had to complete the design process. It was found that using frame games as a framework for understanding complex systems is useful if students have sufficient time to investigate the topic, develop the game and playtest the game.","Co-design; Complex systems; Frame game; Teaching method; Urban resilience","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Organisation & Governance","","",""
"uuid:43f969df-969f-4c8f-9a0e-eeeedc62aa61","http://resolver.tudelft.nl/uuid:43f969df-969f-4c8f-9a0e-eeeedc62aa61","On the Convergence of DEM’s Linear Parameter Estimator","Anil Meera, A. (TU Delft Robot Dynamics); Wisse, M. (TU Delft Robot Dynamics)","Kamp, Michael (editor); Kamp, Michael (editor); Koprinska, Irena (editor); , et. al. (editor)","2022","The free energy principle from neuroscience provides an efficient data-driven framework called the Dynamic Expectation Maximization (DEM), to learn the generative model in the environment. DEM’s growing potential to be the brain-inspired learning algorithm for robots demands a mathematically rigorous analysis using the standard control system tools. Therefore, this paper derives the mathematical proof of convergence for its parameter estimator for linear state space systems, subjected to colored noise. We show that the free energy based parameter learning converges to a stable solution for linear systems. The paper concludes by providing a proof of concept through simulation for a wide range of spring damper systems.","Dynamic expectation maximization; Free energy principle; Linear state space systems; Parameter estimation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Robot Dynamics","","",""
"uuid:932b7328-e6b8-495e-9e5f-1bd05d59ef78","http://resolver.tudelft.nl/uuid:932b7328-e6b8-495e-9e5f-1bd05d59ef78","Modelling Zeros in Blockmodelling","Park, Laurence A.F. (Western Sydney University); Ganji, Mohadeseh (ANZ Branch, Melbourne); Demirović, E. (TU Delft Algorithmics); Chan, Jeffrey (Royal Melbourne Institute of Technology University); Stuckey, Peter (Monash University); Bailey, James (University of Melbourne); Leckie, Christopher (University of Melbourne); Kotagiri, Rao (University of Melbourne)","Gama, João (editor); Li, Tianrui (editor); Yu, Yang (editor); Chen, Enhong (editor); Zheng, Yu (editor); Teng, Fei (editor)","2022","Blockmodelling is the process of determining community structure in a graph. Real graphs contain noise and so it is up to the blockmodelling method to allow for this noise and reconstruct the most likely role memberships and role relationships. Relationships are encoded in a graph using the absence and presence of edges. Two objects are considered similar if they each have edges to a third object. However, the information provided by missing edges is ambiguous and therefore can be measured in different ways. In this article, we examine the effect of the choice of block metric on blockmodelling accuracy and find that data relationships can be position based or set based. We hypothesise that this is due to the data containing either Hamming noise or Jaccard noise. Experiments performed on simulated data show that when no noise is present, the accuracy is independent of the choice of metric. But when noise is introduced, high accuracy results are obtained when the choice of metric matches the type of noise.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Algorithmics","","",""
"uuid:edf86cd0-5ac2-4b66-ad27-9df4128f5ae3","http://resolver.tudelft.nl/uuid:edf86cd0-5ac2-4b66-ad27-9df4128f5ae3","Searching, Learning, and Subtopic Ordering: A Simulation-Based Analysis","Câmara, Arthur (TU Delft Web Information Systems); Maxwell, D.M. (TU Delft Web Information Systems); Hauff, C. (TU Delft Web Information Systems)","Hagen, Matthias (editor); Verberne, Suzan (editor); Macdonald, Craig (editor); Seifert, Christin (editor); Balog, Krisztian (editor); Nørvåg, Kjetil (editor); Setty, Vinay (editor)","2022","Complex search tasks—such as those from the Search as Learning (SAL) domain—often result in users developing an information need composed of several aspects. However, current models of searcher behaviour assume that individuals have an atomic need, regardless of the task. While these models generally work well for simpler informational needs, we argue that searcher models need to be developed further to allow for the decomposition of a complex search task into multiple aspects. As no searcher model yet exists that considers both aspects and the SAL domain, we propose, by augmenting the Complex Searcher Model (CSM), the Subtopic Aware Complex Searcher Model (SACSM)—modelling aspects as subtopics to the user’s need. We then instantiate several agents (i.e., simulated users), with different subtopic selection strategies, which can be considered as different prototypical learning strategies (e.g., should I deeply examine one subtopic at a time, or shallowly cover several subtopics?). Finally, we report on the first large-scale simulated analysis of user behaviours in the SAL domain. Results demonstrate that the SACSM, under certain conditions, simulates user behaviours accurately.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Web Information Systems","","",""
"uuid:359b2a96-a7de-407d-a736-694335e1dc11","http://resolver.tudelft.nl/uuid:359b2a96-a7de-407d-a736-694335e1dc11","Strategic Bidding in Decentralized Collaborative Vehicle Routing","Los, J. (TU Delft Transport Engineering and Logistics); Schulte, F. (TU Delft Transport Engineering and Logistics); Spaan, M.T.J. (TU Delft Algorithmics); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","Freitag, Michael (editor); Kinra, Aseem (editor); Kotzab, Herbert (editor); Megow, Nicole (editor)","2022","Collaboration in transportation is important to reduce costs and emissions, but carriers may have incentives to bid strategically in decentralized auction systems. We investigate what the effect of the auction strategy is on the possible cheating benefits in a dynamic context, such that we can recommend a method with lower chances for carriers to cheat. We consider both a first-price auction system and a second-price auction scheme. Contrary to what was expected, a second-price auction scheme gives more room for successful strategic behaviour, while it also results in more rejected orders. A first-price auction scheme might be useful in practice if the profit shares that are allocated to the winner of an auction are selected carefully.","Auctions; Collaborative vehicle routing; Decentralized collaborations; Multi-agent system; Strategic behaviour","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-05","","","Transport Engineering and Logistics","","",""
"uuid:9244f01e-ca70-4595-83c8-7e6cfd6800d3","http://resolver.tudelft.nl/uuid:9244f01e-ca70-4595-83c8-7e6cfd6800d3","Gaussian Process Latent Force Models for Virtual Sensing in a Monopile-Based Offshore Wind Turbine","Zou, J. (TU Delft Offshore Engineering); Cicirello, A. (TU Delft Mechanics and Physics of Structures); Iliopoulos, Alexandros (Siemens); Lourens, E. (TU Delft Dynamics of Structures; TU Delft Offshore Engineering)","Rizzo, Piervincenzo (editor); Milazzo, Alberto (editor)","2022","Fatigue assessment in offshore wind turbine support structures requires the monitoring of strains below the mudline, where the highest bending moments occur. However, direct measurement of these strains is generally impractical. This paper presents the validation of a virtual sensing technique based on the Gaussian process latent force model for dynamic strain monitoring. The dataset, taken from an operating near-shore turbine in the Westermeerwind Park in the Netherlands, provides a unique opportunity for validation of strain estimates at locations below the mudline using strain gauges embedded within the monopile foundation.","Bayesian inference; Gaussian process; Offshore wind turbines; Virtual sensing","en","conference paper","Springer","","","","","","","2022-06-19","","","Offshore Engineering","","",""
"uuid:2d284ef6-3806-4af9-8ca9-a438b50e2337","http://resolver.tudelft.nl/uuid:2d284ef6-3806-4af9-8ca9-a438b50e2337","Exploring Regional Agglomeration Dynamics in Face of Climate-Driven Hazards: Insights from an Agent-Based Computational Economic Model","Taberna, A. (TU Delft Policy Analysis); Filatova, T. (TU Delft Policy Analysis); Roventini, Andrea (Scuola Superiore Sant’Anna); Lamperti, Francesco (Scuola Superiore Sant’Anna)","Czupryna, Marcin (editor); Kamiński, Bogumił (editor)","2022","By 2050 about 80% of the world’s population is expected to live in cities. Cities offer spatial economic advantages that create agglomeration forces and innovation that foster concentration of economic activities, but for historic reasons cluster along coasts and rivers that are prone to climate-driven flooding. To explore tradeoffs between agglomeration economies and the changing face of hazards we present an evolutionary economics model with heterogeneous agents. Without climate-induced shocks, the model demonstrates how advantageous transport costs that the waterfront offers lead to the self-reinforcing and path-dependent agglomeration process in coastal areas. The likelihood and speed of such agglomeration strongly depend on the transport cost and magnitude of climate-driven shocks. In particular, shocks of different size have non-linear impact on output growth and spatial distribution of economic activities.","Agent-based model; Agglomeration; Climate; Flood; Migration; Path-dependency; Relocation; Shock","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Policy Analysis","","",""
"uuid:510e4f10-34c4-466a-bb1f-c161a52d87c6","http://resolver.tudelft.nl/uuid:510e4f10-34c4-466a-bb1f-c161a52d87c6","Markov Modulated Process to Model Human Mobility","Chang, Brian (Student TU Delft); Yang, Liufei (Student TU Delft); Sensi, M. (TU Delft Network Architectures and Services); Achterberg, M.A. (TU Delft Network Architectures and Services); Wang, F. (TU Delft Network Architectures and Services); Rinaldi, M. (TU Delft Transport and Planning); Van Mieghem, P.F.A. (TU Delft Network Architectures and Services)","Benito, Rosa Maria (editor); Cherifi, Chantal (editor); Cherifi, Hocine (editor); Moro, Esteban (editor); Rocha, Luis M. (editor); Sales-Pardo, Marta (editor)","2022","We introduce a Markov Modulated Process (MMP) to describe human mobility. We represent the mobility process as a time-varying graph, where a link specifies a connection between two nodes (humans) at any discrete time step. Each state of the Markov chain encodes a certain modification to the original graph. We show that our MMP model successfully captures the main features of a random mobility simulator, in which nodes moves in a square region. We apply our MMP model to human mobility, measured in a library.","Human mobility; Markov chains; Markov modulated process; Modeling; Time-varying networks","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-01","","","Network Architectures and Services","","",""
"uuid:6c1f0979-c4ce-4fbe-bd17-8c79ddb7920e","http://resolver.tudelft.nl/uuid:6c1f0979-c4ce-4fbe-bd17-8c79ddb7920e","WhiskEras 2.0: Fast and Accurate Whisker Tracking in Rodents","Arvanitis, P. (TU Delft Quantum & Computer Engineering; Erasmus MC); Betting, Jan Harm L.F. (Erasmus MC); Bosman, Laurens W.J. (Erasmus MC); Al-Ars, Z. (TU Delft Quantum & Computer Engineering; TU Delft Computer Engineering); Strydis, C. (Erasmus MC)","Orailoglu, Alex (editor); Jung, Matthias (editor); Reichenbach, Marc (editor)","2022","Mice and rats can rapidly move their whiskers when exploring the environment. Accurate description of these movements is important for behavioral studies in neuroscience. Whisker tracking is, however, a notoriously difficult task due to the fast movements and frequent crossings and juxtapositionings among whiskers. We have recently developed WhiskEras, a computer-vision-based algorithm for whisker tracking in untrimmed, head-restrained mice. Although WhiskEras excels in tracking the movements of individual unmarked whiskers over time based on high-speed videos, the initial version of WhiskEras still had two issues preventing its widespread use: it involved tuning a great number of parameters manually to adjust for different experimental setups, and it was slow, processing less than 1 frame per second. To overcome these problems, we present here WhiskEras 2.0, in which the unwieldy stages of the initial algorithm were improved. The enhanced algorithm is more robust, not requiring intense parameter tuning. Furthermore, it was accelerated by first porting the code from MATLAB to C++ and then using advanced parallelization techniques with CUDA and OpenMP to achieve a speedup of at least 75x when processing a challenging whisker video. The improved WhiskEras 2.0 is made publicly available and is ready for processing high-speed videos, thus propelling behavioral research in neuroscience, in particular on sensorimotor integration.","Acceleration; Algorithmic improvement; Whisker tracking","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:ec8bf07e-835e-446c-aa4f-463da209d6a7","http://resolver.tudelft.nl/uuid:ec8bf07e-835e-446c-aa4f-463da209d6a7","A Methodology to Develop Agent-Based Models for Policy Design in Socio-Technical Systems Based on Qualitative Inquiry","Nespeca, V. (TU Delft System Engineering); Comes, M. (TU Delft Transport and Logistics; TU Delft System Engineering); Brazier, F.M. (TU Delft System Engineering)","Czupryna, Marcin (editor); Kamiński, Bogumił (editor)","2022","Agent-based models (ABM) for policy design need to be grounded in empirical data. While many ABMs rely on quantitative data such as surveys, much empirical research in the social sciences is based on qualitative research methods such as interviews or observations that are hard to translate into a set of quantitative rules, leading to a gap in the phenomena that ABM can explain. As such, there is a lack of a clear methodology to systematically develop ABMs for policy design on the basis of qualitative empirical research. In this paper, a two-stage methodology is proposed that takes an exploratory approach to the development of ABMs in socio-technical systems based on qualitative data. First, a conceptual framework centered on a particular policy design problem is developed based on empirical insights from one or more case studies. Second, the framework is used to guide the development of an ABM. This step is sensitive to the purpose of the model, which can be theoretical or empirical. The proposed methodology is illustrated by an application for disaster information management in Jakarta, resulting in an empirical descriptive ABM.","Agent-based modeling; Disaster Management; Information management; qualitative methods; Exploratory research; Indonesia","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-30","","","System Engineering","","",""
"uuid:a8a5f552-6483-4a5e-a0bf-928a477677b8","http://resolver.tudelft.nl/uuid:a8a5f552-6483-4a5e-a0bf-928a477677b8","Learning Based Hardware-Centric Quantum Circuit Generation","Schalkers, M.A. (TU Delft Numerical Analysis); Möller, M. (TU Delft Numerical Analysis)","Phillipson, Frank (editor); Eichler, Gerald (editor); Erfurth, Christian (editor); Fahrnberger, Günter (editor)","2022","In this paper we present an approach to find quantum circuits suitable to mimic probabilistic and search operations on a physical NISQ device. We present both a gradient based and a non-gradient based machine learning approach to optimize the created quantum circuits. In our optimization procedure we make use of a cost function that differentiates between the vector representing the probabilities of measurement of each basis state after applying our learned circuit and the desired probability vector. As such our quantum circuit generation (QCG) approach leads to thinner quantum circuits which behave better when executed on physical quantum computers. Our approach moreover ensures that the created quantum circuit obeys the restrictions of the chosen hardware. By catering to specific quantum hardware we can avoid unforeseen and potentially unnecessary circuit depth, and we return circuits that need no further transpilation. We present the results of running the created circuits on quantum computers by IBM, Rigetti and Quantum Inspire.","Hybrid quantum computing; NISQ; Quantum compiling; Quantum computing; Quantum machine learning","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Numerical Analysis","","",""
"uuid:1866962d-4162-405f-a161-122bb9bd8900","http://resolver.tudelft.nl/uuid:1866962d-4162-405f-a161-122bb9bd8900","A Finite Element Thermomechanical Analysis of Polygonal Wear","He, C. (TU Delft Railway Engineering); Yang, Z. (TU Delft Railway Engineering); Zhang, P. (TU Delft Railway Engineering); Li, S. (TU Delft Railway Engineering); Naeimi, M. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","Orlova, Anna (editor); Cole, David (editor)","2022","Polygonal wear is a common type of damage on the railway wheel tread, which could induce wheel-rail impacts and further components failure. This study presents a finite element (FE) thermomechanical model to investigate the causes of wheel polygonal wear. The FE model is able to cope with three possible causes of polygonal wear: thermal effect, initial defects, and structural dynamics. To analyse the influences of the three causes on wheel-rail contact stress and wear depth, different material properties (i.e., elastic, elasto-plastic, thermo-elasto-plastic with thermal softening), and wheel profiles (i.e., round and polygonal) were used in the FE model. The simulation indicates that a high temperature up to 264.20 ℃ could be induced by full-slip wheel-rail rolling contact when the polygonal profile is used. The thermal effect, similar to that induced by tread brake, may then have a significant influence on wheel-rail contact stress and wear depth. In addition, the involvement of initial defects, i.e., polygonal profile, causes wheel-rail impact contact and remarkably increases the contact stress and wear. By reliably considering all the three possible causes, the proposed FE model is believed promising for further explaining the generation mechanisms of wheel polygonal wear.","Finite element model; Initial defects; Polygonal wear; Thermal effect","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Railway Engineering","","",""
"uuid:853cefaf-a0a5-46e7-81d5-295f11f9d374","http://resolver.tudelft.nl/uuid:853cefaf-a0a5-46e7-81d5-295f11f9d374","Design Considerations for Brushwood Fences Concerning Bathymetry and Fence Locations","Dào, H.T. (TU Delft Coastal Engineering; Hanoi University of Natural Resources and Environment, Hanoi); Hofland, Bas (TU Delft Hydraulic Structures and Flood Risk); Stive, M.J.F. (TU Delft Coastal Engineering); Mai, Tri (Hanoi University of Civil Engineering); Ngo, Anh (Thuyloi University)","Huynh, Dat Vu (editor); Tang, Anh Minh (editor); Doan, Dinh Hong (editor); Watson, Phil (editor)","2022","Wooden fences are nature-based supporting structures to restore mangroves in the Mekong Delta. The hydraulic functioning of wooden fences was studied in previous studies. However, the role of bathymetry in the dissipation and damping of waves by wooden fences has not been studied yet. Thus, in this study, a numerical approach is used to find the effect of the position of fences and the foreshore bathymetry, including two particular slopes of 1/200 and 1/500, on wave damping due to wooden fences. The results show that the bottom slope significantly influences the dissipation of incoming waves, the so-called pre-dissipation, before damping by the wooden fences. Differences in pre-dissipation occur between fence locations along the cross-shore slopes. The higher pre-dissipation takes place for wooden fences closer to the land, as the depth-limited wave height at the fence reduces. The efficiency in wave damping of wooden fences is also increasing as the freeboard is becoming larger for the fence located closer landward.","Bottom slope; Fence locations; Numerical model; SWASH; Wooden fences","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-01","","","Coastal Engineering","","",""
"uuid:10efcf3e-cc81-4066-9c0c-291046729cac","http://resolver.tudelft.nl/uuid:10efcf3e-cc81-4066-9c0c-291046729cac","Shear Force in Bolted Connection Due to Traffic and Temperature Loads in Hybrid Steel-FRP Bridges","Gribnau, Koen (Student TU Delft); de Boon, Johan (Rijkswaterstaat); Olivier, G. (TU Delft Steel & Composite Structures); Pavlovic, M. (TU Delft Steel & Composite Structures)","Ilki, Alper (editor); Ispir, Medine (editor); Inci, Pinar (editor)","2022","As many bridges are reaching the end of their service life, researchers are searching for new solutions to extend the lifespan of those bridges. Fibre reinforce polymers (FRP) could be possible a solution for bridges with deck problems. Lightweight FRP decks can be installed quickly via bolted connectors on steel substructure. In general, shear force in the connector is not taken into account during the design of FRP decks because slip behaviour and interaction with steel substructure is unknown. This research connects to research at TU Delft on non-slip shear connectors for FRP decks. Aim of this paper is to quantify shear forces in bolted connectors due to traffic and temperature loads. The direction of webs, fibres in panel facings and the expansion coefficient of resin has been investigated to determine the influence of the FRP deck on the shear force in the connectors. To investigate the results of traffic loading and temperature loading on real bridges, a database of bridges in the Netherlands has been used. Results from the analyses offer an indication of the influence of the laminates on the shear force in the connectors and show shear force ranges that can occur in existing bridges.","Connectors; FRP; Shear force; Temperature load; Traffic load","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Steel & Composite Structures","","",""
"uuid:ba0d1128-f27c-4796-9271-1382d8389b36","http://resolver.tudelft.nl/uuid:ba0d1128-f27c-4796-9271-1382d8389b36","Steel Slag Aggregate Characteristics Evaluation as Railway Ballast","Jing, Guoqing (Beijing Jiaotong University); Aela, Peyman (Beijing Jiaotong University); Zhou, Qiang (Beijing Jiaotong University); Jia, W. (TU Delft Railway Engineering)","Tutumluer, Erol (editor); Nazarian, Soheil (editor); Al-Qadi, Imad (editor); Qamhia, Issam I. A. (editor)","2022","The use of recycled materials is a new tendency in the field of railway engineering. Steel slag aggregates (SSA) are one of the recycled materials derived from the steel industry. The application of SSA in ballasted railway tracks requires mechanical examination. In the present paper, the shear behavior of the ballast layer constructed by SSA and basalt aggregates was considered to assess the use of SSA as a substitution for basalt. In this regard, a series of large-direct shear tests were performed on basalt and SSA under various normal stresses. Based on the results, basalt aggregates have higher shear resistance than SSA for all normal stress. However, steel slag has sufficient shear strength as well as particle abrasion resistance. Overall, it was proven that the SSA has suitable stability against shear forces that could be applied on railway ballast.","Basalt; LA abrasion; Large-direct shear test; Shear resistance; SSA","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Railway Engineering","","",""
"uuid:7a828894-7ffb-4008-b3bf-bed324a92218","http://resolver.tudelft.nl/uuid:7a828894-7ffb-4008-b3bf-bed324a92218","Deep Learning-Based Side-Channel Analysis Against AES Inner Rounds","Swaminathan, Sudharshan (Student TU Delft); Chmielewski, Łukasz (Riscure; Radboud Universiteit Nijmegen); Perin, G. (TU Delft Cyber Security); Picek, S. (TU Delft Cyber Security)","Zhou, Jianying (editor); Chattopadhyay, Sudipta (editor); Adepu, Sridhar (editor); Alcaraz, Cristina (editor); Batina, Lejla (editor); Casalicchio, Emiliano (editor); Jin, Chenglu (editor); Lin, Jingqiang (editor); Losiouk, Eleonora (editor); Majumdar, Suryadipta (editor); Meng, Weizhi (editor); Picek, Stjepan (editor); Zhauniarovich, Yury (editor); Shao, Jun (editor); Su, Chunhua (editor); Wang, Cong (editor); Zonouz, Saman (editor)","2022","Side-channel attacks (SCA) focus on vulnerabilities caused by insecure implementations and exploit them to deduce useful information about the data being processed or the data itself through leakages obtained from the device. There have been many studies exploiting these leakages, and most of the state-of-the-art attacks have been shown to work on AES implementations. The methodology is usually based on exploiting leakages for the outer rounds, i.e., the first and the last round. In some cases, due to partial countermeasures or the nature of the device itself, it might not be possible to attack the outer rounds. In this case, the attacker needs to resort to attacking the inner rounds. This work provides a generalization for inner round side-channel attacks on AES and experimentally validates it with non-profiled and profiled attacks. We formulate the computation of the hypothesis values of any byte in the intermediate rounds. The more inner the AES round is, the higher is the attack complexity in terms of the number of bits to be guessed for the hypothesis. We discuss the main limitations for obtaining predictions in inner rounds and, in particular, we compare the performance of Correlation Power Analysis (CPA) against deep learning-based profiled side-channel attacks (DL-SCA). We show that because trained deep learning models require fewer traces in the attack phase, they also have fewer complexity limitations to attack inner AES rounds than non-profiled attacks such as CPA. This paper is the first to propose deep learning-based profiled attacks on inner rounds of AES to the best of our knowledge.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:7e074358-25bb-4021-bb78-c3c2313ebce2","http://resolver.tudelft.nl/uuid:7e074358-25bb-4021-bb78-c3c2313ebce2","Dynamic amplication in a periodic structure subject to a moving load passing a transition zone: Hyperloop case study","Faragau, Andrei B. (TU Delft Dynamics of Structures); Metrikine, A. (TU Delft Offshore Engineering; TU Delft Engineering Structures); van Dalen, K.N. (TU Delft Dynamics of Structures)","Dimitrovová, Zuzana (editor); Gonçalves, Rodrigo (editor); Biswas, Paritosh (editor); Silva, Tiago (editor)","2022","Hyperloop is an emerging high-speed transportation system in which air resistance is minimised by having the vehicle travel inside a de-pressurised tube supported by columns. This design leads to a strong periodic variation of the stiffness (among other parameters) experienced by the vehicle. Also, along its route, the Hyperloop will encounter so-called transition zones (e.g., junctions, bridges, etc.), where the properties (e.g., support stiffness) are different than for the rest of the structure. In railway engineering, increased degradation is seen in the vicinity of these transition zones, leading to increased frequency of maintenance. This work investigates response amplification mechanisms in a Hyperloop system that arise due to the combination of a transition zone and the structure having a periodic nature. The amplification mechanisms investigated here can help prevent degradation of the Hyperloop tube close to transition zones as well as fatigue and wear of the vehicle.","Hyperloop; Periodic Structures; Moving load; Transition zone","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Engineering Structures","Dynamics of Structures","","",""
"uuid:f8cd73d6-0962-4d48-a5fc-7db09ae1eb57","http://resolver.tudelft.nl/uuid:f8cd73d6-0962-4d48-a5fc-7db09ae1eb57","Hybridizing Hypervolume-Based Evolutionary Algorithms and Gradient Descent by Dynamic Resource Allocation","Ha, Damy M.F. (Centrum Wiskunde & Informatica (CWI); Student TU Delft); Deist, Timo M. (Centrum Wiskunde & Informatica (CWI)); Bosman, P.A.N. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","Rudolph, Günter (editor); Kononova, Anna V. (editor); Aguirre, Hernán (editor); Kerschke, Pascal (editor); Ochoa, Gabriela (editor); Tušar, Tea (editor)","2022","Evolutionary algorithms (EAs) are well-known to be well suited for multi-objective (MO) optimization. However, especially in the case of real-valued variables, classic domination-based approaches are known to lose selection pressure when approaching the Pareto set. Indicator-based approaches, such as optimizing the uncrowded hypervolume (UHV), can overcome this issue and ensure that individual solutions converge to the Pareto set. Recently, a gradient-based UHV algorithm, known as UHV-ADAM, was shown to be more efficient than (UHV-based) EAs if few local optima are present. Combining the two techniques could exploit synergies, i.e., the EA could be leveraged to avoid local optima while the efficiency of gradient algorithms could speed up convergence to the Pareto set. It is a priori however not clear what would be the best way to make such a combination. In this work, therefore, we study the use of a dynamic resource allocation scheme to create hybrid UHV-based algorithms. On several bi-objective benchmarks, we find that the hybrid algorithms produce similar or better results than the EA or gradient-based algorithm alone, even when finite differences are used to approximate gradients. The implementation of the hybrid algorithm is available at https://github.com/damyha/uncrowded-hypervolume.","Hybrid algorithm; Multi-objective; Real-valued optimization","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Algorithmics","","",""
"uuid:c8c192a0-f24d-42d0-aad3-507fdbb936d2","http://resolver.tudelft.nl/uuid:c8c192a0-f24d-42d0-aad3-507fdbb936d2","Enabling Negotiating Agents to Explore Very Large Outcome Spaces","Koca, Thimjo (Universiteit van Amsterdam); Baarslag, Tim (Centrum Wiskunde & Informatica (CWI); Universiteit Utrecht); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden)","Faliszewski, Piotr (editor)","2022","This work presents BIDS (Bidding using Diversied Search), an algorithm that can be used by negotiating agents to search very large outcome spaces. BIDS provides a balance between being rapid, accurate, diverse, and scalable search, allowing agents to search spaces with as many as 10250 possible outcomes on very run-of-the-mill hardware. We
show that our algorithm can be used to respond to the three most com-
mon search queries employed by 87% of all agents from the Automated
Negotiating Agents Competition. Furthermore, we validate one of our
techniques by integrating it into negotiation platform GeniusWeb, to en-
able existing state-of-the-art agents (and future agents) to scale their use
to very large outcome spaces.","automated negotiation; search; very large negotiation domain","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-10","","","Interactive Intelligence","","",""
"uuid:8ea7dff1-4623-43f5-a4b7-13f53f1c049f","http://resolver.tudelft.nl/uuid:8ea7dff1-4623-43f5-a4b7-13f53f1c049f","To Overfit, or Not to Overfit: Improving the Performance of Deep Learning-Based SCA","Rezaeezade, A. (TU Delft Cyber Security); Perin, G. (TU Delft Cyber Security; Radboud Universiteit Nijmegen); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen)","Batina, Lejla (editor); Daemen, Joan (editor)","2022","Profiling side-channel analysis allows evaluators to estimate the worst-case security of a target. When security evaluations relax the assumptions about the adversary’s knowledge, profiling models may easily be sub-optimal due to the inability to extract the most informative points of interest from the side-channel measurements. When used for profiling attacks, deep neural networks can learn strong models without feature selection with the drawback of expensive hyperparameter tuning. Unfortunately, due to very large search spaces, one usually finds very different model behaviors, and a widespread situation is to face overfitting with typically poor generalization capacity. Usually, overfitting or poor generalization would be mitigated by adding more measurements to the profiling phase to reduce estimation errors. This paper provides a detailed analysis of different deep learning model behaviors and shows that adding more profiling traces as a single solution does not necessarily help improve generalization. We recognize the main problem to be the sub-optimal selection of hyperparameters, which is then difficult to resolve by simply adding more measurements. Instead, we propose to use small hyperparameter tweaks or regularization as techniques to resolve the problem.","Deep learning; Generalization; Overfitting; Side-channel analysis","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:2d117856-4e49-4049-93c6-471d7d47aca9","http://resolver.tudelft.nl/uuid:2d117856-4e49-4049-93c6-471d7d47aca9","Improving the Efficiency of District Heating and Cooling Using a Geothermal Technology: Underground Thermal Energy Storage (UTES)","Chicco, Jessica Maria (University of Turin); Antonijevic, Dragi (University of Belgrade); Bloemendal, Martin (TU Delft Water Resources; KWR Water Research Institute); Cecinato, Francesco (University of Milan); Goetzl, Gregor (Geologische Bundesanstalt); Hajto, Marek (Faculty of Physics and Applied Computer Science); Hartog, Niels (KWR Water Research Institute); Mandrone, Giuseppe (University of Turin); Vacha, Damiano (University of Turin); Vardon, P.J. (TU Delft Geo-engineering)","Calabrò, Francesco (editor); Della Spina, Lucia (editor); Piñeira Mantiñán, María José (editor)","2022","For efficient operation of heating and cooling grids, underground thermal energy storage (UTES) can be a key element. This is due to its ability to seasonally store heat or cold addressing the large mismatch between supply and demand. This technology is already available and there are many operational examples, both within and outside a district heating network. Given the range of available UTES technologies, they are feasible to install almost everywhere. Compared to other storage systems, UTES have the advantage of being able to manage large quantities and fluxes of heat without occupying much surface area, although the storage characteristics are always site specific and depend on the geological and geothermal characteristics of the subsoil. UTES can manage fluctuating production from renewable energy sources, both in the short and long term, and fluctuating demand. It can be used as an instrument to exploit heat available from various sources, e.g., solar, waste heat from industry, geothermal, within the same district heating system. The optimization of energy production, the reduction in consumption of primary energy and the reduction in emission of greenhouse gases are guaranteed with UTES, especially when coupled with district heating and cooling networks.","Buildings energy retrofitting; District heating/cooling; Energy transition; Geothermal; UTES","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-25","","","Water Resources","","",""
"uuid:5bedaec6-f2d7-4470-beba-6a1bedbd1ffd","http://resolver.tudelft.nl/uuid:5bedaec6-f2d7-4470-beba-6a1bedbd1ffd","Obtaining Smoothly Navigable Approximation Sets in Bi-objective Multi-modal Optimization","Scholman, R.J. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI)); Bouter, Anton (Centrum Wiskunde & Informatica (CWI)); Dickhoff, Leah R.M. (Leiden University Medical Center); Alderliesten, T. (Leiden University Medical Center); Bosman, P.A.N. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","Rudolph, Günter (editor); Kononova, Anna V. (editor); Aguirre, Hernán (editor); Kerschke, Pascal (editor); Ochoa, Gabriela (editor); Tušar, Tea (editor)","2022","Even if a Multi-modal Multi-Objective Evolutionary Algorithm (MMOEA) is designed to find solutions well spread over all locally optimal approximation sets of a Multi-modal Multi-objective Optimization Problem (MMOP), there is a risk that the found set of solutions is not smoothly navigable because the solutions belong to various niches, reducing the insight for decision makers. To tackle this issue, a new MMOEAs is proposed: the Multi-Modal Bézier Evolutionary Algorithm (MM-BezEA), which produces approximation sets that cover individual niches and exhibit inherent decision-space smoothness as they are parameterized by Bézier curves. MM-BezEA combines the concepts behind the recently introduced BezEA and MO-HillVallEA to find all locally optimal approximation sets. When benchmarked against the MMOEAs MO_Ring_PSO_SCD and MO-HillVallEA on MMOPs with linear Pareto sets, MM-BezEA was found to perform best in terms of best hypervolume.","Bézier curve estimation; Evolutionary algorithms; Multi-modal multi-objective optimization; Niching","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Algorithmics","","",""
"uuid:167d796d-9ec7-47ad-93dc-32f403d49995","http://resolver.tudelft.nl/uuid:167d796d-9ec7-47ad-93dc-32f403d49995","Gene-pool Optimal Mixing in Cartesian Genetic Programming","Harrison, J. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI)); Alderliesten, T. (Leiden University Medical Center); Bosman, P.A.N. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","Rudolph, Günter (editor); Kononova, Anna V. (editor); Aguirre, Hernán (editor); Kerschke, Pascal (editor); Ochoa, Gabriela (editor); Tušar, Tea (editor)","2022","Genetic Programming (GP) can make an important contribution to explainable artificial intelligence because it can create symbolic expressions as machine learning models. Nevertheless, to be explainable, the expressions must not become too large. This may, however, limit their potential to be accurate. The re-use of subexpressions has the unique potential to mitigate this issue. The Genetic Programming Gene-pool Optimal Mixing Evolutionary Algorithm (GP-GOMEA) is a recent model-based GP approach that has been found particularly capable of evolving small expressions. However, its tree representation offers no explicit mechanisms to re-use subexpressions. By contrast, the graph representation in Cartesian GP (CGP) is natively capable of re-use. For this reason, we introduce CGP-GOMEA, a variant of GP-GOMEA that uses graphs instead of trees. We experimentally compare various configurations of CGP-GOMEA with GP-GOMEA and find that CGP-GOMEA performs on par with GP-GOMEA on three common datasets. Moreover, CGP-GOMEA is found to produce models that re-use subexpressions more often than GP-GOMEA uses duplicate subexpressions. This indicates that CGP-GOMEA has unique added potential, allowing to find even smaller expressions than GP-GOMEA with similar accuracy.","Cartesian genetic programming; Evolutionary computation; Gene-pool Optimal Mixing; Subexpression re-use; Symbolic regression","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Algorithmics","","",""
"uuid:5dece738-c38c-449d-aa4b-d7881fd1f2b5","http://resolver.tudelft.nl/uuid:5dece738-c38c-449d-aa4b-d7881fd1f2b5","Software Architecture for Location-Based Games Designed for Social Interaction in Public Space","Fonseca, Xavier (TU Delft System Engineering); Lukosch, S.G. (TU Delft System Engineering); Brazier, F.M. (TU Delft System Engineering)","Söbke, Heinrich (editor); Spangenberger, Pia (editor); Müller, Philipp (editor); Göbel, Stefan (editor)","2022","Location-based games (LBGs) are becoming increasingly more popular, especially those that focus on social interaction in public space. They have been successful to various extents at bringing players together to interact in public space; yet there is lack of knowledge and consensus on how to design these games from a technical perspective. This paper proposes a software architecture that stems from a cross-game analysis of representative games of this genre, in which 6 core architectural components are identified: Augmentation, Navigation, Interaction, State Progression, Participation, and Administration. These components support the game experience of players by enabling orientation and navigation of the players’ own physical environment, their interaction with the game and other people, the traditional game-like experience, management of the entire game ecosystem, and the ability to allow players to fuel game play. An LBG prototype, Secrets of the South, is presented as proof of concept for this software architecture and its key components. This prototype shows that the identified components are pivotal to the gameplay of LBGs for natural interactions in public space and shows how practitioners can be guided in their preparation whilst maintaining their freedom to technically implement this architecture according to the given structure.","Location-based games; Public space; Social interaction; Software architecture","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","System Engineering","","",""
"uuid:32227ce7-6cf4-4035-84fe-2d5025cc34e1","http://resolver.tudelft.nl/uuid:32227ce7-6cf4-4035-84fe-2d5025cc34e1","Towards an Active Predictive Relation by Reconceptualizing a Vacuum Robot: Research on the Transparency and Acceptance of the Predictive Behaviors","Guo, Peicheng (Student TU Delft); Smit, I.R. (TU Delft Human Information Communication Design)","Kurosu, Masaaki (editor); Yamamoto, Sakae (editor); Mori, Hirohiko (editor); Schmorrow, Dylan D. (editor); Fidopiastis, Cali M. (editor); Streitz, Norbert A. (editor); Konomi, Shin’ichi (editor)","2022","With the development of Artificial intelligence, the connected objects are extended with the predictive capabilities and the character of things can change to “things that predict”. If a connected device is able to embrace a predictive system that not only profiles for scripted behavior but could also use the knowledge co-created by all the other similar devices and their users that encounter similar situations, the predictions can be generated based on that. In this case, a new type of interplay between humans and things called “predictive relation” is created. However, before this future takes place, it is required to find out appropriate patterns to address challenges such as the transparency and users’ acceptance of predictive behaviors of connected products. The research in this article takes a vacuum robot as a reference product for the study. The research starts by collecting users’ daily practice with vacuum robots through 4-day diary booklets. And then the booklets serve as sensitizing tools to envision the possible predictive capabilities and lead the discussion on the acceptance and transparency of general predicting things. From the creative sessions we propose 1) design qualities for the acceptance of the predicting things, and 2) a model of generating predictive behavior that enhances the transparency. Eventually, we also propose the idea of “Designers as the facilitators of the human-robot collaboration”.","Acceptance; Artificial intelligence; Human-robot interaction; Internet of Things; Robot autonomy; Transparency","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Human Information Communication Design","","",""
"uuid:61ebeb56-a107-436f-93d0-fb3eb2d54418","http://resolver.tudelft.nl/uuid:61ebeb56-a107-436f-93d0-fb3eb2d54418","Red Light/Green Light: A Lightweight Algorithm for, Possibly, Fraudulent Online Behavior Change Detection","Herrera Semenets, V. (Advanced Technologies Application Center); Hernández-León, Raudel (Advanced Technologies Application Center); Bustio-Martínez, Lázaro (Universidad Iberoamericana); van den Berg, Jan (TU Delft Cyber Security)","Pichardo Laguna, Obdulia (editor); Martínez-Miranda, Juan (editor); Martínez Seis, Bella (editor)","2022","Telecommunications services have become a constant in people’s lives. This has inspired fraudsters to carry out malicious activities causing economic losses to people and companies. Early detection of signs that suggest the possible occurrence of malicious activity would allow analysts to act in time and avoid unintended consequences. Modeling the behavior of users could identify when a significant change takes place. Following this idea, an algorithm for online behavior change detection in telecommunication services is proposed in this paper. The experimental results show that the new algorithm can identify behavioral changes related to unforeseen events.","Online data processing; Behavior changes; Anomaly detection; Concept drift; Cybersecurity; Multimodal data analysis","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:f447fd7b-ae66-4128-a564-e3b05cfd993e","http://resolver.tudelft.nl/uuid:f447fd7b-ae66-4128-a564-e3b05cfd993e","ViewFormer: NeRF-Free Neural Rendering from Few Images Using Transformers","Kulhánek, Jonáš (Czech Technical University); Derner, Erik (Czech Technical University); Sattler, Torsten (Czech Technical University); Babuska, R. (TU Delft Learning & Autonomous Control; Czech Technical University)","Avidan, Shai (editor); Brostow, Gabriel (editor); Cissé, Moustapha (editor); Farinella, Giovanni Maria (editor); Hassner, Tal (editor)","2022","Novel view synthesis is a long-standing problem. In this work, we consider a variant of the problem where we are given only a few context views sparsely covering a scene or an object. The goal is to predict novel viewpoints in the scene, which requires learning priors. The current state of the art is based on Neural Radiance Field (NeRF), and while achieving impressive results, the methods suffer from long training times as they require evaluating millions of 3D point samples via a neural network for each image. We propose a 2D-only method that maps multiple context views and a query pose to a new image in a single pass of a neural network. Our model uses a two-stage architecture consisting of a codebook and a transformer model. The codebook is used to embed individual images into a smaller latent space, and the transformer solves the view synthesis task in this more compact space. To train our model efficiently, we introduce a novel branching attention mechanism that allows us to use the same model not only for neural rendering but also for camera pose estimation. Experimental results on real-world scenes show that our approach is competitive compared to NeRF-based methods while not reasoning explicitly in 3D, and it is faster to train.","Localization; Neural rendering; Novel view synthesis","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Learning & Autonomous Control","","",""
"uuid:a23d7152-e9ae-4dba-afcf-15c62b0954ab","http://resolver.tudelft.nl/uuid:a23d7152-e9ae-4dba-afcf-15c62b0954ab","Measuring Hydroelastic Deformation of Very Flexible Floating Structures","Schreier, S. (TU Delft Ship Hydromechanics and Structures); Jacobi, G. (TU Delft Ship Hydromechanics and Structures)","Piatek, Lukasz (editor); Lim, Soon Heng (editor); Wang, Chien Ming (editor); Dinther, Rutger de Graaf-van (editor)","2022","For Offshore Floating Photovoltaics (OFPV) applications, thin-film PV panels on lightweight floating support structures gain increasing scientific and commercial interest. Over the past years, several different concepts of thin-film OFPV have been proposed, with the common denominator of floating mattress or blanket-like support structures with very little draft in the order of centimeters compared to their width and length in the order of several tens to hundreds of meters. Mostly made from polymer foam materials, these floating support structures are more flexible than the conventional Very Large Floating Structures (VLFS) investigated in 1990s. The flexibility of a floating structure is expressed by the characteristic length derived from the ratio of the structural bending stiffness and the hydrostatic stiffness of the support. For conventional VLFS, this characteristic length is usually longer than the dominant wavelength of the ocean waves, resulting in only moderate structural deflections of the order of 1/10 of the wave height and the total thickness of the structure. The newly proposed structures have characteristic lengths of less than the wavelength of ocean waves. This allows the structures to move with the waves and follow the wave elevation like a floating blanket. Therefore, these structures are classified as Very Flexible Floating Structures (VFFS). Despite the growing interest in VFFS, little is still known about their hydroelastic deformation and their influence on the surrounding wave field. To start the experimental VFFS research at Delft University of Technology, Digital Image Correlation (DIC) measurements were carried out in this study to investigate the vertical deflection of a VFFS at model scale in a small towing. The model’s characteristic length was 1/3 of the shortest wavelength and it was tested in long-crested regular longitudinal waves. The wavelength varied between 1/10 and 1/5 of the structure length. The measurements showed that the structure indeed mostly followed the wave elevation and revealed 3D effects across the structure, which require deeper investigation into wave scattering of VFFS.","Digital image correlation (DIC); Hydroelasticity; Offshore floating PV; Very flexible floating structures (VFFS); Wave scattering","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Ship Hydromechanics and Structures","","",""
"uuid:f71e9c2f-1f11-4733-98b0-edd398d08233","http://resolver.tudelft.nl/uuid:f71e9c2f-1f11-4733-98b0-edd398d08233","Design of Floating Terminals as Integrated Project for Multi-machine Systems","Jovanova, J. (TU Delft Transport Engineering and Logistics); van den Bos, W. (TU Delft Transport Engineering and Logistics); Schott, D.L. (TU Delft Transport Engineering and Logistics)","Piatek, Lukasz (editor); Lim, Soon Heng (editor); Wang, Chien Ming (editor); Dinther, Rutger de Graaf-van (editor)","2022","Design of floating terminals requires integrated approach as it requires multi-machine systems. Master students in mechanical engineering from Multi-machine engineering track at TU Delft were assigned design of floating terminals as part of their Integration Project course. Each of seven student groups designed a specific piece of port equipment that was later integrated in the floating terminal design. This required different design approaches: a detailed one for the equipment design (structure and functionality), and conceptual one for the floating terminal (overall layout and operational strategy). This encouraged the students to develop skills needed in real working environment, managing the design process and decision making within their own group and discussing setup, basic designs and dimensions together with the other groups. Owning their design throughout the entire process was in particularly important to the students, as they wanted other groups to use their equipment design. For the terminal design they needed to make a case for the feasibility of the floating terminal, including logistics simulations and cost. This paper shows the benefits of integrated design project course, the methods used for its implementation, as well as addressing current challenges of online group design work and supervision. Being part of European Horizon 2020 project motivated the students even more to contribute to an overall bigger objective.","Design; Floating terminal; Integrated project; Port equipment","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-02-06","","","Transport Engineering and Logistics","","",""
"uuid:7e94ec5c-dc52-4114-8010-7b0c1df8f8cb","http://resolver.tudelft.nl/uuid:7e94ec5c-dc52-4114-8010-7b0c1df8f8cb","Tuning the Dynamics of Bistable Mechanisms by Introducing Travel Limits","van Puffelen, Kylian (Student TU Delft); Blad, Thijs (TU Delft Mechatronic Systems Design); van Ostayen, R.A.J. (TU Delft Mechatronic Systems Design)","Beran, Jaroslav (editor); Bílek, Martin (editor); Václavík, Miroslav (editor); Žabka, Petr (editor)","2022","Vibrations are a promising source for powering wireless sensors, for example in low-frequency environments like human motion. These environments suffer from unpredictable vibration spectra and their low-frequency and large amplitude characteristics offer great possibilities for mechanisms with double well potential energy characteristics. The dynamical output performance of a bistable mechanism depends on the oscillation in the large amplitude trajectory between the two potential wells. However, requires enough force to overcome potential energy barrier. This work aims to improve the occurrence of interwell oscillation by lowering the potential energy barrier between the two potential wells by the influence of hard mechanical travel limits. A bistable mechanism is numerically modelled and experimentally tested to investigate the influence of the mechanical travel limits for low-frequency excitations. An axial loaded buckling beam was used to introduce bistability and combined with a parallel guidance mechanism to compensate for the strong negative stiffness. A single-degree of freedom model is used to model the bistable characteristics and is expanded with a coefficient of restitution model to represent the mechanical characterization of the travel limits. This combination resulted in a decrease in required force for the oscillation in the desired large amplitude trajectory by constraining the oscillator motion with travel limits. Furthermore, the results from the numerical bistable model in combination with mechanical characteristics of the travel limits at impact, proves to be in good agreement with the experimentally obtained results.","Bistability; Coefficient of restitution; Impact; Low-frequency; Mechanical travel limits; Vibration energy harvesting","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mechatronic Systems Design","","",""
"uuid:37c3f1fc-fbfe-418b-a1fe-4ecfc62862da","http://resolver.tudelft.nl/uuid:37c3f1fc-fbfe-418b-a1fe-4ecfc62862da","VAL: Volume and Access Pattern Leakage-Abuse Attack with Leaked Documents","Lambregts, Steven (Student TU Delft); Chen, H. (TU Delft Cyber Security); Ning, Jianting (Singapore Management University; Fujian Normal University); Liang, K. (TU Delft Cyber Security)","Atluri, Vijayalakshmi (editor); Di Pietro, Roberto (editor); Jensen, Christian D. (editor); Meng, Weizhi (editor)","2022","Searchable Encryption schemes provide secure search over encrypted databases while allowing admitted information leakages. Generally, the leakages can be categorized into access and volume pattern. In most existing SE schemes, these leakages are caused by practical designs but are considered an acceptable price to achieve high search efficiency. Recent attacks have shown that such leakages could be easily exploited to retrieve the underlying keywords for search queries. Under the umbrella of attacking SE, we design a new Volume and Access Pattern Leakage-Abuse Attack (VAL-Attack) that improves the matching technique of LEAP (CCS ’21) and exploits both the access and volume patterns. Our proposed attack only leverages leaked documents and the keywords present in those documents as auxiliary knowledge and can effectively retrieve document and keyword matches from leaked data. Furthermore, the recovery performs without false positives. We further compare VAL-Attack with two recent well-defined attacks on several real-world datasets to highlight the effectiveness of our attack and present the performance under popular countermeasures.","Access pattern; Attack; Leakage; Searchable encryption; Volume pattern","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:99b22aff-849e-4843-9bab-d5c1214f54b6","http://resolver.tudelft.nl/uuid:99b22aff-849e-4843-9bab-d5c1214f54b6","Deep Neural Networks Aiding Cryptanalysis: A Case Study of the Speck Distinguisher","Băcuieți, Norica (ETH Zürich; Politehnica University of Timisoara); Batina, Lejla (Radboud Universiteit Nijmegen); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen)","Ateniese, Giuseppe (editor); Venturi, Daniele (editor)","2022","At CRYPTO’19, A. Gohr proposed neural distinguishers for the lightweight block cipher Speck32/64, achieving better results than the state-of-the-art at that point. However, the motivation for using that particular architecture was not very clear; therefore, in this paper, we study the depth-10 and depth-1 neural distinguishers proposed by Gohr [7] with the aim of finding out whether smaller or better-performing distinguishers for Speck32/64 exist. We first evaluate whether we can find smaller neural networks that match the accuracy of the proposed distinguishers. We answer this question in the affirmative with the depth-1 distinguisher successfully pruned, resulting in a network that remained within one percentage point of the unpruned network’s performance. Having found a smaller network that achieves the same performance, we examine whether its performance can be improved as well. We also study whether processing the input before giving it to the pruned depth-1 network would improve its performance. To this end, convolutional autoencoders were found that managed to reconstruct the ciphertext pairs successfully, and their trained encoders were used as a preprocessor before training the pruned depth-1 network. We found that, even though the autoencoders achieved a nearly perfect reconstruction, the pruned network did not have the necessary complexity anymore to extract useful information from the preprocessed input, motivating us to look at the feature importance to get more insights. To achieve this, we used LIME, with results showing that a stronger explainer is needed to assess it correctly.","Feature importance; Neural distinguisher; Pruning; Speck","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:c7d81136-d8ae-4f4c-9e14-ae7acbd84ed5","http://resolver.tudelft.nl/uuid:c7d81136-d8ae-4f4c-9e14-ae7acbd84ed5","Visual Cross-View Metric Localization with Dense Uncertainty Estimates","Xia, Z. (TU Delft Intelligent Vehicles); Booij, Olaf (TomTom International BV); Manfredi, Marco (TomTom International BV); Kooij, J.F.P. (TU Delft Intelligent Vehicles)","Avidan, Shai (editor); Brostow, Gabriel (editor); Cissé, Moustapha (editor); Farinella, Giovanni Maria (editor); Hassner, Tal (editor)","2022","This work addresses visual cross-view metric localization for outdoor robotics. Given a ground-level color image and a satellite patch that contains the local surroundings, the task is to identify the location of the ground camera within the satellite patch. Related work addressed this task for range-sensors (LiDAR, Radar), but for vision, only as a secondary regression step after an initial cross-view image retrieval step. Since the local satellite patch could also be retrieved through any rough localization prior (e.g. from GPS/GNSS, temporal filtering), we drop the image retrieval objective and focus on the metric localization only. We devise a novel network architecture with denser satellite descriptors, similarity matching at the bottleneck (rather than at the output as in image retrieval), and a dense spatial distribution as output to capture multi-modal localization ambiguities. We compare against a state-of-the-art regression baseline that uses global image descriptors. Quantitative and qualitative experimental results on the recently proposed VIGOR and the Oxford RobotCar datasets validate our design. The produced probabilities are correlated with localization accuracy, and can even be used to roughly estimate the ground camera’s heading when its orientation is unknown. Overall, our method reduces the median metric localization error by 51%, 37%, and 28% compared to the state-of-the-art when generalizing respectively in the same area, across areas, and across time.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-23","","","Intelligent Vehicles","","",""
"uuid:14dcfc0a-de1b-48a0-bf43-c41bfc5f80fa","http://resolver.tudelft.nl/uuid:14dcfc0a-de1b-48a0-bf43-c41bfc5f80fa","A Formal Model of Metacontrol in Maude","Päßler, J. (Universitetet i Oslo); Aguado, Esther (Universidad Politécnica de Madrid); Rezende Silva, G. (TU Delft Robot Dynamics); Tarifa, Silvia Lizeth Tapia (Universitetet i Oslo); Hernández, Carlos (TU Delft Robot Dynamics); Johnsen, Einar Broch (Universitetet i Oslo)","Margaria, Tiziana (editor); Steffen, Bernhard (editor)","2022","Nowadays smart applications appear in domains spanning from commodity household applications to advanced underwater robotics. These smart applications require adaptation to dynamic environments, changing requirements and internal system errors Metacontrol takes a systems of systems view on autonomous control systems and self-adaptation, by means of an additional layer of control that manipulates and combines the regular controllers. This paper develops a formal model of a Metacontrol architecture. We formalise this Metacontrol architecture in the context of an autonomous house heating application, enabling different controllers to be dynamically combined in order to meet user requirements to a better extent than the individual controllers in isolation. The formal model is developed in the Maude rewriting system, where we show results comparing different scenarios.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Robot Dynamics","","",""
"uuid:bd34d3bb-4454-4663-baf6-6915fa1ab3ac","http://resolver.tudelft.nl/uuid:bd34d3bb-4454-4663-baf6-6915fa1ab3ac","Combining Context-Awareness and Data Analytics in Support of Drone Technology","Shishkov, B.B. (TU Delft Information and Communication Technology; Institute IICREST; Bulgarian Academy of Sciences); Ivanova, Krassimira (Bulgarian Academy of Sciences); Verbraeck, A. (TU Delft Policy Analysis); van Sinderen, Marten (University of Twente)","Shishkov, Boris (editor); Lazarov, Andon (editor)","2022","Drones performing an autonomous mission need to adapt to frequent changes in their environment. In other words, they have to be context-aware. Most current context-aware systems are designed to distinguish between situations that have been pre-defined in terms of anticipated situation types and corresponding desired behavior types. This only partially benefits drone technology because many types of drone missions can be characterized by situations that are hard to predict at design time. We suggest combining context-awareness and data analytics for a better situation coverage. This could be achieved by using performance data (generated at real-time) as training data for supervised machine learning – it would allow relating situations to appropriate behaviors that a drone could follow. The conceptual ideas are presented in this position paper while validation is left for future work.","Context-awareness; Data analytics; Drone technology","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Information and Communication Technology","","",""
"uuid:903222c7-ff80-4857-b910-15e65de09ab1","http://resolver.tudelft.nl/uuid:903222c7-ff80-4857-b910-15e65de09ab1","LogoMotive: Detecting Logos on Websites to Identify Online Scams - A TLD Case Study","van den Hout, Thijs (SIDN Labs); Wabeke, Thymen (SIDN Labs); Moura, Giovane C. M. (TU Delft Cyber Security; SIDN Labs); Hesselman, Cristian (SIDN Labs; University of Twente)","Hohlfeld, Oliver (editor); Moura, Giovane (editor); Pelsser, Cristel (editor)","2022","Logos give a website a familiar feel and promote trust. Scammers take advantage of that by using well-known organizations’ logos on malicious websites. Unsuspecting Internet users see these logos and think they are looking at a government website or legitimate webshop, when it is a phishing site, a counterfeit webshop, or a site set up to spread misinformation. We present the largest logo detection study on websites to date. We analyze 6.2M domain names from the Netherlands ’ country-code top-level domain.nl, in two case studies to detect logo misuse for two organizations: the Dutch national government and Thuiswinkel Waarborg, an organization that issues certified webshop trust marks. We show how we can detect phishing, spear phishing, dormant phishing attacks, and brand misuse. To that end, we developed LogoMotive, an application that crawls domain names, generates screenshots, and detects logos using supervised machine learning. LogoMotive is operational in the.nl registry, and it is generalizable to detect any other logo in any DNS zone to help identify abuse.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:f80cf919-592f-458d-a5d2-82c153f43d65","http://resolver.tudelft.nl/uuid:f80cf919-592f-458d-a5d2-82c153f43d65","Bespoke Simulator for Human Activity Classification with Bistatic Radar","Yang, Kai (University of Glasgow; University of Electronic Science and Technology of China); Abbasi, Qammer H. (University of Glasgow); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Romain, Olivier (Observatoire de Paris); Le Kernec, Julien (University of Glasgow; University of Electronic Science and Technology of China)","Ur Rehman, Masood (editor); Zoha, Ahmed (editor)","2022","Radar is now widely used in human activity classification because of its contactless sensing capabilities, robustness to light conditions and privacy preservation compared to plain optical images. It has great value in elderly care, monitoring accidental falls and abnormal behaviours. Monostatic radar suffers from degradation in performance with varying aspect angles with respect to the target. Bistatic radar may offer a solution to this problem but finding the right geometry can be quite resource-intensive. We propose a bespoke simulation framework to test the radar geometry for human activity recognition. First, the analysis focuses on the monostatic radar model based on the Doppler effect in radar. We analyse the spectrogram of different motions by Short-time Fourier analysis (STFT), and then the classification data set was built for feature extraction and classification. The results show that the monostatic radar system has the highest accuracy, up to 98.17%. So, a bistatic radar model with separate transmitter and receiver was established in the experiment, and results show that bistatic radar with specific geometry configuration (CB2.5) not only has higher classification accuracy than monostatic radar in each aspect angle but also can recognise the object in a wider angle range. After training and fusing the data of all angles, it is found that the accuracy, sensitivity, and specificities of CB2.5 have 2.2%, 7.7% and 1.5% improvement compared with monostatic radar.","Human activity recognition; Micro-Doppler; Radar; Radar signature simulation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:22fdbb02-3230-4ed6-914c-146ee922d0a0","http://resolver.tudelft.nl/uuid:22fdbb02-3230-4ed6-914c-146ee922d0a0","Elderly Care - Human Activity Recognition Using Radar with an Open Dataset and Hybrid Maps","Zhang, Xinyu (University of Glasgow; University of Electronic Science and Technology of China); Abbasi, Qammer H. (University of Glasgow); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Romain, Olivier (Observatoire de Paris); Le Kernec, Julien (University of Glasgow; University of Electronic Science and Technology of China)","Ur Rehman, Masood (editor); Zoha, Ahmed (editor)","2022","Population ageing has become a severe problem worldwide. Human activity recognition (HAR) can play an important role to provide the elders with in-time healthcare. With the advantages of environmental insensitivity, contactless sensing and privacy protection, radar has been widely used for human activity detection. The micro-Doppler signatures (spectrograms) contain much information about human motion and are often applied in HAR. However, spectrograms only interpret magnitude information, resulting in suboptimal performances. We propose a radar-based HAR system using deep learning techniques. The data applied came from the open dataset “Radar signatures of human activities” created by the University of Glasgow. A new type of hybrid map was proposed, which concatenated the spectrograms amplitude and phase. After cropping the hybrid maps to focus on useful information, a convolutional neural network (CNN) based on LeNet-5 was designed for feature extraction and classification. In addition, the idea of transfer learning was applied for radar-based HAR to evaluate the classification performance of a pre-trained network. For this, GoogLeNet was taken and trained on the newly-produced hybrid maps. These initial results showed that the LeNet-5 CNN using only the spectrograms obtained an accuracy of 80.5%, while using the hybrid maps reached an accuracy of 84.3%, increasing by 3.8%. The classification result of transfer learning using GoogLeNet was 86.0%.","Convolutional neural network; Human activity recognition; Hybrid maps; Micro-Doppler; Radar; Transfer learning","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:476d7ec7-9cc8-4c03-9c9d-b4cf8ac7a075","http://resolver.tudelft.nl/uuid:476d7ec7-9cc8-4c03-9c9d-b4cf8ac7a075","Old but Gold: Prospecting TCP to Engineer and Live Monitor DNS Anycast","Moura, Giovane C. M. (TU Delft Cyber Security; SIDN Labs); Heidemann, John (USC Information Sciences Institute); Hardaker, Wes (USC Information Sciences Institute); Charnsethikul, Pithayuth (USC Information Sciences Institute); Bulten, Jeroen (SIDN Labs); Ceron, João M. (SIDN Labs); Hesselman, Cristian (SIDN Labs; University of Twente)","Hohlfeld, Oliver (editor); Moura, Giovane (editor); Pelsser, Cristel (editor)","2022","DNS latency is a concern for many service operators: CDNs exist to reduce service latency to end-users but must rely on global DNS for reachability and load-balancing. Today, DNS latency is monitored by active probing from distributed platforms like RIPE Atlas, with Verfploeter, or with commercial services. While Atlas coverage is wide, its 10k sites see only a fraction of the Internet. In this paper we show that passive observation of TCP handshakes can measure live DNS latency, continuously, providing good coverage of current clients of the service. Estimating RTT from TCP is an old idea, but its application to DNS has not previously been studied carefully. We show that there is sufficient TCP DNS traffic today to provide good operational coverage (particularly of IPv6), and very good temporal coverage (better than existing approaches), enabling near-real time evaluation of DNS latency from real clients. We also show that DNS servers can optionally solicit TCP to broaden coverage. We quantify coverage and show that estimates of DNS latency from TCP is consistent with UDP latency. Our approach finds previously unknown, real problems: DNS polarization is a new problem where a hypergiant sends global traffic to one anycast site rather than taking advantage of the global anycast deployment. Correcting polarization in Google DNS cut its latency from 100 ms to 10 ms; and from Microsoft Azure cut latency from 90 ms to 20 ms. We also show other instances of routing problems that add 100–200 ms latency. Finally, real-time use of our approach for a European country-level domain has helped detect and correct a BGP routing misconfiguration that detoured European traffic to Australia. We have integrated our approach into several open source tools: ENTRADA, our open source data warehouse for DNS, a monitoring tool (Anteater), which has been operational for the last 2 years on a country-level top-level domain, and a DNS anonymization tool in use at a root server since March 2021.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:00c13030-4327-49c1-a163-b237759445a6","http://resolver.tudelft.nl/uuid:00c13030-4327-49c1-a163-b237759445a6","Numerical and Experimental Study of Acoustic Emission Source Signal Reconstruction in Fibre-Reinforced Composite Panels","Huijer, A.J. (TU Delft Ship Hydromechanics and Structures); Kassapoglou, C. (TU Delft Aerospace Structures & Computational Mechanics); Pahlavan, Lotfollah (TU Delft Ship Hydromechanics and Structures)","Rizzo, Piervincenzo (editor); Milazzo, Alberto (editor)","2022","The recording and processing of acoustic emissions can be used to identify and localise damage mechanisms occurring in engineering structures. In plate-like structures, acoustic emissions propagate through the structure as guided waves. With a measurement location away from the source location, dispersion effects in the guided wave distort the acoustic emission signal. The distortion of the original signal hampers identification of damage mechanisms. This research describes and assesses a method to reconstruct the original acoustic emission signal using dispersion compensation. Simulations and experiments are performed involving thick glass-fibre reinforced plastic laminates. The signal reconstruction on the simulated data gives a reasonable representation of the simulated signal at the location of interest. In the experimental case, similarity slightly degrades. Deviation in arrival time between original measurement and reconstruction is attributed to a possible discrepancy in material properties in reality versus the properties used in the reconstruction.","Acoustic emission; Damage identification; Dispersion compensation; Fibre-reinforced plastics; Guided waves","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-22","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:8de20646-8c43-4f9a-84b6-a6376c2513fe","http://resolver.tudelft.nl/uuid:8de20646-8c43-4f9a-84b6-a6376c2513fe","Modelling Energy Security: The Case of Dutch Urban Energy Communities","Fouladvand, J. (TU Delft Energie and Industrie); Verkerk, D. (Universiteit Leiden); Nikolic, I. (TU Delft System Engineering); Ghorbani, Amineh (TU Delft Energie and Industrie)","Czupryna, Marcin (editor); Kamiński, Bogumił (editor)","2022","Energy communities are gaining momentum in the context of the energy transition. Given the distributed and collective action nature of energy communities, energy security of these local energy systems is more than just security of supply and related to issues such as affordability and acceptability of energy to members of the community. We build an agent-based model of energy communities to explore their security challenges. The security dimensions we consider are availability, affordability, accessibility and acceptability, which are referred to as the 4As. The results confirmed that there is always a trade-off between all four dimensions and that although it is difficult to achieve a high energy security performance, it is feasible. Results also showed that among factors influencing energy security, the investment of the community plays the biggest role.","Agent-based modelling and simulation (ABMS); Energy community; Energy security; Renewable energy technologies","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-30","","","Energie and Industrie","","",""
"uuid:af113cec-3d30-4c52-939b-3b2fe8f8e911","http://resolver.tudelft.nl/uuid:af113cec-3d30-4c52-939b-3b2fe8f8e911","On the Evaluation of Deep Learning-Based Side-Channel Analysis","Wu, L. (TU Delft Cyber Security); Perin, G. (TU Delft Cyber Security); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen)","Balasch, Josep (editor); O’Flynn, Colin (editor)","2022","Deep learning-based side-channel analysis is rapidly positioning itself as a de-facto standard for the most powerful profiling side-channel analysis.The results from the last few years show that deep learning techniques can efficiently break targets that are even protected with countermeasures. While there are constant improvements in making the deep learning-based attacks more powerful, little is done on evaluating the attacks’ performance. Indeed, how the evaluation process is done today is not different from what was done more than a decade ago from the perspective of evaluation metrics. This paper considers how to evaluate deep learning-based side-channel analysis and whether the commonly used approaches give the best results. To that end, we consider different summary statistics and the influence of algorithmic randomness on the stability of profiling models. Our results show that besides commonly used metrics like guessing entropy, one should also show the standard deviation results to assess the attack performance properly. Even more importantly, using the arithmetic mean for guessing entropy does not yield the best results, and instead, a median value should be used.","Deep Learning; Guessing Entropy; Median; Side-channel Analysis","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-30","","","Cyber Security","","",""
"uuid:d263ba58-2ded-4035-819a-1c74c5f42916","http://resolver.tudelft.nl/uuid:d263ba58-2ded-4035-819a-1c74c5f42916","An Auction-Based Multi-Agent System for the Pickup and Delivery Problem with Autonomous Vehicles and Alternative Locations","Los, J. (TU Delft Transport Engineering and Logistics); Schulte, F. (TU Delft Transport Engineering and Logistics); Spaan, M.T.J. (TU Delft Algorithmics); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","Freitag, Michael (editor); Kinra, Aseem (editor); Kotzab, Herbert (editor); Megow, Nicole (editor)","2022","The trends of autonomous transportation and mobility on demand in line with large numbers of requests increasingly call for decentralized vehicle routing optimization. Multi-agent systems (MASs) allow to model fully autonomous decentralized decision making, but are rarely considered in current decision support approaches. We propose a multi-agent approach in which autonomous vehicles are modeled as independent decision makers that locally interact with auctioneers for transportation orders. The developed MAS finds solutions for a realistic routing problem in which multiple pickup and delivery alternatives are possible per order. Although information sharing is significantly restricted, the MAS results in better solutions than a centralized Adaptive Large Neighborhood Search with full information sharing on large problem instances where computation time is limited.","Alternative locations; Auctions; Autonomous vehicle routing; Multi-agent system; Pickup and delivery problem; Preferences","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-05","","","Transport Engineering and Logistics","","",""
"uuid:dd71b168-59d9-48f6-ab8e-823eb5739811","http://resolver.tudelft.nl/uuid:dd71b168-59d9-48f6-ab8e-823eb5739811","For Your Voice Only: Exploiting Side Channels in Voice Messaging for Environment Detection","Cardaioli, Matteo (GFT, Milan; University of Padua); Conti, M. (TU Delft Cyber Security; University of Padua); Ravindranath, A. (TU Delft Electrical Engineering, Mathematics and Computer Science)","Atluri, Vijayalakshmi (editor); Di Pietro, Roberto (editor); Jensen, Christian D. (editor); Meng, Weizhi (editor)","2022","Voice messages are an increasingly popular method of communication, accounting for more than 200 million messages a day. Sending audio messages requires a user to invest lesser effort than texting while enhancing the message’s meaning by adding an emotional context (e.g., irony). Unfortunately, we suspect that voice messages might provide much more information than intended to prying ears of a listener. In fact, speech audio waves are both directly recorded by the microphone and propagated into the environment, and possibly reflected back to the microphone. Reflected waves along with ambient noise are also recorded by the microphone and sent as part of the voice message. In this paper, we propose a novel attack for inferring detailed information about user location (e.g., a specific room) leveraging a simple WhatsApp voice message. We demonstrated our attack considering 7,200 voice messages from 15 different users and four environments (i.e., three bedrooms and a terrace). We considered three realistic attack scenarios depending on previous knowledge of the attacker about the victim and the environment. Our thorough experimental results demonstrate the feasibility and efficacy of our proposed attack. We can infer the location of the user among a pool of four known environments with 85% accuracy. Moreover, our approach reaches an average accuracy of 93% in discerning between two rooms of similar size and furniture (i.e., two bedrooms) and an accuracy of up to 99% in classifying indoor and outdoor environments.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-08","Electrical Engineering, Mathematics and Computer Science","","Cyber Security","","",""
"uuid:06de7915-6fa6-4012-b7ec-248e6ad880de","http://resolver.tudelft.nl/uuid:06de7915-6fa6-4012-b7ec-248e6ad880de","Lighter is Better: A Lighter Multi-client Verifiable Outsourced Computation with Hybrid Homomorphic Encryption","Wang, Xingkai (Shanghai Jiao Tong University); Cao, Zhenfu (East China Normal University); LIU, Z. (Shanghai Jiao Tong University; Shanghai Qizhi Institute, Shanghai); Liang, K. (TU Delft Cyber Security)","Atluri, Vijayalakshmi (editor); Di Pietro, Roberto (editor); Jensen, Christian D. (editor); Meng, Weizhi (editor)","2022","Gordon et al. (TCC 2015) systematically studied the security of Multi-client Verifiable Computation (MVC), in which a set of computationally-weak clients outsource the computation of a general function f over their private inputs to an untrusted server. They introduced the universally composable (UC) security of MVC and proposed a scheme achieving UC-security, where the protocol remains secure after arbitrarily composed with other UC-secure instances. However, the clients in their scheme have to undertake the heavy computation overhead caused by fully homomorphic encryption (FHE) and further, the plaintext size is linear to the function input size. In this work, we propose a more efficient UC-secure multi-client privacy-preserving verifiable computation protocol, called MVOC, that sharply reduces amortized overheads for clients, in both semi-honest and malicious settings. In particular, our protocol achieves stronger outsourcability by outsourcing more computation to the server, so that it may be more friendly to those lightweight clients. More specifically, we revisit the definition of garbling scheme, and propose a novel garbled circuit protocol whose circuit randomness is non-interactively provided by multiple parties. We also realize the idea of hybrid homomorphic encryption, which makes the FHE plaintext size independent of the input size. We present the detailed proof and analyze the theoretical complexity of MVOC. We further implement our protocol and evaluate the performance, and the results show that, after adopting our new techniques, the computation and communication overheads during input phase can be decreased by 55.15%–68.05% and 62.55%–75% respectively.","Hybrid homomorphic encryption; Outsourced computation; Verifiable computation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:981daa40-23a5-483b-85b4-6b4a4ef34f51","http://resolver.tudelft.nl/uuid:981daa40-23a5-483b-85b4-6b4a4ef34f51","Profiled Side-Channel Analysis in the Efficient Attacker Framework","Picek, S. (TU Delft Cyber Security); Heuser, Annelie (Université de Rennes); Perin, G. (TU Delft Cyber Security); Guilley, Sylvain (Secure-IC SAS)","Grosso, Vincent (editor); Pöppelmann, Thomas (editor)","2022","Profiled side-channel attacks represent the most powerful category of side-channel attacks. There, the attacker has access to a clone device to profile its leaking behavior. Additionally, it is common to consider the attacker unbounded in power to allow the worst-case security analysis. This paper starts with a different premise where we are interested in the minimum power that the attacker requires to conduct a successful attack. We propose a new framework for profiled side-channel analysis that we call the Efficient Attacker Framework. With it, we require attacks to be as powerful as possible, but we also provide a setting that inherently allows a more objective analysis among attacks. To confirm our theoretical results, we provide an experimental evaluation of our framework in the context of deep learning-based side-channel analysis.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:23eadcb7-cfe8-42f2-b632-7ded010706f9","http://resolver.tudelft.nl/uuid:23eadcb7-cfe8-42f2-b632-7ded010706f9","Feasibility of Bolted Connectors in Hybrid FRP-Steel Structures","Olivier, G. (TU Delft Steel & Composite Structures); Csillag, Fruzsina (Arup Limited, Netherlands); Tromp, Liesbeth (Royal HaskoningDHV); Veltkamp, Martijn (FiberCore Europe); Pavlovic, M. (TU Delft Steel & Composite Structures)","Ilki, Alper (editor); Ispir, Medine (editor); Inci, Pinar (editor)","2022","Due to the low weight and excellent durability of composite materials, Fibre Reinforced Polymer (FRP) decks mounted on steel superstructures are becoming all the more common in engineering practice. Bolted joints are generally used to facilitate connections between an FRP deck and steel girders in road bridges. The connections are subjected to both high magnitude static forces as well as fatigue loading due to overpassing vehicles. With ever increasing traffic on both road and railway bridges, fatigue performance is of critical concern. Bolted FRP joints have been extensively researched in the past under static loading, but less is known about the fatigue and creep behaviour of such joints. Furthermore, little research exists on non-pultruded FRP profiles connected using bolted connections. Therefore, the objective of this research is to investigate connectors’ feasibility by means of static, fatigue and creep experiments on four different types of bolted joints comprising mechanical connectors and injection techniques. The study focuses on application in vacuum infused GFRP panels with integrated webs made of multi-directional laminates, connected to steel bridge superstructures. In addition, experimental results are validated by Finite Element Analyses (FEA). Based on the obtained results, the novel injected steel-reinforced resin (iSRR) connector developed at TU Delft shows promising potential in hybrid steel-FRP bridges where good fatigue endurance of the connection and local loads in FRP panel, are required.","Bolted connections; Combined short- and long-term loading; Fatigue performance; Injected steel reinforced resin; Non-slip connections","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Steel & Composite Structures","","",""
"uuid:b1217bc6-a565-4ff5-ba0c-acce7a2dc69f","http://resolver.tudelft.nl/uuid:b1217bc6-a565-4ff5-ba0c-acce7a2dc69f","Reference Network and Localization Architecture for Smart Manufacturing Based on 5G","Ludwig, Stephan (Aalen University, Aalen); Aschenbrenner, D. (TU Delft Mechatronic Design); Scharle, Marvin (Aalen University, Aalen); Klessig, Henrik (Robert Bosch GmbH); Karrenbauer, Michael (Technische Universität Kaiserslautern); Wu, Huanzhuo (Technische Universität Dresden); Taghouti, Maroua (Technical University of Berlin); Lozano, Pedro (Ericsson, Herzogenrath); Schotten, Hans D. (Technische Universität Kaiserslautern); Fitzek, F.H.P. (Technische Universität Dresden)","Valle, Maurizio (editor); Lehmhus, Dirk (editor); Gianoglio, Christian (editor); Ragusa, Edoardo (editor); Seminara, Lucia (editor); Bosse, Stefan (editor); Ibrahim, Ali (editor); Thoben, Klaus-Dieter (editor)","2022","5G promises to shift Industry 4.0 to the next level by allowing flexible production. However, many communication standards are used throughout a production site, which will stay so in the foreseeable future. Furthermore, localization of assets will be equally valuable in order to get to a higher level of automation. This paper proposes a reference architecture for a convergent localization and communication network for smart manufacturing that combines 5G with other existing technologies and focuses on high-mix, low-volume applications, particularly at small and medium-sized enterprises. The architecture is derived from a set of functional requirements, and we describe different views on this architecture to show how the requirements can be fulfilled. It connects private and public mobile networks with local networking technologies to achieve a flexible setup addressing many industrial use cases.","5G; Adaptive manufacturing; Localization; M2M","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mechatronic Design","","",""
"uuid:c1df60a5-07da-4513-a5d4-f57cc7dd05ce","http://resolver.tudelft.nl/uuid:c1df60a5-07da-4513-a5d4-f57cc7dd05ce","Repetitive, Oblivious, and Unlinkable SkNN Over Encrypted-and-Updated Data on Cloud","Li, Meng (Hefei University of Technology); Zhang, Mingwei (Hefei University of Technology); Gao, Jianbo (Hefei University of Technology); Lal, C. (TU Delft Cyber Security); Conti, M. (TU Delft Cyber Security; Università degli Studi di Padova); Alazab, Mamoun (Charles Darwin University)","Alcaraz, Cristina (editor); Chen, Liqun (editor); Li, Shujun (editor); Samarati, Pierangela (editor)","2022","Location-Based Services (LBSs) depend on a Service Provider (SP) to store data owners’ geospatial data and to process data users’ queries. For example, a Yelp user queries the SP to retrieve the k nearest Starbucks by submitting her/his current location. It is well-acknowledged that location privacy is vital to users and several prominent Secure k Nearest Neighbor (SkNN) query processing schemes are proposed. We observe that no prior work addresses the requirement of repetitive query after index update and its privacy issue, i.e., how to match a data item from the cloud repetitively in an oblivious and unlinkable manner. Meanwhile, a malicious SP may skip some data items and recommend others due to unfair competition. In this work, we formally define the repetitive query and its privacy objectives and present an Repetitive, Oblivious, and Unlinkable SkNN scheme ROU. Specifically, we design a multi-level structure to organize locations to further improve search efficiency. Second, we integrate data item identity into the framework of existing SkNN query processing. Data owners encrypt their data item identity and location information into a secure index, and data users encrypt a customized identity range of a previously retrieved data item and location information into a token. Next, the SP uses the token to query the secure index to find the specific data item via privacy-preserving range querying. We formally prove the privacy of ROU in the random oracle model. We build a prototype based on a server to evaluate the performance with a real-world dataset. Experimental results show that ROU is efficient and practical in terms of computational cost, communication overhead, and result verification.","Cloud computing; Privacy; Repetitive query; SkNN","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Cyber Security","","",""
"uuid:7963a08e-440f-4ca9-b17e-ee5377b81502","http://resolver.tudelft.nl/uuid:7963a08e-440f-4ca9-b17e-ee5377b81502","On the Effect of Clock Frequency on Voltage and Electromagnetic Fault Injection","Koffas, S. (TU Delft Cyber Security); Vadnala, Praveen Kumar (Riscure)","Zhou, Jianying (editor); Chattopadhyay, Sudipta (editor); Adepu, Sridhar (editor); Alcaraz, Cristina (editor); Batina, Lejla (editor); Casalicchio, Emiliano (editor); Jin, Chenglu (editor); Lin, Jingqiang (editor); Losiouk, Eleonora (editor); Majumdar, Suryadipta (editor); Meng, Weizhi (editor); Picek, Stjepan (editor); Zhauniarovich, Yury (editor); Shao, Jun (editor); Su, Chunhua (editor); Wang, Cong (editor); Zonouz, Saman (editor)","2022","We investigate the influence of clock frequency on the success rate of a fault injection attack. In particular, we examine the success rate of voltage and electromagnetic fault attacks for varying clock frequencies. Using three different tests that cover different components of a System-on-Chip, we perform fault injection while its CPU operates at different clock frequencies. Our results show that the attack’s success rate increases with an increase in clock frequency for both voltage and EM fault injection attacks. As the technology advances push the clock frequency further, these results can help assess the impact of fault injection attacks more accurately and develop appropriate countermeasures to address them.","RISC-V; System-on-chip; Voltage and electromagnetic fault injection","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:d52aa9ec-15af-4fee-8211-d014bd66a937","http://resolver.tudelft.nl/uuid:d52aa9ec-15af-4fee-8211-d014bd66a937","Hybrid Berth Allocation for Bulk Ports with Unavailability and Stock Level Constraints","Lyu, X. (TU Delft Transport Engineering and Logistics); Schulte, F. (TU Delft Transport Engineering and Logistics)","de Armas, Jesica (editor); Ramalhinho, Helena (editor); Voß, Stefan (editor)","2022","Berth allocation is fundamental to port-related operations in maritime shipping. Port managers have to deal with the increasing demands either by expanding the terminals or by improving efficiency to maintain competitiveness. Port expansion is a long-term project, and it requires much capital investment. Thus, the question of how to enhance the efficiency of berth allocation has received much research interest. Research on the Berth Allocation Problem (BAP) in container ports is quite advanced. However, only limited research focuses on BAP in bulk ports, although some similarities exist. Contributing to Operations Research approaches on the BAP, this paper develops a hybrid BAP mixed-integer optimization model dedicated to bulk ports. In addition to considering the handling characteristics of bulk ports, we also incorporate more practical factors such as unavailability and stock levels. The objective of the proposed model is to minimize the demurrage fee for all vessels under consideration of unavailability and stock constraints. We use the commercial software CPLEX to obtain the optimal solutions for a set of distinct instances, explicitly considering the situation of multiple cargo types on one vessel, which provides a better fit for the loading or discharging operations in real-world bulk ports. This is the first study to our knowledge that dedicates itself to the BAP in bulk ports and considers unavailability and stock constraints simultaneously. Our solutions can provide timely and effective decision support to bulk port managers.","Berth Allocation Problem; Bulk ports; Mixed-integer program; Optimization; Stock levels; Unavailability","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-14","","","Transport Engineering and Logistics","","",""
"uuid:70d7196c-d49f-4753-974b-765b60387b5c","http://resolver.tudelft.nl/uuid:70d7196c-d49f-4753-974b-765b60387b5c","Toward a Reference Architecture for User-Oriented Open Government Data Portals","Luthfi, A. (TU Delft Information and Communication Technology; Universitas Islam Indonesia); Janssen, M.F.W.H.A. (TU Delft Information and Communication Technology)","Shishkov, Boris (editor)","2022","Governments have established Open Government Data Portals (OGDP) to open various types of datasets that can be used to increase transparency, accountability, and innovation. OGDP is becoming a strategic program for citizen engagement and empowering users. Nevertheless, many OGDP architectures focus merely on publishing data and do not support the actual data use. Therefore, this paper aims to develop a reference architecture (RA) that takes a broader set of requirements aimed at enabling the use of open data into account. The RA consists of recommended structures and integrations of the end-to-end user interactions and services. In this research, we use the DKAN open data management platform as the basis to design a full suite of cataloguing and visualising the end-to-end user interactions. Five layers are proposed providing functionalities for using data. Whereas most portals are focused on releasing data, our RA is focused on empowering users by providing functionalities for the use of data.","DKAN; End-user; Open data; Portal; Reference architecture","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-31","","","Information and Communication Technology","","",""
"uuid:e51518bc-269d-4b2c-a191-917d4f4e1144","http://resolver.tudelft.nl/uuid:e51518bc-269d-4b2c-a191-917d4f4e1144","Abstraction-Refinement for Hierarchical Probabilistic Models","Junges, Sebastian (Radboud Universiteit Nijmegen); Spaan, M.T.J. (TU Delft Algorithmics)","Shoham, Sharon (editor); Vizel, Yakir (editor)","2022","Markov decision processes are a ubiquitous formalism for modelling systems with non-deterministic and probabilistic behavior. Verification of these models is subject to the famous state space explosion problem. We alleviate this problem by exploiting a hierarchical structure with repetitive parts. This structure not only occurs naturally in robotics, but also in probabilistic programs describing, e.g., network protocols. Such programs often repeatedly call a subroutine with similar behavior. In this paper, we focus on a local case, in which the subroutines have a limited effect on the overall system state. The key ideas to accelerate analysis of such programs are (1) to treat the behavior of the subroutine as uncertain and only remove this uncertainty by a detailed analysis if needed, and (2) to abstract similar subroutines into a parametric template, and then analyse this template. These two ideas are embedded into an abstraction-refinement loop that analyses hierarchical MDPs. A prototypical implementation shows the efficacy of the approach.","","en","conference paper","Springer","","","","","","","","","","Algorithmics","","",""
"uuid:d298811a-4561-404f-b20b-d718909592da","http://resolver.tudelft.nl/uuid:d298811a-4561-404f-b20b-d718909592da","Preliminary control and stability analysis of a long-range eVTOL aircraft","Schoser, J.J. (Student TU Delft); Cuadrat-Grzybowski, M. (TU Delft Astrodynamics & Space Missions); Castro, Saullo G.P. (TU Delft Aerospace Structures & Computational Mechanics)","","2022","This study proposes a strategy to incorporate control and stability aspects into the preliminarydesign of a tandem-wing, long-range eVTOL aircraft concept. Four operational phases areconsidered: cruise, transition, hover, and ground operation. For cruise, a method to designfor open-loop stability and size aerodynamic control surfaces is presented. Furthermore, acontroller is designed to improve handling qualities. For hover controllability by differentialthrust is considered, and for ground operation, the positioning of the landing gear is performedaccording to clearance and tip-over requirements. A novel analytical model is derived for thetandem wing aircraft in order to estimate during the preliminary design phase the stabilityderivatives of the aerodynamic forces and moments. The transition manoeuvre between verticaland horizontal flight is only treated with qualitative considerations, due to the highly nonlineardynamics involved during this flight phase.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Astrodynamics & Space Missions","","",""
"uuid:22f1cd4e-35d2-45c3-a5fc-969748c3b7a0","http://resolver.tudelft.nl/uuid:22f1cd4e-35d2-45c3-a5fc-969748c3b7a0","AI beyond Deus ex Machina: Reimagining Intelligence in Future Cities with Urban Experts","Mlynar, Jakub (University of Applied Sciences); Bahrami, Farzaneh (University College London (UCL)); Ourednik, André (Swiss Federal Institute of Technology); Mutzner, Nico (University of Zürich); Verma, H. (TU Delft Human-Centred Artificial Intelligence); Alavi, Hamed (Universiteit van Amsterdam)","","2022","The current mechanisms that drive the development of AI technologies are widely criticized for being tech-oriented and market-led instead of stemming from societal challenges. In Human-Centered AI discourses, and more broadly in Human-Computer Interaction research, initiatives have been proposed to engage experts from various domains of social science in determining how AI should reach our societies, predominantly through informing the adoption policies. Our contribution, however, seeks a more essential role for social sciences, namely to introduce discursive standpoints around what we need AI to be. With a focus on the domain of urbanism, the specific goal has been to elicit - from interviews with 16 urban experts - the imaginaries of how AI can and should impact future cities. Drawing on the social science literature, we present how the notion of ""imaginary""has essentially framed this research and how it could reveal an alternative vision of non-human intelligent actors in future cities.","Artificial Intelligence; Smart City; Sociology; Urban Sciences","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Human-Centred Artificial Intelligence","","",""
"uuid:8bd6ced1-20a5-4e28-95ea-9f117e4e24d5","http://resolver.tudelft.nl/uuid:8bd6ced1-20a5-4e28-95ea-9f117e4e24d5","Empathy-Centric Design At Scale","Mauri, A. (TU Delft Human-Centred Artificial Intelligence); Hsu, Y. (TU Delft Human-Centred Artificial Intelligence); Brambilla, M (Politecnico di Milano); O'Kane, Aisling Ann (University of Bristol); Huang, Ting-Hao Kenneth (The Pennsylvania State University); Verma, H. (TU Delft Human-Centred Artificial Intelligence)","","2022","EmpathiCH aims at bringing together and blend different expertise to develop new research agenda in the context of “Empathy-Centric Design at Scale”. The main research question is to investigate how new technologies can contribute to the elicitation of empathy across and within multiple stakeholders at scale; and how empathy can be used to design solutions to societal problems that are not only effective but also balanced, inclusive, and aware of their effect on society. Through presentations, participatory sessions, and a living experiment—where data about the peoples’ interactions is collected throughout the event—we aim to make this workshop the ideal venue to foster collaboration, build networks, and shape the future direction of “Empathy-Centric Design at Scale”.","HCI; empathy-driven design; design at scale; design for society","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","Campus only","2023-07-01","","","Human-Centred Artificial Intelligence","","",""
"uuid:7dc186d4-85b7-424d-bd3c-1eb7cc956e83","http://resolver.tudelft.nl/uuid:7dc186d4-85b7-424d-bd3c-1eb7cc956e83","Human-Computer Interaction and the Future ofWork","Karusala, Naveena (University of Washington); Ch, Nabil Al Nahin (University of New Hampshire); Tosca, Diana (New York University); Ansah, Alberta A. (University of New Hampshire); Brulé, Emeline (University of Sussex); Huang, L. (Open University); Jain, Pranjal (theUXWhale); Verma, H. (TU Delft Human-Centred Artificial Intelligence); Fereydooni, Nadia (Georgia Institute of Technology)","","2022","Advances in computing technology, changing policies, and slow crises are rapidly changing the way we work. Human-computer interaction (HCI) is a critical aspect of these trends, to understand how workers contend with emerging technologies and how design might support workers and their values and aspirations amidst technological change. This SIG invites HCI researchers across diverse domains to reflect on the range of approaches to future of work research, recognize connections and gaps, and consider how HCI can support workers and their wellbeing in the future.","Future of Work","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Human-Centred Artificial Intelligence","","",""
"uuid:bdd4fc99-42d3-42b2-8705-2e36301b9ac8","http://resolver.tudelft.nl/uuid:bdd4fc99-42d3-42b2-8705-2e36301b9ac8","Application of Strip Model to Edge Column-Slab Connections Loaded with Outward Eccentricity","Carrera, Bernardo (Universidad San Francisco de Quito); Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Alexander, Scott D.B. (University of Alberta)","Mahamid, Mustafa (editor); Gayed, Ramez B. (editor)","2022","The strip model describes a load path prior to failure that can be tailored to a wide range of slabs under concentrated loads, both concentric and eccentric. Eccentric punching shear can occur in concrete slab-column connections subjected to shear and unbalanced moment, such as edge and corner columns. This paper shows how the strip model is applied to experiments of edge column-slab connections tested with outward eccentricities, and compares this approach to the traditional and simplified ACI 318-19 approaches for dealing with eccentric punching shear. This work shows how a lower-bound plasticity-based model can be used for the practical case of the slab-column capacity for edge and corner columns, how it may explain the mechanics behind the ACI code provisions, and can help researchers identify adequate test setups for future experiments.","arched strut; columns; eccentric punching shear; reinforced concrete; slabs; strip model","en","conference paper","American Concrete Institute","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Concrete Structures","","",""
"uuid:31b84618-57a6-4834-bfa4-d3fa58001cac","http://resolver.tudelft.nl/uuid:31b84618-57a6-4834-bfa4-d3fa58001cac","Analyzing the impact of battery capacity and charging protocols on the dispatchment of electric towing vehicles at a large airport","van Oosterom, S.J.M. (TU Delft Air Transport & Operations); Mitici, M.A. (TU Delft Air Transport & Operations)","","2022","The aviation industry aims for net-zero emissions by 2050. In this line, achieving climate-neutral ground operations is one of the first objectives. Electric vehicles that tow aircraft during taxiing are a promising technology to achieve climate-neutral ground operations. In this paper, we consider the dispatching of electric towing vehicles at an airport. We study the impact of the maximum battery capacity of these vehicles and the battery recharging protocols, on the total number of electric towing vehicles required at an airport. We propose a mixed-integer linear program to determine the size of the fleet of electric towing vehicles under various battery capacities and various battery recharging protocols. We illustrate our model for one day of operations at Amsterdam Airport Schiphol. The results show that 41, 29, and 24 ETVs are required to tow all aircraft when batteries capacities of 100 kWh, 320 kWh, and 500 kWh are considered, respectively. Compared with the best performing approach existing in literature, our model reduces the required size of the fleet of electric towing vehicles by 27% when considering a nominal battery size of 320 kWh.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Correction Notice We forgot to acknowledge the funding source of the paper. We would like to do this in a new section between the conclusion and the references as follows: Acknowledgment This research has received funding from the European Union’s Horizon 2020 research and innovation program under grant agreement No 892928.","","","","","Air Transport & Operations","","",""
"uuid:3cc141f9-8cc1-457b-8426-d189cf3a3386","http://resolver.tudelft.nl/uuid:3cc141f9-8cc1-457b-8426-d189cf3a3386","Design-Relevant Factors Affecting the Patient Experience in Digital Health: Preliminary Results of an Umbrella Systematic Review","Wang, T. (TU Delft Applied Ergonomics and Design); Giunti, Guido (TU Delft Applied Ergonomics and Design; University of Oulu); Melles, M. (TU Delft Applied Ergonomics and Design); Goossens, R.H.M. (TU Delft Human-Centered Design; TU Delft Applied Ergonomics and Design)","Otero, Paula (editor); Scott, Philip (editor); Martin, Susan Z. (editor); Huesing, Elaine (editor)","2022","Since Covid-19, digital health interventions (DHIs) have been embraced as never before. The pandemic led to many new challenges, including the patient experience in digital health care delivery. In this literature study, we identified and synthesized factors that impact patient experience in digital health (dPEx), and reviewed the methods and strategies relevant to its design and implementation. We conducted an umbrella review including 15 reviews representing 543 studies. Four themes were identified that describe design-relevant factors that impact dPEx: individual context, content, technical issues, and design features. We propose a preliminary framework to explain the relationship between each factor and support user-centered design efforts. Further research is needed to identify which factors have the most impact.","Quality Improvement; Telemedicine; User-centered Design","en","conference paper","IOS Press","","","","","","","","","Human-Centered Design","Applied Ergonomics and Design","","",""
"uuid:33c4f4d5-7d28-41f1-97b9-6a880cb86071","http://resolver.tudelft.nl/uuid:33c4f4d5-7d28-41f1-97b9-6a880cb86071","COCTEAU: an Empathy-Based Tool for Decision-Making","Mauri, A. (TU Delft Human-Centred Artificial Intelligence); Tocchetti, A. (TU Delft Web Information Systems; Politecnico di Milano); Corti, L. (TU Delft Web Information Systems); Hsu, Y. (TU Delft Human-Centred Artificial Intelligence); Verma, H. (TU Delft Human-Centred Artificial Intelligence); Brambilla, Marco (Politecnico di Milano)","","2022","Traditional approaches to data-informed policymaking are often tailored to specific contexts and lack strong citizen involvement and collaboration, which are required to design sustainable policies. We argue the importance of empathy-based methods in the policymaking domain given the successes in diverse settings, such as healthcare and education. In this paper, we introduce COCTEAU (Co-Creating The European Union), a novel framework built on the combination of empathy and gamification to create a tool aimed at strengthening interactions between citizens and policy-makers. We describe our design process and our concrete implementation, which has already undergone preliminary assessments with different stakeholders. Moreover, we briefly report pilot results from the assessment. Finally, we describe the structure and goals of our demonstration regarding the newfound formats and organizational aspects of academic conferences.","Crowdsourcing; decision-making; empathy; gamification; human-centered computing","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Human-Centred Artificial Intelligence","","",""
"uuid:cbc6fb59-d9cd-4acb-934f-452488986654","http://resolver.tudelft.nl/uuid:cbc6fb59-d9cd-4acb-934f-452488986654","Reizen naar het werk voor en tijdens corona: Veranderingen en verklaringen","Taale, Henk (TU Delft Transport and Planning; Rijkswaterstaat); Damen, Carlijn (MuConsult); Haaijer, Rinus (MuConsult)","","2021","","","nl","conference paper","","","","","","","","","","","Transport and Planning","","",""
"uuid:647df0e0-613c-4c2d-ac6f-d167f28bab27","http://resolver.tudelft.nl/uuid:647df0e0-613c-4c2d-ac6f-d167f28bab27","Utilities Data in Land Administration Systems","Dželalija, Grgo (Ericsson Nikola Tesla); Roić, Miodrag (University of Zagreb)","","2021","With growing urbanization and population, management of space and land has become vital part for sustainable growth and development. Additional to urbanization and population growth, industrialization is another factor for rising need for various utilities such as sewage network, water supply, electricity etc. In such conditions, knowing where one can install new underground or overground infrastructure, without destroying existing lines, becomes more and more of a challenge. The need to connect new suburbs to existing infrastructure requires knowledge of availability. Legal issues in relation to utilities are also becoming increasingly important. Different countries and societies will have various approach to solving certain challenge, same goes for challenge of utility network registration. Some countries register utilities as separate objects with unique cadastral numbers in land administration while others register only easements. Some countries have centralized approach with high government regulations while in other utilities are registered on municipal level or even administered only by private companies. In this paper different approaches to utility network data management are analyzed. In all countries, utilities data is maintained by their managers sometimes with the help of very sophisticated applications.","3D Cadastre; 3D Land Administration System; utility network registration; authoritative data","en","conference paper","","","","","","","","","","","","","",""
"uuid:a116493a-2cb6-4781-b2c4-3f2c94611ad8","http://resolver.tudelft.nl/uuid:a116493a-2cb6-4781-b2c4-3f2c94611ad8","Modelling 3D legal spaces of Public Law Restrictions within the context of LADM revision","Kitsakis, Dimitrios (National Technical University of Athens); Kalogianni, Eftychia (Delft University of Technology); Dimopoulou, Efi (National Technical University of Athens); Zevenbergen, Jaap (University of Twente); van Oosterom, Peter (Delft University of Technology)","","2021","Intense exploitation of land in the vertical direction has brought up complex legal relations between different types of spatial units with various characteristics (e.g., land, marine, air, underground parcels, and infrastructure objects). Therefore, the use of 3D models is required to clearly represent real property and associated Rights, Restrictions and Responsibilities (RRRs), deriving both from Private and Public Law. The latter are either not registered to cadastral systems (i.e., in The Netherlands very few have been registered like the private natural beauty areas, as they came with tax benefits), or are recorded to individual, thematic registries. Public Law Restrictions (PLRs) impose significant impact on ownership rights and land management, thus requiring to be systematically organized and registered. This brings out issues of identifying which types of PLRs need to be registered (based on land administration policies that apply in each country/ jurisdiction), selecting and “spatializing” them (in 2D/3D/nD). Within the field of land administration, the ISO 19152:2012 Land Administration Domain Model (LADM) plays predominant role in standardizing legal relations between parties (people) and spatial units (land). LADM is currently under revision with its second edition widening its scope as a multipart standard comprising 6 Parts. The revision of LADM stimulates discussion on new concepts that could be included at the Edition II, and possibilities of refining the existing ones. In this context, the paper investigates the option to model PLRs into the multipart standard and investigates how to optimally categorize them based on the LADM Edition II Parts. The paper builds on previous work by the authors and aims to propose a flexible framework to model PLRs at conceptual level in the context of LADM Edition II. To validate the modelling proposal, two case studies of PLRs are studied. The first one relates to the restrictions imposed on land parcels crossed by the Trans Adriatic Pipeline (TAP) in Northern Greece, and they refer to the establishment of protection zones, where construction and agricultural restrictions apply. The second case study refers to land use restrictions in the vicinity of an archaeological site in the municipality of Patras, in southern Greece. Those use cases were selected because of their generic character that may apply to other countries/ jurisdictions, regardless of legal framework differences.","Land Administration Systems; LADM; ISO 19152; Public Law Restrictions; 3D","en","conference paper","","","","","","","","","","","","","",""
"uuid:c423321e-5971-463f-9875-f8183fb412d3","http://resolver.tudelft.nl/uuid:c423321e-5971-463f-9875-f8183fb412d3","Integration of LADM and CityGML for 3D Cadastre of Turkey","Gürsoy Sürmeneli, Hicret (Yıldız Technical University); Koeva, Mila (University Twente); Alkan, Mehmet (Yıldız Technical University)","","2021","Representation of Right, Restriction, and Responsibility (RRR) in the 2D cadastral system falls short due to rapid urbanization causing complex infrastructures. Turkish cadastre, as in many other countries, faces difficulties in the daily recording of property transactions such as sales, donations among others. Therefore, it is highly recommended to represent the RRR in 3D Cadastre. The study elaborates on the current RRR in the cadastral system (2D) in Turkey and is proposing a new integrated data model using international standards as Land Administration Domain Model (LADM) and CityGML v2.0 (City Geography Markup Language). We propose an Application Domain Extension (ADE) that extends the LADM and CityGML data model's integration with the legal and administrative concepts defined in Turkish law for cadastral objects. To show the developed model operability, the data was managed in an open-source PostgreSQL database. This paper provides a detailed overview of the Turkish legal cadastral system with the newly developed integrated LADM and CityGML model providing both visualization and standardization within the scope of 3D cadastre and a proposal for its physical realization based on international standards.","LADM; CityGML; 3D Cadastre; 3D City Database; SQL Query","en","conference paper","","","","","","","","","","","","","",""
"uuid:4d69d151-e0f3-4c16-af13-787d93f83e33","http://resolver.tudelft.nl/uuid:4d69d151-e0f3-4c16-af13-787d93f83e33","Towards 3D-Real Property Cadastre in Slovenia","Tekavec, Jernej (University of Ljubljana); Pogorelčnik, Ema (Surveying and Mapping Authority of the Republic of Slovenia); Kržan, Anja (University of Ljubljana); Lisec, Anka (University of Ljubljana)","","2021","The article presents the Slovenian land administration system focusing on the cadastral registration of buildings. We present the current structure of the land administration system and the latest upgrades introduced in 2018. In addition, we outline the main changes in the near future as a result of the new legislation adopted in April 2021 that will come into force in April 2022. The ongoing research and development activities led by the Slovenian SMA (GURS) are further presented. In light of recent research, we study the possibilities for long-term developments of the Slovenian cadastral system towards a fully functional 3D cadastral system. We present two case studies related to the registration of buildings. The buildings were recently registered according to the official registration procedures currently in force. We performed additional measurements and 3D modelling required to obtain a 3D representation of the registered real property units. Once we had the 3D real property units available, we investigated the possibilities of storing the data in a spatial database. The current official registration procedure is compared with the presented procedure for obtaining 3D real property units. We conclude that the proposed approach still needs to be optimised in terms of data processing efficiency for operational introduction in the Slovenian land administration system, where each new building needs to be registered. However, it represents a viable option to enrich the registration documentation for complex RRR situations, where clear 3D spatial delineation of RRRs is needed.","3D building models; Slovenia; Land cadastre; Building cadastre; Real property cadastre; floorplan","en","conference paper","","","","","","","","","","","","","",""
"uuid:bd8a2cd4-fa19-42fd-bc3e-a4572ad2db12","http://resolver.tudelft.nl/uuid:bd8a2cd4-fa19-42fd-bc3e-a4572ad2db12","The Role of Positioning Infrastructure and Mapping Surveys in 3D Cadastre Implementation for Mass Rapid Transport Infrastructures – Indonesia Case","Atunggal, Dedi (Universitas Gadjah Mada); Widjajanti, Nurrohmat (Universitas Gadjah Mada); Aditya, Trias (Universitas Gadjah Mada); Wahyudi, Agus (Ministry of Agrarian Affairs and Spatial Planning/National Land Agency (MoASP/BPN))","","2021","In response to recent increases in the utilization of 3D spaces situated below and above land surfaces, both users and registrar increasingly demanding a reliable positioning infrastructure for a cadastre. This paper discusses some challenges and requirements for establishing a reliable positioning infrastructure to support 3D cadastre implementation in Indonesia. Since three decades ago, ground survey marks (TDT) have been conventionally used for parcel mapping and only have 2D coordinates. TDT can be used for 3D cadastre implementation by assigning the TDT height to the national height datum. Surveyors can define the TDT height by referring to the orthometric height pillars (TTG) or the Indonesian national geoid (InaGeoid). These two references are sub-elements of the 2013 Indonesia Geospatial Reference System (SRGI 2013), which since 2013 has been used as a reference for various geospatial data nationally. 2D parcel mapping practices in Indonesia are still referring to the previous reference system used by Indonesia, namely the 1995 National Geodetic Datum (DGN95). This condition makes an integrated 3D survey to support first registration and right transfers for 3D Cadastre is challenging. At the same time, a 3D validation survey for 3D cadastre aiming to validate X,Y and Z coordinates of 3D parcels to be registered needs to be done efficiently and accurately. This paper discusses challenges regarding coordinates shift, inconsistencies between 2D and 3D parcels, missing height references and lack of coordinate redefinition of GPS coordinate services. This paper will examine the 3D cadastral validation survey results done in the Mass Rapid Transit (MRT) stations in Jakarta City and evaluate the positioning infrastructure in Jogjakarta City where an MRT connecting the new airport to the city centre will soon be built.","First Registration; Cadastre; Positioning Infrastructure; Reference System; Validation Survey","en","conference paper","","","","","","","","","","","","","",""
"uuid:e70d9b6d-ba2a-4c11-81c0-ee1203dc4198","http://resolver.tudelft.nl/uuid:e70d9b6d-ba2a-4c11-81c0-ee1203dc4198","A 3D approach of Greece's Property Law on urban environmental pollution","Perperidou, Dionysia – Georgia (University of West Attica); Xydopoulos, Andreas (University of West Attica)","","2021","The introduction of Greece's Civil Code, in 1946, signaled the transition from the then applicable Roman-Byzantine Law, known as Armenopoulos Exabiblos, to a modernized legal framework. The Greek Property Law, Civil Code Book 3, it is consisting of a bundle of rules, regulations and restrictions on properties, property rights and properties use and exploitation. Even though Property Law was introduced in 1946, it includes a provision, rules and restrictions that were and still are innovative. Besides the definition of rights in rem, like full or limited ownership or usufruct, Property Law introduces legal definitions with technical aspects for rules, regulations and restrictions on property installations that produce emissions, like air, heat, noise or vibrations, creating nuisance or even environmental adverse effects, with negative impact to neighboring properties use and exploitation, so as neighboring properties and their owners are legally protected against any harmful effect. The explicit technical description of the above mentioned Property Law rules, regulations and restrictions on emission, are included in Greece's Building Code. Building Code sets a detailed legal – technical framework and technical specifications on buildings installations such as central heating systems, restaurants ventilation systems, heating panels, small industry machineries or even advertising signs that could emit dazzling light, so as their emissions are limited to tolerable limits by relevant legislation on air pollutants, noise pollution etc.. Over the years, an important aspect for urban development in Greece is the cleaner urban environment. Thus the incorporation of Property Law and Building Code provisions on emissions in the Hellenic Cadastre is crucial, in order to incorporate environmental parameters monitoring into it, facilitating spatial development, land administration and land monitoring and overall sustainable development. Herein a research on the environmental aspects of Property Law in three-dimensional level is presented. The research is focused on construction regulations and restrictions for buildings heating and restaurants ventilation systems that are thoroughly-legally documented in Greek Property Law, are in detail technically described in the Greek Building Code and are a serious urban environmental polluter. Further more research is focused on incorporating the above mentioned 3D legally and technically described regulations and restrictions into the 2D Hellenic Cadastre registry.","Hellenic Cadastre; 3D emissions; property law; building code","en","conference paper","","","","","","","","","","","","","",""
"uuid:ec641882-0040-456d-a101-f641aa5c70d9","http://resolver.tudelft.nl/uuid:ec641882-0040-456d-a101-f641aa5c70d9","3D Zoning: A Missing Piece to Link Planning Regulations with 3D Cadastre","Emamgholian, Saeid (Université Laval); Pouliot, Jacynthe (Université Laval); Shojaei, Davood (University of Melbourne)","","2021","Interpreting planning regulations could be a challenging task for land surveyors when defining new ownership boundaries and for responsible authorities (e.g., city council) when assessing proposed developments. They need to be aware of the impacts of planning regulations on land parcels and vice versa since these regulations contain legally binding rules for all parties including government and citizens. There is a strong link between planning and cadastral regulations. For example, 3D zoning, with the capability of representing planning regulations in 3D, has a great potential to enable representing restricted and usable spaces for 3D cadastral purposes in a more visual way. This paper aims to offer a discussion about the advantages of enriching 3D zoning with the spatial representation of planning regulations in order to be integrated into a larger land-use information system called multipurpose cadastre to find better compliance between land use, urban planning, and citizen welfare. To this purpose, three groups of planning regulations (i.e., proposed design needed, 3D city model needed, and 3D zoning groups) are proposed in which 3D zoning group seems to be the most valuable one to achieve the overall objective. To support our discussion regarding mapping planning regulations for cadastral purposes, the paper results in a showcase for five planning regulations in the 3D zoning group including height limits, noise impacts, side and rear setbacks, street setbacks (side and front), and flooding limits. Victoria, Australia, was selected as a case study to illustrate some aspects of the discussion.","3D Land administration; Planning regulation; Spatial representation; 3D zoning; 3D Cadastre","en","conference paper","","","","","","","","","","","","","",""
"uuid:de30866f-6261-4b5f-99f4-be9b157d56b6","http://resolver.tudelft.nl/uuid:de30866f-6261-4b5f-99f4-be9b157d56b6","Organization of rights and responsibilities in complex 3D real property developments - the relevance of bridging research fields","Madsen, Morten Dalum (Aalborg University); Paaasch, Jesper Mayntz (Aalborg University); Sørensen, Esben Munk (Aalborg University)","","2021","The condominium concept is one form of 3D property that is used in many countries around the world to organize and register 3D real property situations. Condominium property was mainly introduced in various jurisdictions to facilitate homeownership by converting residen-tial apartment units in high-rise buildings into real property. Thus, the legal and organizational aspects of condominiums have been widely discussed in research literature but mostly outside the 3D property research domain. Publications within the 3D property research domain re-garding legal and organizational aspects have been relative few in number. We propose the more traditional condominium literature to partly answer the call for more research on legal and organizational aspects within the 3D property research domain. It could provide a broader spectrum of research experiences to be incorporated into the ongoing debate within the 3D property research domain.","mixed-use development; 3D property right; condominium; organization","en","conference paper","","","","","","","","","","","","","",""
"uuid:4aa9343f-b965-4c44-ba0d-9f91142dc078","http://resolver.tudelft.nl/uuid:4aa9343f-b965-4c44-ba0d-9f91142dc078","Towards Design and Development of a BIM-based 3D Property Formation Process","Sun, Jing (KTH Royal Institute of Technology); Paasch, Jesper M. (University of Gävle); Paulsson, Jenny (KTH Royal Institute of Technology); Tarandi, Väino (KTH Royal Institute of Technology); Harrie, Lars (Lund University)","","2021","With the increased interest and demand for 3D property, 3D property formation has shown increased significance. It is important to provide efficient, clear and unambiguous methods to form 3D property units, as well as register 3D property RRRs (rights, restrictions and responsibilities). The 3D property formation process should facilitate solutions to complicated problems within building projects (for example space above and below the ground) and provide secure and lasting rights in complex situations. Therefore, 3D property formation could use the same processes as for the formation of other property units, but adding specific rules and standards concerning the use of 3D models. Building Information Modelling (BIM) contains rich details of building characteristics such as structures, elements, spaces, schedules, etc. that can form the physical models of the 3D cadastre. The 3D property formation process requires that BIM data are exchanged between actors. To model this exchange, we utilize an open BIM process standard Information Delivery Manual (IDM). IDM helps to clarify the detailed property formation process, facilitates actors’ communication, harmonizes different product data models delivered and stored, identifies the results of that activity, as well as improves the management more efficiently and collaboratively. Level of Information Need (LOIN) is a framework that defines the extent and granularity of information, in order to prevent delivery of too much information. The LOIN specifies the granularity of information exchanged in terms of geometrical information, alphanumerical information and documentation, which should be used to specify the information delivery between actors. In this paper, we use LOIN as a basis to specify information requirements according to the 3D property formation purposes, and design a developed process of the Swedish 3D property formation in IDM. In the study, LOIN fulfills the requirements of forming 3D cadastral property in BIM models and harmonizes all involved actors in the whole process in IDM with a more common and standardized approach. The proposed methodology aims to facilitate a standardized and unambiguous digital 3D property formation process on a national level in order to improve and enhance the digital Swedish Cadastral and Land Administration Systems.","3D property formation; Building Information Modelling; Information Delivery Manual; Level of Information Need; 3D Cadastre","en","conference paper","","","","","","","","","","","","","",""
"uuid:d5d2709c-49cd-4344-a7ed-f11b717aecf9","http://resolver.tudelft.nl/uuid:d5d2709c-49cd-4344-a7ed-f11b717aecf9","LADM based taxation model in Montenegro: Using BIM in taxation process","Radulović, Aleksandra (University of Novi Sad); Sladić, Dubravka (University of Novi Sad); Govedarica, Miro (University of Novi Sad); Raičević, Dušan (Municipality of Bar, Montenegro)","","2021","Real properties plays an integral role in the economy of every country. Considering this, property valuation and taxation processes are of great importance. Revenues from property taxes can be allocated to other areas thus improving the quality of life for all citizens. Since the valuation and taxation are related to properties themselves, the good cooperation between real estate cadastre and tax administration is necessary. Real estate cadastre submits current data on real properties and rights to them to the tax administration. These data and additional data on properties and their locations are then used to form a tax for citizens to pay. In the paper, an analysis of the legal regulations and laws, as well as the way of functioning of the current information system for the tax administration in Montenegro is performed in order to develop LADM based tax administration model. Developed model is an extension of previously developed LADM country profile for Montenegro. Based on the new model, an information system for tax administration is developed and all relevant procedures prescribed by the ‘Law on property tax’ (2019) and ‘Regulation on detailed criteria and methodology for determination of real property market values’ (2011), are implemented. The most important procedures are how to define the value of the property or how to calculate appropriate taxes. The basic criteria for determining the value of real properties are average market price per m2 of real property, purpose of the real property, size of real property, the place where the real property is located, quality of real property and other elements that may have an impact on the market value of real property. Every municipality defines the coefficients that correct the main formula for tax calculation. The new buildings have a BIM model created in a design phase which is a part of the documentation for issuing a building permit. Such BIM model can be used for at least two other purposes in addition to basic use. The first one is to serve as an input for 3D cadastre. Another reason is the use of BIM to calculate taxes. Data such as the area of the building and the quality of the building (building construction, building facade, windows, number of rooms, installations, number of bathrooms, etc.) can be directly loaded into database from the appropriate BIM model. Such solution can be resolved by expanding the regulative and the law to allow the use of this data. In the paper, the authors presented the mapping of entities from the BIM model to the appropriate code lists of the building quality and other attributes defined by the national regulation which are used to calculate tax. In this way, the tax calculation process would be accelerated and automated because the data from the project documentation would not be entered manually but would be taken over from BIM.","BIM; taxation; LADM","en","conference paper","","","","","","","","","","","","","",""
"uuid:884b0c33-0d8e-40fd-bb88-669b21798a65","http://resolver.tudelft.nl/uuid:884b0c33-0d8e-40fd-bb88-669b21798a65","Practical verification of Polish 3D cadastral model","Bydłosz, Jarosław (AGH University of Science and Technology); Warchoł, Artur (The Bronisław Markiewicz State University of Technology and Economics in Jarosław); Balawejder, Monika (The Bronisław Markiewicz State University of Technology and Economics in Jarosław); Bieda, Agnieszka (AGH University of Science and Technology)","","2021","The 3D cadastre model in Poland has been developed for several years. The summation of these works is the proposal of the model of the Polish cadastre developed using the UML language on the basis of the existing legal regulations, presented in detail in the work (Bydłosz and Bieda, 2020). The aim of the current research was practical verification of this model. This work was performed on the example of a semi-detached building located near Cracow in a housing estate of family houses. The modelling of relationships between already existing elements (parcel, building) and proposed 3D cadastre objects was performed in UML language. Problems encountered in proposing 3D cadastre objects resulted mainly from a complicated legal situation. The twin building is located on a parcel of land that is jointly owned by the building owners. The paper proposes to solve such a problem by dividing the cadastral parcel so that the building owners have separate properties. The corresponding UML diagrams are presented here. The second part of the research concerned the application of laser scanning for practical verification of the 3D cadastre model. For this purpose, the building was measured using laser scanning. This measurement was made outside and partly inside the building. During this research, however, it was not possible to fully apply scanning for the verification of this model. According to the authors, this topic requires further research.","UML; 3D cadastre; 3D land administration; Polish cadastral model; Terrestrial Laser Scanning – TLS","en","conference paper","","","","","","","","","","","","","",""
"uuid:6669b973-e44f-4009-8127-030d4bbf6696","http://resolver.tudelft.nl/uuid:6669b973-e44f-4009-8127-030d4bbf6696","3D Modelling, Validation and Visualization of 3D Parcels in First Registration for 3D Cadastre - Indonesia Case","Aditya, Trias (Universitas Gadjah Mada); Laksono, Dany P. (Universitas Gadjah Mada); Atunggal, Dedi (Universitas Gadjah Mada); Susanta, Febrian F. (Universitas Gadjah Mada); Widjajanti, Nurrohmat (Universitas Gadjah Mada); Setiawan, Mohammad B (Universitas Gadjah Mada); Agam, Nurhidayat (The Ministry of Agrarian Affairs and Spatial Planning/National Land Agency (MoASP/BPN)); Wibisono, Tri (The Ministry of Agrarian Affairs and Spatial Planning/National Land Agency (MoASP/BPN))","","2021","Developments of legal, institutional and technical aspects in realizing property registration of 3D parcels situated above and below 2D parcels are still evolving in many countries. 3D cadastres have to deal with various institutional/legal gaps and challenging information integration regarding the Rights-Restrictions-Responsibilities (3R) of 2D parcels. This paper aims to present a proof of concept implementing 3D cadastre of a new regulation of land registration in Indonesia (Government Regulation Number 18/2021 on Rights to Manage, Land Rights, Strata Title and Land Registration). This study presents the modelling and validation of 3D cadastral objects for realizing the first registration using the new regulation on 3D cadastre. The study encompasses 2D & 3D data integration, 3D modelling, field validation and visualization of 3D units. The 2D parcels were extracted from the land registration map, collected from the Central Jakarta and the South Jakarta land offices. The 3D constructions to be registered were obtained from PT. MRT in the form of as-built drawings of floor plans and selected cross-sections. The 3D models were created by reconstructing 2D floor plans and cross-sections using Autodesk Revit to create an IFC file of 3D units from geometries of floors, walls and ceilings. Surveyors validated 3D representations of 3D units of two MRT terminals. Field validation includes determining the legal spaces against the 3D constructions and validating floor areas and volumes of 3D units. After field validation is done and agreed upon by stakeholders, 3D models were converted into CityGML to create representations of legal spaces of 3D units. The tools used to convert IFC into CityGML 2.0 are Sketchup City Editor and eveBIM. Data conversion results must be cleaned and edited to include the semantic of 3D units and registration attributes. The results of the integration of 2D and 3D parcels are presented in Terria Map, which shows the constructions, legal spaces of 3D units and 2D parcels with rights.","first registration; 3D modelling; field validation; 3D visualization","en","conference paper","","","","","","","","","","","","","",""
"uuid:2926040b-19a0-4633-816b-c605d9da4146","http://resolver.tudelft.nl/uuid:2926040b-19a0-4633-816b-c605d9da4146","Temporal Perspective Towards the Design of Cadastral Database in Taiwan","Ho, Sin-Yi (National Cheng Kung University - National Cheng Kung University); Hong, Jung-Hong (National Cheng Kung University)","","2021","Regardless of whether explicitly recorded, every geographic data has various aspects about time. Especially for integrated applications, the temporal aspects of geographic data from different stakeholders play an extremely critical role. Ignorance of the temporal difference or valid time of the datasets may easily lead to unexpected and even unpredictable mistakes. It is hence the responsibility for data designers to cautiously consider the demanded temporal information during schema design and correctly convey unambiguous meanings of the temporal information to users. It is also the responsibility of users to correctly interpret the temporal information before making any decision. Cadastre is governments’ system developed to facilitate the management of lands and buildings, so as to protect citizens’ property and drive the growth of economy. The massive volume of real estate transactions makes the management of cadaster systems a big challenge that involves both spatial and temporal aspects. From the interoperable application perspective, the ultimate goal of the cadastre system is to ensure every piece of information is based on the precise and coherent spatio-temporal modeling results, such that every decision can be made without ambiguity. It is therefore necessary to have a thorough examination on the spatio-temporal characteristics of the various types of cadastral data, as well as their relationships with the cadastre operations. This research mainly focuses on the temporal modelling issue, especially in the valid time and semantics of temporal information. The Land Administration Domain Model (LADM) from ISO/TC211 (International Organization for Standardization/ Technical Committee 211) is chosen as the reference model in this study because it offers a whole package of standardized classes specifically designed for land administration purpose. As LADM is endorsed by international organizations like FIG and already adopted by many countries for developing national profiles for their cadastre systems, we believe the research results are not only beneficial to the digital cadastre systems of Taiwan, but also contribute to the guidelines for designing temporal information for domain data. Thie preliminary result shows the feasibility of introducing LADM to model the various temporal characteristics for the current cadastral data in Taiwan, but additional guidelines for designing temporal information with semantics to fulfill the needs required by related laws are also necessary. It would be even advantageous such standardized vocabularies can be unambiguously defined and extensively used in cross-domain integrated applications to improve the interoperability of temporal information.","LADM; land administration; ISO 19152; 3D Cadastre; time perspective","en","conference paper","","","","","","","","","","","","","",""
"uuid:ab0216a9-689b-4fb2-8c0d-9d0849cbf70b","http://resolver.tudelft.nl/uuid:ab0216a9-689b-4fb2-8c0d-9d0849cbf70b","3D Cadastre in Australian and New Zealand Jurisdictions: Similarities and Differences","Atazadeh, Behnam (University of Melbourne); Olfat, Hamed (University of Melbourne); Rajabifard, Abbas (University of Melbourne)","","2021","Many research studies have been recently conducted in Australia and New Zealand to explore a range of technical, legal, and institutional aspects related to modernisation of 3D cadastre. Most of these studies focus on a particular jurisdiction. This stems from the fact that each jurisdiction is responsible for their own cadastral system. Therefore, the requirements, guidelines, and procedures for implementing 3D digital cadastre are also specific for each jurisdiction. However, the Intergovernmental Committee on Surveying and Mapping (ICSM) develops national frameworks and data models, e.g. ePlan, for cadastral systems in Australia and New Zealand. Therefore, understanding the differences and similarities between existing cadastral systems is critically important to support 3D cadastre at a national level. In the current literature, comparisons of 3D cadastre for some civil law jurisdictions as well as standards have been conducted. Nevertheless, the common law jurisdictions, including Australia and New Zealand, have not been compared in terms of 3D cadastre. Thus, this research aims to develop an overarching framework comprising differences and similarities in current practices pertaining to subdividing ownership of vertically stratified properties to support 3D cadastre in all jurisdictions of Australia and New Zealand. The study scope is limited to technical aspects of 3D cadastre in these jurisdictions. A survey based on a questionnaire has been conducted to identify the important data elements used in current 3D cadastre practices in Australian and New Zealand jurisdictions. The survey outcomes indicated that there are some similarities in terms of managing 3D cadastral data. One main similarity is that 3D legal boundaries are typically delineated by either referencing physical structures or fixed survey measurements. The differences mainly refer to various types of primary land parcels and secondary interests in each jurisdiction. In addition, similar ownership concepts are named differently in each jurisdiction. For instance, the “Lot” primary parcel, which defines the ownership space of a private property, in Victoria is the same as “Unit” parcel in Northern Territory. Each jurisdiction uses its own representation of 3D cadastral data. For instance, cross section diagrams are used in Victoria while isometric views are used in Queensland. These research outcomes could help with developing a framework for multi-jurisdictional 3D cadastre in Australia and New Zealand.","legal boundaries; 3D cadastre; Australia; New Zealand; 3D land parcels","en","conference paper","","","","","","","","","","","","","",""
"uuid:7c2de1cf-0c79-482d-ae7a-7a2d15916813","http://resolver.tudelft.nl/uuid:7c2de1cf-0c79-482d-ae7a-7a2d15916813","Implementation of the 3D Cadastre in Israel - Stage 1","Khasanshina, Ksenia (The Survey of Israel); Barazani, Shimon (The Survey of Israel); Tal, Yoav (The Survey of Israel)","","2021","Israel is a small territory country. It causes a lack of land for residance in areas of demand. Therefore, the issue of utilizing land effectivley include underground and above, registration of rights in the land in a three-dimensional form is particularly relevant. The main problem was the definition of ownership in Israeli legislation. At the end of 2018, an amendment to the Real Estate Law was approved, which allows for vertical splitting to different spatial levels and defines a new term in legislation as a three-dimensional parcel. A cadastral system includes a variety of components; legislation and administrative components that were reflected in the land registry, and the spatial element revealed in the cadastral mapping. The process begins with town plan (planning map), which describes «Re Design» that defines new lots and land use. Therefore, the whole process that ends with the registration of rights in three dimensions requires the development of a new method begins with a three-dimensional Town Plan stage, to the 3D mapping and division plan stage to the 3d registration stage. As a result of tremendous active partnership among various government ministries, at the end of 2020, the first three-dimensional plan for registration purposes (vertical mutation plan) was approved in Israel, and at the beginning of 2021, the first three-dimensional parcel was registered in Israel. Currently, the three-dimensional registration process in Israel is implemented and operates. This article describe the processes of preparation and implementation of a three-dimensional cadaster and registration, to date. It will include a description of legal proceedings, which were implemented in order to allow for three-dimensional registration. It will also include a description of administrative and technical processes, which are in place, with the aim of implementing registration of property rights in three dimensions.","3D Registration; Israel; 3D Cadaster","en","conference paper","","","","","","","","","","","","","",""
"uuid:4a499efb-f348-456b-9965-65c47519337a","http://resolver.tudelft.nl/uuid:4a499efb-f348-456b-9965-65c47519337a","Modelling 3D underground legal spaces in 3D Land Administration Systems","Ramlakhan, Rohit (Delft University of Technology); Kalogianni, Eftychia (Delft University of Technology); van Oosterom, Peter (Delft University of Technology)","","2021","Two dimensional (2D) Land Administration Systems (LASs) do not adequately represent 3D underground objects. It is not easy to identify the owners of these objects and the relations between objects below and above the surface are not explicitly provided. A 3D LAS can however facilitate a better understanding, as well as a more efficient registration and clear visualisation of the Rights, Restrictions and Responsibilities (RRRs) of the 3D underground objects. To represent 3D underground objects, BIM/IFC (ISO 16739:2018) models can be used from design. The LADM (ISO 19152:2012) standard should be used to provide a formal language to register spatial and non-spatial information in LASs. In this paper a literature review is performed to develop a standardised workflow to model the legal spaces of BIM/IFC models of 3D underground objects according to the LADM in 3D LASs. With this workflow the user is provided with a general framework, where adherence to the BIM/IFC and LADM standards enhances interoperability, increases efficiency and reduces costs. More research needs to be done on validating the workflow with use cases.","3D Land Administration Systems; underground objects; LADM; BIM; IFC","en","conference paper","","","","","","","","","","","","","",""
"uuid:8a2315db-7ada-471f-a8db-7d838677fd8c","http://resolver.tudelft.nl/uuid:8a2315db-7ada-471f-a8db-7d838677fd8c","Linking LADM with BIM/IFC standards for mobile-based 3D Crowdsourced Cadastral Surveys","Gkeli, Maria (National Technical University of Athens); Potsiou, Chryssy (National Technical University of Athens)","","2021","The ongoing urbanization has led to the emergence of several complex constructions and multi-dimensional property rights. Traditional cadastral procedures cannot meet the demands of this new reality, leading to increased costs and long delays. Exploiting the capabilities of the latest technologies, mobile services (m-services), Building Information Models (BIMs), open-source software (OSS) and the international standard of Land Administration Domain Model (LADM ISO 19152), the development of a reliable, qualitative and affordable solution for the implementation of 3D Cadastres, is feasible. The utilization of crowdsourcing techniques for the implementation of fit-for-purpose 3D cadastral surveys, utilizing the currently available 2D geospatial infrastructure, has already been proved to provide qualitative results. Integrating BIM data with cadastral information derived from crowdsourcing techniques, may significantly speed up the implementation of 3D Cadastres, providing a better visual understanding of 3D property rights. In this paper a LADM-based technical solution for the initial acquisition, registration and representation of 3D crowdsourced cadastral data (re-)using existing BIM and m-services, is developed and presented. A practical experiment is conducted for a multi-storey building in an urban area of Athens, Greece. The main conclusions refer to the usability, the perspectives and the reliability of the proposed framework, are discussed and presented.","LADM; Crowdsourcing; 3D Cadastre; BIM; IFC","en","conference paper","","","","","","","","","","","","","",""
"uuid:4017e461-8ad3-44eb-9cd4-b4cc4f3e4e77","http://resolver.tudelft.nl/uuid:4017e461-8ad3-44eb-9cd4-b4cc4f3e4e77","New Trends in 3D Cadastre Research - a Literature Survey","Paasch, Jesper M. (Aalborg University); Paulsson, Jenny (KTH Royal Institute of Technology)","","2021","During the last decade, several literature surveys on trends in 3D property research have been published. The latest publication is Paasch and Paulsson (2021), examining 530 research related publications from 2012 to 2020. It showed that 3D cadastre publications mainly have focused on technical and registration issues, even if there is an increase in research concerning legal and organizational topics compared with a similar survey from 2013. The Paasch and Paulsson (2021) survey identified some 3D cadastre topics that have gained increased focus during the investigated period but were not analysed in detail in the study. These research topics are investigated further in this paper. The topics are analysed in the same manner as in the 2012 and 2021 surveys, i.e. classifying them into Legal, Technical, Registration and Organizational classes. The publications are part of the 2021 study but are analysed more in detail in this paper in relation to some of the topics. This paper is an addition to this study where we have identified areas that we think should be of interest for further research, but not yet investigated in detail. These areas are BIM (Building Information Modelling), 4D cadastre, marine and water applications, and valuation. Out of the 530 publications in the Paasch and Paulsson study, 22 publications were identified as BIM related, 11 as 4D cadastre related, 11 related to marine and water applications, while 8 publications dealt with valuation topics. The paper shows that there seems to be an increased interest for the presented themes, but it is too early to say whether they all are part of trends in 3D cadastre research or whether they are only expressing temporary interests as such for the 3D cadastre community.","4D cadastre; 3D cadastre; 3D land administration; literature survey; marine space; valuation; BIM","en","conference paper","","","","","","","","","","","","","",""
"uuid:443360b5-9333-43d2-aa14-955903c37a14","http://resolver.tudelft.nl/uuid:443360b5-9333-43d2-aa14-955903c37a14","Converting BIM Data to CityGML for 3D Cadastre Purposes","Rashidan, Hanis (Universiti Teknologi Malaysia); Abdul Rahman, Alias (Universiti Teknologi Malaysia); Sani, Mohammed J. (Universiti Teknologi Malaysia)","","2021","Currently, stratified property rights are registered and managed using two-dimensional subdivision plans. These plans do not accurately depict property spaces in complex structures such as high-rise buildings, tunnels and utilities, underground infrastructures, etc. 3D rich data like building information modelling (BIM) could be utilized as a source of 3D data for 3D urban data management especially 3D Cadastre. However, not all available data are useful and straight forward when it comes to 3D Cadastre due to the existing strata regulations. This paper describes how the BIM data could be transformed, modelled, and utilized for 3D Cadastre. Here, the conversion involves BIM data and Strata XML to CityGML format. This paper also demonstrates the procedure of the conversion of a building data. Several issues and challenges are highlighted at the recommendation section of this paper.","3D Cadastre; BIM; CityGML; Strata XML","en","conference paper","","","","","","","","","","","","","",""
"uuid:8fa25bbb-a024-4769-b90e-a4907c9366f0","http://resolver.tudelft.nl/uuid:8fa25bbb-a024-4769-b90e-a4907c9366f0","Case-driven category analysis of 3D building property","Ying, Shen (Wuhan University); Sun, Hanrui (Wuhan University); Li, Chengpeng (Wuhan University); Zhang, Wenting (Wuhan University); Wang, Meng (Wuhan University); Zhan, Yong (Chongqing Survey Institute); Zhang, Yu (Guangzhou Urban Planning Survey Design & Research Institute); Chen, Xueye (Key Laboratory of Urban Land Resources Monitoring and Simulation)","","2021","Many immigrants from rural areas pour into urban areas, especially in China, and urban development faces the challenges to provide sufficient space for citizens’ acommodation, works and social contacts. So 3D development and use of land and city space become the reality with various complex buildings and constructions with modern architecture and construction techniques. Many underground constructions like mall and subway with stations are built without clear impression comparing to the above reality scenes. Also many buildings above the earth surface show their special characters with either air building / overpass / viadust or “strange” shapes getting our attentions; but actually they bring new challenges of spatial management to handle the 3D property unit, either in describing their relations with reference to earth surface or in performing administrative processes in transactions of planning, approval and management. From the real cases of buildings or 3D property, this paper first details the relations between 3D properties and earth surface, the relative location relations between 3D building properties and earth surface form, and the correspondence between 3D building properties and surface parcel, then classifies the category according to these three profiles, which would promote the understandings of complex buildings and 3D building properties and would enhance the descriptions and the segmentation of 3D building properties.","spatial relationship; 3D cadastre; building property; ground level; earth surface; location","en","conference paper","","","","","","","","","","","","","",""
"uuid:f60f30bf-ee8c-4cbb-b26b-23176df1f4dd","http://resolver.tudelft.nl/uuid:f60f30bf-ee8c-4cbb-b26b-23176df1f4dd","3D Cadastre in the Case of Engineering Objects, such as Bridges and Road Viaducts","Karabin, Marcin (Warsaw University of Technology); Kitsakis, Dimitrios (National Technical University of Athens); Koeva, Mila (University of Twente); Navratil, Gerhard (TU Wien); Paasch, Jesper M. (University of Gävle & Lantmäteriet); Paulsson, Jenny (KTH Royal Institute of Technology); Vučić, Nikola (State Geodetic Administration); Janečka, Karel (University of West Bohemia); Lisec, Anka (University of Ljubljana)","","2021","At present, the implementation of cadastral registration of transport investments (such as railway lines on bridges and on viaducts, roads on viaducts, etc.) is performed in the so-called ""layer"" system. This means that many objects are constructed at different levels (layers) within the space of a given parcel. Several parties may be interested in developing certain fragments of the parcel space; each of them is interested in acquiring rights only to a specified part of the parcel (its specified layer), in which given investment is implemented by that party. The legal conditions binding in many countries do not allow for implementation of such type investments within the space of a someone else's cadastral parcels, based on the ownership right. This is due to the fact, in accordance with the ""superficies solo cedit"" rule applicable in many EU countries, the ownership right extends above and below the parcel space and cadastral systems do not allow for vertical division of a real property. The conventional 2D cadastre, which does not allow vertical division of the parcel space, forces an investor to buy a whole parcel or to get other rights which allows using a specified space of someone else's parcel, such as easiment rights. Buying of an entire parcel in which space bridges and road viaducts investments will be performed and not being able to divide the land space vertically makes it practically impossible to sell the parcel under a viaduct because following the rule above the viaduct is part of the land parcel. Therefore, the space is not optimally utilised. The easement right has some disadvantages, as it cannot be encumbered with a mortgage; therefore it is not the basis of crediting a given investment. The 3D cadastre allows delineating 3D parcels (from the space of existing 2D parcels) that cover specified fragments of the space and to relate ownership rights to those delineated fragments. Within a 3D cadastre system, such objects can be registered as separate cadastral objects. This allows for the implementation of a line investment in the above-ground space in a flexible way, i.e. it is possible to get financing of an investment based on the mortgage charge of a 3D property and market transactions of the remaining space after delineation of the 3D parcel, covering the bridge or viaduct. This paper focuses on approaches to registration of real property rights in the case of engineering objects, such as bridges and road viaducts, in different EU countries: Austria, Bulgaria, Czech Republic, Croatia, Greece, Poland, Slovenia and Sweden.The authors review the current solutions for the registration of engineering objects in the cadastre, including its effectiveness in ensuring appropriate property rights to construct and exploit such objects, and make a comparison between the countries.","layer approach; 3D cadastre; road viaduct; bridge","en","conference paper","","","","","","","","","","","","","",""
"uuid:7a207ba9-7c2d-4e6d-98e0-1a0cd2363c90","http://resolver.tudelft.nl/uuid:7a207ba9-7c2d-4e6d-98e0-1a0cd2363c90","Requirements and Opportunities for Web-Based 3D Visualization and Dissemination of Property Valuation Information","Kara, Abdullah (Delft University of Technology); van Oosterom, Peter (Delft University of Technology); Kathmann, Ruud (The Netherlands Council for Real Estate Assessment); Lemmen, Christiaan (University of Twente)","","2021","The timely and effective dissemination of property values is an essential part of a transparent and efficient property valuation system as property values are required in several land administration processes, such as land acquisition, taxation, transaction, consolidation, readjustment and transformation. In the last decade, a web-based data-sharing system has been increasingly used for dissemination of property values. The 3D visualization of valuation units may be required in order to better communicate with users and provide more effective and efficient dissemination, however, none of those systems share valuation information associated with 3D representation (legal or physical) of property (valuation) units. The objective of this paper is to reveal the requirements, opportunities and challenges for web-based 3D visualization and dissemination of property valuation information. To deliver this objective, the requirements for U(ser), D(ata), and V(isualization) are investigated. The general public/property owners and professionals are determined as main user groups in this research. For each of the groups specific data and 3D visualization requirements are discussed and a number of suggestions are provided for developing an effective dissemination of property valuation information. These requirements includes the visualization of multi-part properties (e.g. condominium unit, storage unit, car parking), thematic mapping of valuation information, and aggregation of valuation units into valuation units groups. Furthermore, the capabilities of the LADM Valuation Information Model as a schema for storage, and the features and functionalities of 3D visualization platforms (geoweb viewers) in terms of better value dissemination (e.g. altering visual variables, solution for occlusion, visualizing below surface properties) are briefly investigated. Lastly, an initial prototype is developed and presented.","Valuation Information Model; 3D visualization","; en","conference paper","","","","","","","","","","","","","",""
"uuid:b00ca7b9-a480-4752-b12b-f7337b62e262","http://resolver.tudelft.nl/uuid:b00ca7b9-a480-4752-b12b-f7337b62e262","4D Musrenbang: Designing User Experience (UX) to Support Public Participation in Spatial Planning for Indonesia","Milyana, Nur An Nisa (Delft University of Technology); van Loenen, Bastiaan (Delft University of Technology); Korthals Altes, Willem (Delft University of Technology); Ploeger, Hendrik (Delft University of Technology)","","2021","Public participation is an important prerequisite for the success of spatial planning. Technology can help improve the quality and amount of public participation in spatial planning. This paper describes the development of the User Experience (UX) design guideline named ‘4PHASE toolkit’. The toolkit was used to create a web-based GIS prototype, applied on the spatial planning practice in Indonesia. In Indonesia the spirit of community involvement is incorporated into the spatial planning process using a bottom-up approach. The so-called Musyawarah perencanaan pembangunan (Musrenbang) is the traditional tool in participatory planning during the plan-making process. Meanwhile, as technology development is used as communication strategy for the government, 4D Open Spatial Information Infrastructure (4D PUPM) has emerged as a modern tool to monitor the implementation of land use plans. The exploration of both traditional and modern tools is done to get valuable information about what needs to be added for building the prototype. Our research resulted in a 4D web-based GIS prototype named 4D Musrenbang, while building on 4D PUPM to facilitate citizens participating in the spatial planning process in Indonesia.","Indonesia; spatial planning; participation","en","conference paper","","","","","","","","","","","","","",""
"uuid:5e240a06-5fdf-4354-9e6d-09c675f1cd8b","http://resolver.tudelft.nl/uuid:5e240a06-5fdf-4354-9e6d-09c675f1cd8b","BIM Models as Input for 3D Land Administration Systems for Apartment Registration","Broekhuizen, Marjan (Delft University of Technology); Kalogianni, Eftychia (Delft University of Technology); van Oosterom, Peter (Delft University of Technology)","","2021","The growth of cities and the pressure on land worldwide leads to more complex and multilevel structures with different space interrelations. For the registration of complex spaces mostly 2D Land Administration Systems (LAS) are used, while a representation of space in 3D could provide a clearer insight. Concurrently, technological advancements rapidly improve methods to collect, create, visualise, register, store and disseminate 3D data. In this context, much research is now being carried out at the sources and data used as input in 3D LAS and the various methods for their collection. In this scene, the approach to reuse data from the design phase is gaining ground. Specifically existing Building Information Models (BIMs), usually encoded in the non-proprietary Industry Foundation Classes (IFC) format (EN ISO 16739:2018) are considered a promising source for 3D LAS. Previous research has shown promising results using BIMs as input for 3D LAS. However, the use of BIM/IFC-models from practice has not yet been tested adequately. This paper investigates the technical issues that are encountered when using real-world BIM/IFC-models as input for the registration of apartment rights in a 3D LAS and how that process can be improved. In the context of this paper, BIM/IFC-models are iteratively being validating against technical requirements. Five real-world BIM/IFC-models are collected. They are tested on the existence of IfcSpace, geometric validity, overlap and the ability to georeference the BIM/IFC-models. The results of these validation show that the collected BIM/IFC-models lack the ability to be georeferenced. Additionally most BIM/IFC-models did not contain IFCSpace, or reference to essential attributes for identifying legal units in the Dutch 3D LAS. Recommendations and guidelines are formulated to address these issues. The BIM/IFC-models are placed in a 3D LAS at conceptual level, in which the legal spaces are enriched with information of the Rights, Restrictions and Responsibilities (RRR’s) to those spaces in line with the LADM.","Restrictions and Responsibilities; 3D Land Administration System; Building Information Model; Industry Foundation Classes; Land Administration Domain Model; Rights","en","conference paper","","","","","","","","","","","","","",""
"uuid:2e84ebfa-c8cf-4eef-90a5-ba9e7344d6ff","http://resolver.tudelft.nl/uuid:2e84ebfa-c8cf-4eef-90a5-ba9e7344d6ff","More Similar Values, More Trust? - the Effect of Value Similarity on Trust in Human-Agent Interaction","Mehrotra, S. (TU Delft Interactive Intelligence); Jonker, C.M. (TU Delft Interactive Intelligence); Tielman, M.L. (TU Delft Interactive Intelligence)","","2021","As AI systems are increasingly involved in decision making, it also becomes important that they elicit appropriate levels of trust from their users. To achieve this, it is first important to understand which factors influence trust in AI. We identify that a research gap exists regarding the role of personal values in trust in AI. Therefore, this paper studies how human and agent Value Similarity (VS) influences a human's trust in that agent. To explore this, 89 participants teamed up with five different agents, which were designed with varying levels of value similarity to that of the participants. In a within-subjects, scenario-based experiment, agents gave suggestions on what to do when entering the building to save a hostage. We analyzed the agent's scores on subjective value similarity, trust and qualitative data from open-ended questions. Our results show that agents rated as having more similar values also scored higher on trust, indicating a positive effect between the two. With this result, we add to the existing understanding of human-agent trust by providing insight into the role of value-similarity.","artificial agents; human-AI interaction; human-computer interaction; intelligent agents; trust; value similarity; values","en","conference paper","ACM DL","","","","","","","","","","Interactive Intelligence","","",""
"uuid:d98e5742-2778-4f66-b6f3-c45dd1019595","http://resolver.tudelft.nl/uuid:d98e5742-2778-4f66-b6f3-c45dd1019595","Routes to durability and sustainability: Recycling of PmB containing RAP","Liu, Xueyan (Delft University of Technology, The Netherlands)","","2021","Recycling of base asphalt pavement with rejuvenators or soft binders has been applied for many decades and a lot of experience has been gained. However, the high-quality recycling technique of polymer modified asphalt (PMA) has still not been sufficiently studied. The aging mechanism of PMA is complex, including the combined effects of the oxidation of bitumen and the degradation of the polymer. The current commercially available rejuvenators are designed mostly for base bitumen and not suitable for the recycling of PMA. For this reason, this research aims at designing an innovative rejuvenator specifically for the recycling of PMA. Firstly, a series of performance-based test methods, including viscoelastic properties, rutting resistance, fatigue resistance, cracking resistance, relaxation ability and aging ability, has been performed to select the appropriate source materials and to determine the optimum ratio between different components. After that, a specific SBS-based rejuvenator was found to be most effective in PMA rejuvenation. To reveal the rejuvenation mechanism, an environment scanning electron microscope (E-SEM) was utilized in investigating the microstructure of the rejuvenated binder. The results illustrated that E-SEM method can distinguish the influence of rejuvenator dosage, rejuvenator types, and addition of fresh bitumen on the morphology of aged PMB, which can help us to have a better understanding of the rejuvenation mechanism.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:e5f69a41-983d-4606-b59a-3200aea28c7d","http://resolver.tudelft.nl/uuid:e5f69a41-983d-4606-b59a-3200aea28c7d","Bitumen quality variability and impacts on pavement materials, what is missing in current specifications","Delfosse, Frédéric (Eurovia Research Centre, France)","","2021","The current situation of revamping and rationalization from refiners creates concerns about the quality and consistency of the delivered bitumen, especially as the current specifications appear insufficient to ensure satisfactory performance of the finished products. In this context, the search for relationships and correlations between bitumen properties and performance of the asphalt mixtures and the pavement has become very relevant. Moreover, with the constant increasing of RAP content in new asphalt mixes coupled with the usage “rejuvenators”, some limits of conventional tests methods can be pointed out. This presentation will highlight some new innovative bitumen and HMA indicators, allowing one to guarantee the durability of the road for the future. A study based from a standard mix design with one type of aggregate (similar volumetric properties) and 16 bitumens from various origins is presented. The characterization of asphalt mixes covered various mechanical tests such as modulus, rutting, fatigue, water sensitivity and thermal cracking. Also, this study spotlights how crucial it is to consider long-term ageing on the low-temperature end, since their behaviour can highly be impacted for both bitumen and asphalt mixes.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:3ae03cc1-3e3c-486c-8910-ada64ae738e8","http://resolver.tudelft.nl/uuid:3ae03cc1-3e3c-486c-8910-ada64ae738e8","Designing a toolbox for bitumen to answer the need for tomorrow's pavement","Porot, Laurent (Kraton Polymer, the Netherlands)","","2021","The asphalt industry is facing some key challenges. There is a need to move towards more sustainable and environmental friendly solutions to construct the pavements for tomorrow. This has to answer the market needs for greater performance with improved warranties, increased safety and less impact on environment, all in required budget constraints. At the same time, there is an even greater diversity in binders, petroleum based binders from different sources or processes for which bitumen quality may be affected. Up to now, specifications and characterisation for asphalt binders have been designed for known petroleum-based bitumen. With complex binders, more fundamental understanding and properties have to be considered to really capture the long-term benefits in road and airport engineering. And finally, beyond the technical requirements, sustainable aspects need to be part of the design including circularity, environmental impacts, health and safety amongst others. This is an important paradigm where new technologies are needed and adjustment of designing materials. Thus, the need for new solutions are becoming increasingly common practice. Designing the exact solution may depend on various parameters such as the nature of the modifier, the dosage level, or the expected effects on the binder, on the asphalt mix and finally on the pavement. It can be viewed as a toolbox where different options can be selected and combined together to adjust the properties of the binders that fits the need for pavement applications. Through some examples with the specific use of polymers and bio-based additives, an example of general framework will be discussed to be served as a toolbox to design materials to bring the frontiers of road and airport engineering a step further to the future.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:f0b8a6b7-59dc-40a2-9476-5780efccd9e6","http://resolver.tudelft.nl/uuid:f0b8a6b7-59dc-40a2-9476-5780efccd9e6","A Tale of Two Deltas: Analysis approach, proposed limits, and validation work to address binder quality-related thermally induced surface damage","Elwardany, Michael (Federal Highway Administration (FHWA), USA); Mensching, David (Federal Highway Administration (FHWA), USA); Planche, Jean-Pascal (Western Research Institute, USA); King, Gayle (GHK Inc, USA)","","2021","Superpave specifications address binder properties that may lead to rutting, transverse cracking, and fatigue damage with varying degrees of success. However, asphalt binder production and formulation has significantly changed and introduced much more variability in terms of quality since the development of Superpave Performance-Grade system because of economic, technical, and environmental reasons. Consequently, aged-induced surface distresses under combined thermal and traffic loading have become the main challenge for highway agencies. Thermally induced surface deterioration appears in the form of traditional transverse cracking, block cracking, and raveling, or accelerating damage at construction joints. This study evaluated the limitations of the proposed linear viscoelastic (LVE) rheological cracking surrogates, such as ΔTc, R-value, and G-R parameters, and the ability of the Asphalt Binder Cracking Device (ABCD) failure test to overcome these limitations. ABCD is particularly appropriate to rank binder performance because the measured cracking temperature (Tcr) encompasses binder LVE properties, failure strength, coefficient of thermal contraction, and cooling rate. The proposed parameter (ΔTf = Tc (S=300 MPa) from BBR - Tcr from ABCD) relates the failure temperature to the equi-stiffness temperature and gives credit to well-formulated and compatible polymer-modified binders expected to increase binder strength and strain tolerance. This paper proposes a specification framework based on both ΔTc and ΔTf, universally applicable, regardless of binder composition. Additionally, preliminary specification limits are proposed based on the analysis of 44 binders, 15 with corresponding field performance data. Obviously as confirmed by a recent stakeholder workshop and industry feedbacks, these preliminary specification limits need further validation and possible adjustments to account for regional experience and local challenges. Current efforts at FHWA TFHRC, in collaboration with various State Highway Agencies (SHA’s), are focused to further validate the framework and specification limits.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:75c71f87-f48c-4220-a9c5-9d02244e40e9","http://resolver.tudelft.nl/uuid:75c71f87-f48c-4220-a9c5-9d02244e40e9","Dutch challenges due to the changes in the bitumen market: The research project ‘Grip on bitumen’","van Vilsteren, Inge (Ministry of Infrastructure and the Environment, The Netherlands)","","2021","More than 90% of the wearing course on the Dutch motorway consists of porous asphalt. Stone Mastic Asphalt and Noise reduction thin layers are the most common wearing course of the national roads, which are mostly expressways. At the regional access roads and ringways, dense asphalt concrete and Stone Mastic Asphalt are used. The asphalt pavement on the Dutch roads are mainly constructed using penetration grade bitumen. Polymer modified bitumen is used in specific application of wearing courses, but this is not widely used. Nowadays, the Netherlands do not have a bitumen refinery within their borders. Knowing that the refineries changes there processes over the last couple of years, the perception was that the quality of bitumen was not that constant anymore. At the same time asphalt mixtures become increasingly complex and specialized and the roads economic life cycle are becoming increasingly important. With this growing realization a new research project started; “Grip on Bitumen” (GoB). Within a working group consisting of 20 members from engineering companies, laboratory-facilities, road-constructors, asphalt-producers, bitumen suppliers, branch originations and normative institute, all knowledge was combined. This project team started in 2018 and the last deliverables are due is summer. At the iFRAE one member of Grip on Bitumen will take you through the current challenges in complying with the binder properties in the Dutch context. She will take you through the current findings, the knowledge provided out of parallel projects and the follow up after this.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:1c43f057-7b20-45fb-a037-f2f219babb62","http://resolver.tudelft.nl/uuid:1c43f057-7b20-45fb-a037-f2f219babb62","Modelling of coastline evolution due to tidal inlet migration: real-world case studies","Elghandour, A. (IHE, Netherlands - TU Delft, Netherlands - University of Algarve, Portugal - Port Said University, Egypt); Hinson, S.K. (IHE Institute for Water Education, Netherlands); Roelvink, D. (IHE Institute for Water Education, Netherlands - Deltares, Netherlands - TU Delft, Netherlands); Reyns, J. (IHE Institute for Water Education, Netherlands - Deltares, Netherlands); Costas, S. (University of Algarve, Portugal)","","2021","In the light of climate change, sea-level rise, and human interventions, increasing the predictability of the coastline evolution on a temporal scale from years to decades are highly demanding for adaptation planning. However the rapid development in the coastal modelling capabilities, more efforts are needed to bridge the gap between the coastal processes that occur in a short time scale and its morphological changes on the long time scale. The coastline of barrier islands is largely influenced by inlet migration, which may pose a threat to urban areas settled on the inlet migration path. The migration process can also affect the hydrodynamics behind the barriers, which can lead to problems such as navigation difficulties or restrictions in water exchange. Therefore, understanding the mechanics and predicting the rates of tidal inlet migration or barrier islands evolution is critical. Modelling of coastline evolution has long been limited to relatively simple coastlines configuration. More complex landforms such as migrating inlets or river mouths had to be resolved by complex 2DH/3D morphological models, which have their limitations and can be computationally expensive. A recent coastline model, ShorelineS (Roelvink et al., 2020), was developped aims to include all relevant coastal processes with flexibility in representing arbitrary shapes and is thus potentially capable of simulating complex sandy coastal evolution.","Coastal sediment transport (subaqueous and aeolian); Long-term coastal change","en","conference paper","","","","","","","","","","","","","",""